blob: 0045614d0e35183ef084d24800468ca821c3eb0f [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0
;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
VIAS 4 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
- via4_3000x3000
+ VIARULE M4M5_PR
+ CUTSIZE 800 800
+ LAYERS met4 via4 met5
+ CUTSPACING 800 800
+ ENCLOSURE 1100 300 1100 310
+ ROWCOL 2 1
;
- via4_1600x3000
+ VIARULE M4M5_PR
+ CUTSIZE 800 800
+ LAYERS met4 via4 met5
+ CUTSPACING 800 800
+ ENCLOSURE 400 300 400 310
+ ROWCOL 2 1
;
END VIAS
COMPONENTS 1 ;
- user_proj_top user_proj_top + FIXED ( 1200000 1650000 ) N ;
END COMPONENTS
PINS 973 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 29580 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2375580 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2610180 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2844780 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3079380 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3313980 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 264180 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3483300 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3195660 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2908700 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2621060 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2334100 ) N ;
- analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2046460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 498780 ) N ;
- analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1759500 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 733380 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 967980 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1202580 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1437180 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1671780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1906380 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2140980 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 88060 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2434060 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2669340 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2903940 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3138540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3373140 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 322660 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3411220 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3124260 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2836620 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2549660 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2262020 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1975060 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 557260 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1687420 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1471860 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1256300 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1040740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 825180 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 610300 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 394740 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 179180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 791860 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1026460 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1261060 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1495660 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1730260 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1964860 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2199460 ) N ;
- io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 205020 ) N ;
- io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2551700 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2786300 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3255500 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3490100 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 439620 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3267740 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2980100 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2693140 ) N ;
- io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2405500 ) N ;
- io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2118540 ) N ;
- io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1830900 ) N ;
- io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 674220 ) N ;
- io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1543940 ) N ;
- io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1328380 ) N ;
- io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1112820 ) N ;
- io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 897260 ) N ;
- io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 681700 ) N ;
- io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 466140 ) N ;
- io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 250580 ) N ;
- io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 35700 ) N ;
- io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 909500 ) N ;
- io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1144100 ) N ;
- io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1378700 ) N ;
- io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1613300 ) N ;
- io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1847900 ) N ;
- io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2082500 ) N ;
- io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2317100 ) N ;
- io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 146540 ) N ;
- io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2493220 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2727820 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2962420 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3197020 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3431620 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 381140 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3339820 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3052180 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2765220 ) N ;
- io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2477580 ) N ;
- io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2189940 ) N ;
- io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1902980 ) N ;
- io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 615740 ) N ;
- io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1615340 ) N ;
- io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1184900 ) N ;
- io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 969340 ) N ;
- io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 753780 ) N ;
- io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 538220 ) N ;
- io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 322660 ) N ;
- io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 107100 ) N ;
- io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 850340 ) N ;
- io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1084940 ) N ;
- io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1319540 ) N ;
- io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1554140 ) N ;
- io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1789420 ) N ;
- io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024020 ) N ;
- io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2258620 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 633190 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2417530 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2435010 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2452950 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2470890 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2488830 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2506310 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2524250 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2542190 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2560130 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2578070 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 811670 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2595550 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2613490 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2631430 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2649370 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2667310 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2684790 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2702730 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2720670 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2738610 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2756090 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 829610 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774030 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2791970 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2809910 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2827850 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845330 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863270 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881210 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 847090 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865030 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 882970 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 900910 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936330 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 651130 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 990150 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043510 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1061450 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1079390 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096870 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1114810 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1132750 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1150690 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 669070 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1168630 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1186110 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1204050 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1221990 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1239930 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1275350 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1293290 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1311230 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1329170 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 686550 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1346650 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1364590 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1382530 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1400470 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1418410 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1435890 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1453830 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1471770 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1489710 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1507190 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 704490 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1525130 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1543070 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1561010 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1578950 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1596430 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1614370 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1632310 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1650250 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1668190 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1685670 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 722430 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1703610 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1721550 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1739490 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1756970 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1774910 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1792850 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1810790 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1828730 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1846210 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864150 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 740370 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882090 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935450 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1989270 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024690 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042630 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 757850 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2060570 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2078510 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095990 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113930 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2131870 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149810 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2167750 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2185230 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2203170 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2221110 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 775790 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2239050 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2256530 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2274470 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2292410 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2310350 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2328290 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2345770 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2363710 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2381650 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2399590 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 793730 -1200 ) N ;
- la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 639170 -1200 ) N ;
- la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2423050 -1200 ) N ;
- la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2440990 -1200 ) N ;
- la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2458930 -1200 ) N ;
- la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2476870 -1200 ) N ;
- la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2494810 -1200 ) N ;
- la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2512290 -1200 ) N ;
- la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2530230 -1200 ) N ;
- la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2548170 -1200 ) N ;
- la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2566110 -1200 ) N ;
- la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2584050 -1200 ) N ;
- la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 817650 -1200 ) N ;
- la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2601530 -1200 ) N ;
- la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2619470 -1200 ) N ;
- la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2637410 -1200 ) N ;
- la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2655350 -1200 ) N ;
- la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2672830 -1200 ) N ;
- la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2690770 -1200 ) N ;
- la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2708710 -1200 ) N ;
- la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2726650 -1200 ) N ;
- la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2744590 -1200 ) N ;
- la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2762070 -1200 ) N ;
- la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 835590 -1200 ) N ;
- la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780010 -1200 ) N ;
- la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2797950 -1200 ) N ;
- la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2815890 -1200 ) N ;
- la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2833830 -1200 ) N ;
- la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851310 -1200 ) N ;
- la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869250 -1200 ) N ;
- la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853070 -1200 ) N ;
- la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871010 -1200 ) N ;
- la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 888950 -1200 ) N ;
- la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 906890 -1200 ) N ;
- la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924370 -1200 ) N ;
- la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942310 -1200 ) N ;
- la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 657110 -1200 ) N ;
- la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 996130 -1200 ) N ;
- la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031550 -1200 ) N ;
- la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049490 -1200 ) N ;
- la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1067430 -1200 ) N ;
- la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1085370 -1200 ) N ;
- la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102850 -1200 ) N ;
- la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1120790 -1200 ) N ;
- la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1138730 -1200 ) N ;
- la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1156670 -1200 ) N ;
- la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 674590 -1200 ) N ;
- la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1174150 -1200 ) N ;
- la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1192090 -1200 ) N ;
- la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1210030 -1200 ) N ;
- la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1227970 -1200 ) N ;
- la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1245910 -1200 ) N ;
- la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1263390 -1200 ) N ;
- la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1281330 -1200 ) N ;
- la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1299270 -1200 ) N ;
- la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1317210 -1200 ) N ;
- la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1335150 -1200 ) N ;
- la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 692530 -1200 ) N ;
- la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1352630 -1200 ) N ;
- la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1370570 -1200 ) N ;
- la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1388510 -1200 ) N ;
- la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1406450 -1200 ) N ;
- la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1423930 -1200 ) N ;
- la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1441870 -1200 ) N ;
- la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1459810 -1200 ) N ;
- la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1477750 -1200 ) N ;
- la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1495690 -1200 ) N ;
- la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1513170 -1200 ) N ;
- la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 710470 -1200 ) N ;
- la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1531110 -1200 ) N ;
- la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1549050 -1200 ) N ;
- la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1566990 -1200 ) N ;
- la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1584930 -1200 ) N ;
- la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1602410 -1200 ) N ;
- la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1620350 -1200 ) N ;
- la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1638290 -1200 ) N ;
- la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1656230 -1200 ) N ;
- la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1673710 -1200 ) N ;
- la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1691650 -1200 ) N ;
- la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 728410 -1200 ) N ;
- la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1709590 -1200 ) N ;
- la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1727530 -1200 ) N ;
- la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1745470 -1200 ) N ;
- la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1762950 -1200 ) N ;
- la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1780890 -1200 ) N ;
- la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1798830 -1200 ) N ;
- la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1816770 -1200 ) N ;
- la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1834710 -1200 ) N ;
- la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1852190 -1200 ) N ;
- la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870130 -1200 ) N ;
- la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 746350 -1200 ) N ;
- la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888070 -1200 ) N ;
- la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923490 -1200 ) N ;
- la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1977310 -1200 ) N ;
- la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1995250 -1200 ) N ;
- la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030670 -1200 ) N ;
- la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048610 -1200 ) N ;
- la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 763830 -1200 ) N ;
- la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2066550 -1200 ) N ;
- la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2084490 -1200 ) N ;
- la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101970 -1200 ) N ;
- la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2119910 -1200 ) N ;
- la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2137850 -1200 ) N ;
- la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2155790 -1200 ) N ;
- la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2173270 -1200 ) N ;
- la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2191210 -1200 ) N ;
- la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2209150 -1200 ) N ;
- la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2227090 -1200 ) N ;
- la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 781770 -1200 ) N ;
- la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2245030 -1200 ) N ;
- la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2262510 -1200 ) N ;
- la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2280450 -1200 ) N ;
- la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2298390 -1200 ) N ;
- la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2316330 -1200 ) N ;
- la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2334270 -1200 ) N ;
- la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2351750 -1200 ) N ;
- la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2369690 -1200 ) N ;
- la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2387630 -1200 ) N ;
- la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2405570 -1200 ) N ;
- la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 799710 -1200 ) N ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 645150 -1200 ) N ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2429030 -1200 ) N ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2446970 -1200 ) N ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2464910 -1200 ) N ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2482850 -1200 ) N ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2500790 -1200 ) N ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2518270 -1200 ) N ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2536210 -1200 ) N ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2554150 -1200 ) N ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2572090 -1200 ) N ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2589570 -1200 ) N ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 823630 -1200 ) N ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2607510 -1200 ) N ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2625450 -1200 ) N ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2643390 -1200 ) N ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2661330 -1200 ) N ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2678810 -1200 ) N ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2696750 -1200 ) N ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2714690 -1200 ) N ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2732630 -1200 ) N ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2750570 -1200 ) N ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768050 -1200 ) N ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 841110 -1200 ) N ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2785990 -1200 ) N ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2803930 -1200 ) N ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2821870 -1200 ) N ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2839350 -1200 ) N ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857290 -1200 ) N ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875230 -1200 ) N ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859050 -1200 ) N ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 876990 -1200 ) N ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 894930 -1200 ) N ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930350 -1200 ) N ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948290 -1200 ) N ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 663090 -1200 ) N ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1002110 -1200 ) N ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037530 -1200 ) N ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055470 -1200 ) N ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1073410 -1200 ) N ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090890 -1200 ) N ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1108830 -1200 ) N ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1126770 -1200 ) N ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1144710 -1200 ) N ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1162650 -1200 ) N ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 680570 -1200 ) N ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1180130 -1200 ) N ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1198070 -1200 ) N ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1216010 -1200 ) N ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1233950 -1200 ) N ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1251890 -1200 ) N ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1269370 -1200 ) N ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1287310 -1200 ) N ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1305250 -1200 ) N ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1323190 -1200 ) N ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1340670 -1200 ) N ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 698510 -1200 ) N ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1358610 -1200 ) N ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1376550 -1200 ) N ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1394490 -1200 ) N ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1412430 -1200 ) N ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1429910 -1200 ) N ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1447850 -1200 ) N ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1465790 -1200 ) N ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1483730 -1200 ) N ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1501670 -1200 ) N ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1519150 -1200 ) N ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 716450 -1200 ) N ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1537090 -1200 ) N ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1555030 -1200 ) N ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1572970 -1200 ) N ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1590450 -1200 ) N ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1608390 -1200 ) N ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1626330 -1200 ) N ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1644270 -1200 ) N ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662210 -1200 ) N ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1679690 -1200 ) N ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1697630 -1200 ) N ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 734390 -1200 ) N ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1715570 -1200 ) N ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1733510 -1200 ) N ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1751450 -1200 ) N ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1768930 -1200 ) N ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1786870 -1200 ) N ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1804810 -1200 ) N ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1822750 -1200 ) N ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1840230 -1200 ) N ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858170 -1200 ) N ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876110 -1200 ) N ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 752330 -1200 ) N ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894050 -1200 ) N ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929470 -1200 ) N ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1983290 -1200 ) N ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2001230 -1200 ) N ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018710 -1200 ) N ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036650 -1200 ) N ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054590 -1200 ) N ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 769810 -1200 ) N ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2072530 -1200 ) N ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2090010 -1200 ) N ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107950 -1200 ) N ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2125890 -1200 ) N ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2143830 -1200 ) N ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2161770 -1200 ) N ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2179250 -1200 ) N ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2197190 -1200 ) N ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2215130 -1200 ) N ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2233070 -1200 ) N ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 787750 -1200 ) N ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2251010 -1200 ) N ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2268490 -1200 ) N ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2286430 -1200 ) N ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2304370 -1200 ) N ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2322310 -1200 ) N ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2339790 -1200 ) N ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2357730 -1200 ) N ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2375670 -1200 ) N ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2393610 -1200 ) N ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2411550 -1200 ) N ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 805690 -1200 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 240810 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 258290 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 276230 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 294170 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 312110 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 330050 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 347530 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365470 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 383410 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 401350 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 62330 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 419290 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 436770 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 454710 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 472650 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 490590 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 508070 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 526010 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 543950 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 561890 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 579830 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 86250 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 597310 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 615250 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109710 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 133630 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 151570 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 169510 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186990 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 204930 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 222870 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 44390 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 246790 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 264270 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 282210 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 300150 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 318090 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 336030 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 353510 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 371450 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 389390 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 407330 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 68310 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 424810 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 442750 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 460690 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 478630 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 496570 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 514050 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 531990 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 549930 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 567870 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 585810 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91770 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 603290 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 621230 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115690 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 139610 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 157550 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 175030 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192970 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 210910 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 228850 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 50370 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 252770 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 270250 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 288190 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 306130 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 324070 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 341550 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 359490 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 377430 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 395370 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 413310 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 74290 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 430790 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 448730 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 466670 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 484610 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 502550 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 520030 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 537970 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 555910 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 573850 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 591330 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97750 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 609270 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 627210 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 145590 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 163530 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 181010 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198950 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 216890 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 234830 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 56350 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 80270 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103730 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 127650 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2885520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2705520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2525520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2345520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2165520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1985520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1805520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1625520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -712000 ) ( 1500 712000 )
+ FIXED ( 1445520 2817000 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -712000 ) ( 1500 712000 )
+ FIXED ( 1265520 2817000 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1085520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 905520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 725520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 545520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 365520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 185520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 5520 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+ FIXED ( 2928100 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1764460 ) ( 1500 1764460 )
+ FIXED ( -8480 1759840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -827160 ) ( 1500 827160 )
+ FIXED ( 1445520 817840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -827160 ) ( 1500 827160 )
+ FIXED ( 1265520 817840 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+ FIXED ( 1459810 3522800 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3430880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3250880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3070880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2890880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2710880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2530880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2350880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2170880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1990880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1810880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1630880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1450880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1270880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1090880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 910880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 730880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 550880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 370880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 190880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 10880 ) N + SPECIAL ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+ FIXED ( 1459810 -3120 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2932800 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2795520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2615520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2435520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2255520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 2075520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1895520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1715520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -712000 ) ( 1500 712000 )
+ FIXED ( 1535520 2817000 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -712000 ) ( 1500 712000 )
+ FIXED ( 1355520 2817000 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 1175520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 995520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 815520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 635520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 455520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 275520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( 95520 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1769160 ) ( 1500 1769160 )
+ FIXED ( -13180 1759840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -827160 ) ( 1500 827160 )
+ FIXED ( 1535520 817840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -827160 ) ( 1500 827160 )
+ FIXED ( 1355520 817840 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3527500 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3340880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 3160880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2980880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2800880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2620880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2440880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2260880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 2080880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1900880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1720880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1540880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1360880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1180880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 1000880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 820880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 640880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 460880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 280880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 100880 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 -7820 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2903520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2723520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2543520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2363520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2183520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2003520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1823520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1643520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -716700 ) ( 1500 716700 )
+ FIXED ( 1463520 2821700 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -716700 ) ( 1500 716700 )
+ FIXED ( 1283520 2821700 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1103520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 923520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 743520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 563520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 383520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 203520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 23520 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+ FIXED ( 2937500 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1773860 ) ( 1500 1773860 )
+ FIXED ( -17880 1759840 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -831860 ) ( 1500 831860 )
+ FIXED ( 1463520 813140 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -831860 ) ( 1500 831860 )
+ FIXED ( 1283520 813140 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+ FIXED ( 1459810 3532200 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3448880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3268880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3088880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2908880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2728880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2548880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2368880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2188880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2008880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1828880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1648880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1468880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1288880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1108880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 928880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 748880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 568880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 388880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 208880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 28880 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+ FIXED ( 1459810 -12520 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2942200 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2813520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2633520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2453520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2273520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 2093520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1913520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1733520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -716700 ) ( 1500 716700 )
+ FIXED ( 1553520 2821700 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -716700 ) ( 1500 716700 )
+ FIXED ( 1373520 2821700 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -716700 ) ( 1500 716700 )
+ FIXED ( 1193520 2821700 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 1013520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 833520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 653520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 473520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 293520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( 113520 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1778560 ) ( 1500 1778560 )
+ FIXED ( -22580 1759840 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -831860 ) ( 1500 831860 )
+ FIXED ( 1553520 813140 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -831860 ) ( 1500 831860 )
+ FIXED ( 1373520 813140 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -831860 ) ( 1500 831860 )
+ FIXED ( 1193520 813140 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3536900 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3358880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 3178880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2998880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2818880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2638880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2458880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2278880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 2098880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1918880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1738880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1558880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1378880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1198880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 1018880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 838880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 658880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 478880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 298880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 118880 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 -17220 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2741520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2561520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2381520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2201520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2021520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1841520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1661520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -721400 ) ( 1500 721400 )
+ FIXED ( 1481520 2826400 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -721400 ) ( 1500 721400 )
+ FIXED ( 1301520 2826400 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1121520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 941520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 761520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 581520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 401520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 221520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 41520 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+ FIXED ( 2946900 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1783260 ) ( 1500 1783260 )
+ FIXED ( -27280 1759840 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -836560 ) ( 1500 836560 )
+ FIXED ( 1481520 808440 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -836560 ) ( 1500 836560 )
+ FIXED ( 1301520 808440 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+ FIXED ( 1459810 3541600 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3466880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3286880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3106880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2926880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2746880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2566880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2386880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2206880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2026880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1846880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1666880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1486880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1306880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1126880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 946880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 766880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 586880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 406880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 226880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 46880 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+ FIXED ( 1459810 -21920 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2951600 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2831520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2651520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2471520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2291520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 2111520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1931520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1751520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -721400 ) ( 1500 721400 )
+ FIXED ( 1571520 2826400 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -721400 ) ( 1500 721400 )
+ FIXED ( 1391520 2826400 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -721400 ) ( 1500 721400 )
+ FIXED ( 1211520 2826400 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 1031520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 851520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 671520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 491520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 311520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( 131520 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1787960 ) ( 1500 1787960 )
+ FIXED ( -31980 1759840 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -836560 ) ( 1500 836560 )
+ FIXED ( 1571520 808440 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -836560 ) ( 1500 836560 )
+ FIXED ( 1391520 808440 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -836560 ) ( 1500 836560 )
+ FIXED ( 1211520 808440 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3546300 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3376880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3196880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 3016880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2836880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2656880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2476880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2296880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 2116880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1936880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1756880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1576880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1396880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1216880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 1036880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 856880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 676880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 496880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 316880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 136880 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 -26620 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2759520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2579520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2399520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2219520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2039520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1859520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1679520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -726100 ) ( 1500 726100 )
+ FIXED ( 1499520 2831100 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -726100 ) ( 1500 726100 )
+ FIXED ( 1319520 2831100 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1139520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 959520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 779520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 599520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 419520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 239520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 59520 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+ FIXED ( 2956300 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -1792660 ) ( 1500 1792660 )
+ FIXED ( -36680 1759840 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -841260 ) ( 1500 841260 )
+ FIXED ( 1499520 803740 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met4 ( -1500 -841260 ) ( 1500 841260 )
+ FIXED ( 1319520 803740 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+ FIXED ( 1459810 3551000 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3484880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3304880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3124880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2944880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2764880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2584880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2404880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2224880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2044880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1864880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1684880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1504880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1324880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1144880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 964880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 784880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 604880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 424880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 244880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 64880 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE POWER
+ LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+ FIXED ( 1459810 -31320 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2961000 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2849520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2669520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2489520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2309520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 2129520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1949520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1769520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -726100 ) ( 1500 726100 )
+ FIXED ( 1589520 2831100 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -726100 ) ( 1500 726100 )
+ FIXED ( 1409520 2831100 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -726100 ) ( 1500 726100 )
+ FIXED ( 1229520 2831100 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 1049520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 869520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 689520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 509520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 329520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( 149520 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -1797360 ) ( 1500 1797360 )
+ FIXED ( -41380 1759840 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -841260 ) ( 1500 841260 )
+ FIXED ( 1589520 803740 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -841260 ) ( 1500 841260 )
+ FIXED ( 1409520 803740 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met4 ( -1500 -841260 ) ( 1500 841260 )
+ FIXED ( 1229520 803740 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3555700 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3394880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3214880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 3034880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2854880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2674880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2494880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2314880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 2134880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1954880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1774880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1594880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1414880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1234880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 1054880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 874880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 694880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 514880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 334880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 154880 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE GROUND
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 -36020 ) N + SPECIAL ;
END PINS
BLOCKAGES 1 ;
- LAYER met5
RECT ( 0 0 ) ( 2920000 3520000 )
;
END BLOCKAGES
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 1529040 1990880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1375440 1990880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1221840 1990880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1529040 1810880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1375440 1810880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1221840 1810880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2934300 3430880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2934300 3250880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2934300 3070880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2934300 2890880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2934300 2710880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2934300 2530880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2934300 2350880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2934300 2170880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2934300 1990880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2934300 1810880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2934300 1630880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2934300 1450880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2934300 1270880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2934300 1090880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2934300 910880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2934300 730880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2934300 550880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2934300 370880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2934300 190880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2934300 10880 )
NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 2105000 ) ( 1445520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 2105000 ) ( 1265520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 1645000 )
+ USE POWER ;
- vssd1 ( PIN vssd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 1452240 2080880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1298640 2080880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1452240 1900880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1298640 1900880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1452240 1720880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 1298640 1720880 ) via4_1600x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2934300 3340880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2934300 3160880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2934300 2980880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2934300 2800880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2934300 2620880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2934300 2440880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2934300 2260880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2934300 2080880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2934300 1900880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2934300 1720880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2934300 1540880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2934300 1360880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2934300 1180880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2934300 1000880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2934300 820880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2934300 640880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2934300 460880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2934300 280880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2934300 100880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 2105000 ) ( 1535520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 2105000 ) ( 1355520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 1645000 )
+ USE GROUND ;
- vccd2 ( PIN vccd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3448880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3268880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3088880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2908880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2728880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2548880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2368880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2188880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 2008880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1828880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1648880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1468880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1288880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 1108880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 928880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 748880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 568880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 388880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 208880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 28880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2903520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2723520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2543520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2363520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2183520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2003520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1823520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1643520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1463520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1283520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1103520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 923520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 743520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 563520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 383520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 203520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 23520 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3448880 ) ( 2943700 3448880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3268880 ) ( 2943700 3268880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3088880 ) ( 2943700 3088880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2908880 ) ( 2943700 2908880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2728880 ) ( 2943700 2728880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2548880 ) ( 2943700 2548880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2368880 ) ( 2943700 2368880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2188880 ) ( 2943700 2188880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2008880 ) ( 2943700 2008880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1828880 ) ( 2943700 1828880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1648880 ) ( 2943700 1648880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1468880 ) ( 2943700 1468880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1288880 ) ( 2943700 1288880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1108880 ) ( 2943700 1108880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 928880 ) ( 2943700 928880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 748880 ) ( 2943700 748880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 568880 ) ( 2943700 568880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 388880 ) ( 2943700 388880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 208880 ) ( 2943700 208880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 28880 ) ( 2943700 28880 )
NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
NEW met4 3000 + SHAPE STRIPE ( 2903520 -18720 ) ( 2903520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2723520 -18720 ) ( 2723520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2543520 -18720 ) ( 2543520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2363520 -18720 ) ( 2363520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2183520 -18720 ) ( 2183520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2003520 -18720 ) ( 2003520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1823520 -18720 ) ( 1823520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1643520 -18720 ) ( 1643520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1463520 2105000 ) ( 1463520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1283520 2105000 ) ( 1283520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1103520 -18720 ) ( 1103520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 923520 -18720 ) ( 923520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 743520 -18720 ) ( 743520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 563520 -18720 ) ( 563520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 383520 -18720 ) ( 383520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 203520 -18720 ) ( 203520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 23520 -18720 ) ( 23520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
NEW met4 3000 + SHAPE STRIPE ( 1463520 -18720 ) ( 1463520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1283520 -18720 ) ( 1283520 1645000 )
+ USE POWER ;
- vssd2 ( PIN vssd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3358880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3178880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2998880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2818880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2638880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2458880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2278880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 2098880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1918880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1738880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1558880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1378880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1198880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 1018880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 838880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 658880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 478880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 298880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 118880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2813520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2633520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2453520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2273520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2093520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1913520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1733520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1553520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1373520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1193520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1013520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 833520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 653520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 473520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 293520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 113520 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3358880 ) ( 2943700 3358880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 3178880 ) ( 2943700 3178880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2998880 ) ( 2943700 2998880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2818880 ) ( 2943700 2818880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2638880 ) ( 2943700 2638880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2458880 ) ( 2943700 2458880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2278880 ) ( 2943700 2278880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 2098880 ) ( 2943700 2098880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1918880 ) ( 2943700 1918880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1738880 ) ( 2943700 1738880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1558880 ) ( 2943700 1558880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1378880 ) ( 2943700 1378880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1198880 ) ( 2943700 1198880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 1018880 ) ( 2943700 1018880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 838880 ) ( 2943700 838880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 658880 ) ( 2943700 658880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 478880 ) ( 2943700 478880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 298880 ) ( 2943700 298880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 118880 ) ( 2943700 118880 )
NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2813520 -18720 ) ( 2813520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2633520 -18720 ) ( 2633520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2453520 -18720 ) ( 2453520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2273520 -18720 ) ( 2273520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 2093520 -18720 ) ( 2093520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1913520 -18720 ) ( 1913520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1733520 -18720 ) ( 1733520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1553520 2105000 ) ( 1553520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1373520 2105000 ) ( 1373520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1193520 2105000 ) ( 1193520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1013520 -18720 ) ( 1013520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 833520 -18720 ) ( 833520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 653520 -18720 ) ( 653520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 473520 -18720 ) ( 473520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 293520 -18720 ) ( 293520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 113520 -18720 ) ( 113520 3538400 )
NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
NEW met4 3000 + SHAPE STRIPE ( 1553520 -18720 ) ( 1553520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1373520 -18720 ) ( 1373520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1193520 -18720 ) ( 1193520 1645000 )
+ USE GROUND ;
- vdda1 ( PIN vdda1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3466880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3286880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3106880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2926880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2746880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2566880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2386880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2206880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 2026880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1846880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1666880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1486880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1306880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 1126880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 946880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 766880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 586880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 406880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 226880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 46880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2741520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2561520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2381520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2201520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2021520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1841520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1661520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1481520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1301520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1121520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 941520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 761520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 581520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 401520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 221520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 41520 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3466880 ) ( 2953100 3466880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3286880 ) ( 2953100 3286880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3106880 ) ( 2953100 3106880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2926880 ) ( 2953100 2926880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2746880 ) ( 2953100 2746880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2566880 ) ( 2953100 2566880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2386880 ) ( 2953100 2386880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2206880 ) ( 2953100 2206880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2026880 ) ( 2953100 2026880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1846880 ) ( 2953100 1846880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1666880 ) ( 2953100 1666880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1486880 ) ( 2953100 1486880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1306880 ) ( 2953100 1306880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1126880 ) ( 2953100 1126880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 946880 ) ( 2953100 946880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 766880 ) ( 2953100 766880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 586880 ) ( 2953100 586880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 406880 ) ( 2953100 406880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 226880 ) ( 2953100 226880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 46880 ) ( 2953100 46880 )
NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
NEW met4 3000 + SHAPE STRIPE ( 2741520 -28120 ) ( 2741520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2561520 -28120 ) ( 2561520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2381520 -28120 ) ( 2381520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2201520 -28120 ) ( 2201520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2021520 -28120 ) ( 2021520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1841520 -28120 ) ( 1841520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1661520 -28120 ) ( 1661520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1481520 2105000 ) ( 1481520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1301520 2105000 ) ( 1301520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1121520 -28120 ) ( 1121520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 941520 -28120 ) ( 941520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 761520 -28120 ) ( 761520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 581520 -28120 ) ( 581520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 401520 -28120 ) ( 401520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 221520 -28120 ) ( 221520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 41520 -28120 ) ( 41520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
NEW met4 3000 + SHAPE STRIPE ( 1481520 -28120 ) ( 1481520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1301520 -28120 ) ( 1301520 1645000 )
+ USE POWER ;
- vssa1 ( PIN vssa1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3376880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3196880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3016880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2836880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2656880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2476880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2296880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 2116880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1936880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1756880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1576880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1396880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1216880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 1036880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 856880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 676880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 496880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 316880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 136880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2831520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2651520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2471520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2291520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2111520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1931520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1751520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1571520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1391520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1211520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1031520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 851520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 671520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 491520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 311520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 131520 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3376880 ) ( 2953100 3376880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3196880 ) ( 2953100 3196880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 3016880 ) ( 2953100 3016880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2836880 ) ( 2953100 2836880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2656880 ) ( 2953100 2656880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2476880 ) ( 2953100 2476880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2296880 ) ( 2953100 2296880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 2116880 ) ( 2953100 2116880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1936880 ) ( 2953100 1936880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1756880 ) ( 2953100 1756880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1576880 ) ( 2953100 1576880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1396880 ) ( 2953100 1396880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1216880 ) ( 2953100 1216880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 1036880 ) ( 2953100 1036880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 856880 ) ( 2953100 856880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 676880 ) ( 2953100 676880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 496880 ) ( 2953100 496880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 316880 ) ( 2953100 316880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 136880 ) ( 2953100 136880 )
NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2831520 -28120 ) ( 2831520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2651520 -28120 ) ( 2651520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2471520 -28120 ) ( 2471520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2291520 -28120 ) ( 2291520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 2111520 -28120 ) ( 2111520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1931520 -28120 ) ( 1931520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1751520 -28120 ) ( 1751520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1571520 2105000 ) ( 1571520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1391520 2105000 ) ( 1391520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1211520 2105000 ) ( 1211520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1031520 -28120 ) ( 1031520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 851520 -28120 ) ( 851520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 671520 -28120 ) ( 671520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 491520 -28120 ) ( 491520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 311520 -28120 ) ( 311520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 131520 -28120 ) ( 131520 3547800 )
NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
NEW met4 3000 + SHAPE STRIPE ( 1571520 -28120 ) ( 1571520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1391520 -28120 ) ( 1391520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1211520 -28120 ) ( 1211520 1645000 )
+ USE GROUND ;
- vdda2 ( PIN vdda2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3484880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3304880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3124880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2944880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2764880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2584880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2404880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2224880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 2044880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1864880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1684880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1504880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1324880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 1144880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 964880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 784880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 604880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 424880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 244880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 64880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2759520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2579520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2399520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2219520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2039520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1859520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1679520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1499520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1319520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1139520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 959520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 779520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 599520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 419520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 239520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 59520 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3484880 ) ( 2962500 3484880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3304880 ) ( 2962500 3304880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3124880 ) ( 2962500 3124880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2944880 ) ( 2962500 2944880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2764880 ) ( 2962500 2764880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2584880 ) ( 2962500 2584880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2404880 ) ( 2962500 2404880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2224880 ) ( 2962500 2224880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2044880 ) ( 2962500 2044880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1864880 ) ( 2962500 1864880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1684880 ) ( 2962500 1684880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1504880 ) ( 2962500 1504880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1324880 ) ( 2962500 1324880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1144880 ) ( 2962500 1144880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 964880 ) ( 2962500 964880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 784880 ) ( 2962500 784880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 604880 ) ( 2962500 604880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 424880 ) ( 2962500 424880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 244880 ) ( 2962500 244880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 64880 ) ( 2962500 64880 )
NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
NEW met4 3000 + SHAPE STRIPE ( 2759520 -37520 ) ( 2759520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2579520 -37520 ) ( 2579520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2399520 -37520 ) ( 2399520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2219520 -37520 ) ( 2219520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2039520 -37520 ) ( 2039520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1859520 -37520 ) ( 1859520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1679520 -37520 ) ( 1679520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1499520 2105000 ) ( 1499520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1319520 2105000 ) ( 1319520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1139520 -37520 ) ( 1139520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 959520 -37520 ) ( 959520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 779520 -37520 ) ( 779520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 599520 -37520 ) ( 599520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 419520 -37520 ) ( 419520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 239520 -37520 ) ( 239520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 59520 -37520 ) ( 59520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
NEW met4 3000 + SHAPE STRIPE ( 1499520 -37520 ) ( 1499520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1319520 -37520 ) ( 1319520 1645000 )
+ USE POWER ;
- vssa2 ( PIN vssa2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3394880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3214880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3034880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2854880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2674880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2494880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2314880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 2134880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1954880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1774880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1594880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1414880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1234880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 1054880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 874880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 694880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 514880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 334880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 154880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2849520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2669520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2489520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2309520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2129520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1949520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1769520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1589520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1409520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1229520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1049520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 869520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 689520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 509520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 329520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 149520 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3394880 ) ( 2962500 3394880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3214880 ) ( 2962500 3214880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 3034880 ) ( 2962500 3034880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2854880 ) ( 2962500 2854880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2674880 ) ( 2962500 2674880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2494880 ) ( 2962500 2494880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2314880 ) ( 2962500 2314880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 2134880 ) ( 2962500 2134880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1954880 ) ( 2962500 1954880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1774880 ) ( 2962500 1774880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1594880 ) ( 2962500 1594880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1414880 ) ( 2962500 1414880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1234880 ) ( 2962500 1234880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 1054880 ) ( 2962500 1054880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 874880 ) ( 2962500 874880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 694880 ) ( 2962500 694880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 514880 ) ( 2962500 514880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 334880 ) ( 2962500 334880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 154880 ) ( 2962500 154880 )
NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2849520 -37520 ) ( 2849520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2669520 -37520 ) ( 2669520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2489520 -37520 ) ( 2489520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2309520 -37520 ) ( 2309520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 2129520 -37520 ) ( 2129520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1949520 -37520 ) ( 1949520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1769520 -37520 ) ( 1769520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1589520 2105000 ) ( 1589520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1409520 2105000 ) ( 1409520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1229520 2105000 ) ( 1229520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1049520 -37520 ) ( 1049520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 869520 -37520 ) ( 869520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 689520 -37520 ) ( 689520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 509520 -37520 ) ( 509520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 329520 -37520 ) ( 329520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 149520 -37520 ) ( 149520 3557200 )
NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
NEW met4 3000 + SHAPE STRIPE ( 1589520 -37520 ) ( 1589520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1409520 -37520 ) ( 1409520 1645000 )
NEW met4 3000 + SHAPE STRIPE ( 1229520 -37520 ) ( 1229520 1645000 )
+ USE GROUND ;
END SPECIALNETS
NETS 636 ;
- analog_io[0] ( PIN analog_io[0] )
+ USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] )
+ USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] )
+ USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] )
+ USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] )
+ USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] )
+ USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] )
+ USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] )
+ USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] )
+ USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] )
+ USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] )
+ USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] )
+ USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] )
+ USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] )
+ USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] )
+ USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] )
+ USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] )
+ USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] )
+ USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] )
+ USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] )
+ USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] )
+ USE SIGNAL ;
- analog_io[29] ( PIN analog_io[29] )
+ USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] )
+ USE SIGNAL ;
- analog_io[30] ( PIN analog_io[30] )
+ USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] )
+ USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] )
+ USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] )
+ USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] )
+ USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] )
+ USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] )
+ USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] )
+ USE SIGNAL ;
- io_in[0] ( PIN io_in[0] ) ( user_proj_top tck )
+ ROUTED met2 ( 2899150 88060 ) ( 2899150 89590 )
NEW met3 ( 2899150 88060 ) ( 2917780 88060 0 )
NEW met2 ( 2149350 89590 ) ( 2149350 1656310 )
NEW met3 ( 1599420 1656820 ) ( 1599420 1657160 0 )
NEW met3 ( 1599420 1656820 ) ( 1610690 1656820 )
NEW met2 ( 1610690 1656310 ) ( 1610690 1656820 )
NEW met1 ( 1610690 1656310 ) ( 2149350 1656310 )
NEW met1 ( 2149350 89590 ) ( 2899150 89590 )
NEW met1 ( 2149350 89590 ) M1M2_PR
NEW met1 ( 2149350 1656310 ) M1M2_PR
NEW met1 ( 2899150 89590 ) M1M2_PR
NEW met2 ( 2899150 88060 ) via2_FR
NEW met2 ( 1610690 1656820 ) via2_FR
NEW met1 ( 1610690 1656310 ) M1M2_PR
+ USE SIGNAL ;
- io_in[10] ( PIN io_in[10] )
+ USE SIGNAL ;
- io_in[11] ( PIN io_in[11] )
+ USE SIGNAL ;
- io_in[12] ( PIN io_in[12] )
+ USE SIGNAL ;
- io_in[13] ( PIN io_in[13] )
+ USE SIGNAL ;
- io_in[14] ( PIN io_in[14] )
+ USE SIGNAL ;
- io_in[15] ( PIN io_in[15] )
+ USE SIGNAL ;
- io_in[16] ( PIN io_in[16] )
+ USE SIGNAL ;
- io_in[17] ( PIN io_in[17] )
+ USE SIGNAL ;
- io_in[18] ( PIN io_in[18] )
+ USE SIGNAL ;
- io_in[19] ( PIN io_in[19] )
+ USE SIGNAL ;
- io_in[1] ( PIN io_in[1] ) ( user_proj_top tms )
+ ROUTED met2 ( 2899150 322660 ) ( 2899150 324190 )
NEW met3 ( 2899150 322660 ) ( 2917780 322660 0 )
NEW met2 ( 2032050 324190 ) ( 2032050 1697450 )
NEW met1 ( 2032050 324190 ) ( 2899150 324190 )
NEW met3 ( 1599420 1697620 ) ( 1599420 1697960 0 )
NEW met3 ( 1599420 1697620 ) ( 1611610 1697620 )
NEW met2 ( 1611610 1697450 ) ( 1611610 1697620 )
NEW met1 ( 1611610 1697450 ) ( 2032050 1697450 )
NEW met1 ( 2032050 324190 ) M1M2_PR
NEW met1 ( 2899150 324190 ) M1M2_PR
NEW met2 ( 2899150 322660 ) via2_FR
NEW met1 ( 2032050 1697450 ) M1M2_PR
NEW met2 ( 1611610 1697620 ) via2_FR
NEW met1 ( 1611610 1697450 ) M1M2_PR
+ USE SIGNAL ;
- io_in[20] ( PIN io_in[20] )
+ USE SIGNAL ;
- io_in[21] ( PIN io_in[21] )
+ USE SIGNAL ;
- io_in[22] ( PIN io_in[22] )
+ USE SIGNAL ;
- io_in[23] ( PIN io_in[23] )
+ USE SIGNAL ;
- io_in[24] ( PIN io_in[24] )
+ USE SIGNAL ;
- io_in[25] ( PIN io_in[25] )
+ USE SIGNAL ;
- io_in[26] ( PIN io_in[26] )
+ USE SIGNAL ;
- io_in[27] ( PIN io_in[27] )
+ USE SIGNAL ;
- io_in[28] ( PIN io_in[28] )
+ USE SIGNAL ;
- io_in[29] ( PIN io_in[29] )
+ USE SIGNAL ;
- io_in[2] ( PIN io_in[2] ) ( user_proj_top tdi )
+ ROUTED met2 ( 1921650 558790 ) ( 1921650 1732130 )
NEW met2 ( 2899150 557260 ) ( 2899150 558790 )
NEW met3 ( 2899150 557260 ) ( 2917780 557260 0 )
NEW met3 ( 1599420 1735700 ) ( 1599420 1738760 0 )
NEW met3 ( 1599420 1735700 ) ( 1610230 1735700 )
NEW met2 ( 1610230 1732130 ) ( 1610230 1735700 )
NEW met1 ( 1610230 1732130 ) ( 1921650 1732130 )
NEW met1 ( 1921650 558790 ) ( 2899150 558790 )
NEW met1 ( 1921650 1732130 ) M1M2_PR
NEW met1 ( 1921650 558790 ) M1M2_PR
NEW met1 ( 2899150 558790 ) M1M2_PR
NEW met2 ( 2899150 557260 ) via2_FR
NEW met2 ( 1610230 1735700 ) via2_FR
NEW met1 ( 1610230 1732130 ) M1M2_PR
+ USE SIGNAL ;
- io_in[30] ( PIN io_in[30] )
+ USE SIGNAL ;
- io_in[31] ( PIN io_in[31] )
+ USE SIGNAL ;
- io_in[32] ( PIN io_in[32] )
+ USE SIGNAL ;
- io_in[33] ( PIN io_in[33] )
+ USE SIGNAL ;
- io_in[34] ( PIN io_in[34] )
+ USE SIGNAL ;
- io_in[35] ( PIN io_in[35] )
+ USE SIGNAL ;
- io_in[36] ( PIN io_in[36] )
+ USE SIGNAL ;
- io_in[37] ( PIN io_in[37] )
+ USE SIGNAL ;
- io_in[3] ( PIN io_in[3] )
+ USE SIGNAL ;
- io_in[4] ( PIN io_in[4] )
+ USE SIGNAL ;
- io_in[5] ( PIN io_in[5] ) ( user_proj_top trst )
+ ROUTED met2 ( 2899150 1261060 ) ( 2899150 1262590 )
NEW met3 ( 2899150 1261060 ) ( 2917780 1261060 0 )
NEW met2 ( 1631850 1262590 ) ( 1631850 1775650 )
NEW met1 ( 1631850 1262590 ) ( 2899150 1262590 )
NEW met3 ( 1599420 1777860 ) ( 1599420 1779560 0 )
NEW met3 ( 1599420 1777860 ) ( 1608390 1777860 )
NEW met2 ( 1608390 1775650 ) ( 1608390 1777860 )
NEW met1 ( 1608390 1775650 ) ( 1631850 1775650 )
NEW met1 ( 1631850 1262590 ) M1M2_PR
NEW met1 ( 2899150 1262590 ) M1M2_PR
NEW met2 ( 2899150 1261060 ) via2_FR
NEW met1 ( 1631850 1775650 ) M1M2_PR
NEW met2 ( 1608390 1777860 ) via2_FR
NEW met1 ( 1608390 1775650 ) M1M2_PR
+ USE SIGNAL ;
- io_in[6] ( PIN io_in[6] )
+ USE SIGNAL ;
- io_in[7] ( PIN io_in[7] )
+ USE SIGNAL ;
- io_in[8] ( PIN io_in[8] )
+ USE SIGNAL ;
- io_in[9] ( PIN io_in[9] )
+ USE SIGNAL ;
- io_oeb[0] ( PIN io_oeb[0] ) ( user_proj_top tie[133] )
+ ROUTED met2 ( 2900990 205020 ) ( 2900990 206890 )
NEW met3 ( 2900990 205020 ) ( 2917780 205020 0 )
NEW met1 ( 1611150 206890 ) ( 2900990 206890 )
NEW met3 ( 1599420 1684020 ) ( 1599420 1684360 0 )
NEW met3 ( 1599420 1684020 ) ( 1611150 1684020 )
NEW met2 ( 1611150 206890 ) ( 1611150 1684020 )
NEW met1 ( 2900990 206890 ) M1M2_PR
NEW met2 ( 2900990 205020 ) via2_FR
NEW met1 ( 1611150 206890 ) M1M2_PR
NEW met2 ( 1611150 1684020 ) via2_FR
+ USE SIGNAL ;
- io_oeb[10] ( PIN io_oeb[10] ) ( user_proj_top tie[142] )
+ ROUTED met2 ( 2899150 2546430 ) ( 2899150 2551700 )
NEW met3 ( 2899150 2551700 ) ( 2917780 2551700 0 )
NEW met3 ( 1599420 2052240 0 ) ( 1599420 2053940 )
NEW met3 ( 1599420 2053940 ) ( 1611150 2053940 )
NEW met2 ( 1611150 2053940 ) ( 1611150 2056150 )
NEW met1 ( 1611150 2056150 ) ( 1900950 2056150 )
NEW met2 ( 1900950 2056150 ) ( 1900950 2546430 )
NEW met1 ( 1900950 2546430 ) ( 2899150 2546430 )
NEW met1 ( 2899150 2546430 ) M1M2_PR
NEW met2 ( 2899150 2551700 ) via2_FR
NEW met2 ( 1611150 2053940 ) via2_FR
NEW met1 ( 1611150 2056150 ) M1M2_PR
NEW met1 ( 1900950 2056150 ) M1M2_PR
NEW met1 ( 1900950 2546430 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) ( user_proj_top tie[143] )
+ ROUTED met2 ( 1921650 2069750 ) ( 1921650 2781030 )
NEW met2 ( 2899150 2781030 ) ( 2899150 2786300 )
NEW met3 ( 2899150 2786300 ) ( 2917780 2786300 0 )
NEW met3 ( 1599420 2065840 0 ) ( 1599420 2067540 )
NEW met3 ( 1599420 2067540 ) ( 1611150 2067540 )
NEW met2 ( 1611150 2067540 ) ( 1611150 2069750 )
NEW met1 ( 1611150 2069750 ) ( 1921650 2069750 )
NEW met1 ( 1921650 2781030 ) ( 2899150 2781030 )
NEW met1 ( 1921650 2069750 ) M1M2_PR
NEW met1 ( 1921650 2781030 ) M1M2_PR
NEW met1 ( 2899150 2781030 ) M1M2_PR
NEW met2 ( 2899150 2786300 ) via2_FR
NEW met2 ( 1611150 2067540 ) via2_FR
NEW met1 ( 1611150 2069750 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) ( user_proj_top tie[144] )
+ ROUTED met2 ( 2900990 3015630 ) ( 2900990 3020900 )
NEW met3 ( 2900990 3020900 ) ( 2917780 3020900 0 )
NEW met1 ( 1969950 3015630 ) ( 2900990 3015630 )
NEW met3 ( 1599420 2079440 0 ) ( 1599420 2081820 )
NEW met3 ( 1599420 2081820 ) ( 1609310 2081820 )
NEW met2 ( 1609310 2081820 ) ( 1609310 2083690 )
NEW met1 ( 1609310 2083690 ) ( 1969950 2083690 )
NEW met2 ( 1969950 2083690 ) ( 1969950 3015630 )
NEW met1 ( 2900990 3015630 ) M1M2_PR
NEW met2 ( 2900990 3020900 ) via2_FR
NEW met1 ( 1969950 3015630 ) M1M2_PR
NEW met2 ( 1609310 2081820 ) via2_FR
NEW met1 ( 1609310 2083690 ) M1M2_PR
NEW met1 ( 1969950 2083690 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) ( user_proj_top tie[145] )
+ ROUTED met2 ( 2900990 3250230 ) ( 2900990 3255500 )
NEW met3 ( 2900990 3255500 ) ( 2917780 3255500 0 )
NEW met3 ( 1599420 2093040 0 ) ( 1599420 2095420 )
NEW met3 ( 1599420 2095420 ) ( 1609310 2095420 )
NEW met2 ( 1609310 2095420 ) ( 1609310 2097290 )
NEW met1 ( 1609310 2097290 ) ( 1693950 2097290 )
NEW met2 ( 1693950 2097290 ) ( 1693950 3250230 )
NEW met1 ( 1693950 3250230 ) ( 2900990 3250230 )
NEW met1 ( 2900990 3250230 ) M1M2_PR
NEW met2 ( 2900990 3255500 ) via2_FR
NEW met2 ( 1609310 2095420 ) via2_FR
NEW met1 ( 1609310 2097290 ) M1M2_PR
NEW met1 ( 1693950 2097290 ) M1M2_PR
NEW met1 ( 1693950 3250230 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) ( user_proj_top tie[146] )
+ ROUTED met2 ( 2900990 3484830 ) ( 2900990 3490100 )
NEW met3 ( 2900990 3490100 ) ( 2917780 3490100 0 )
NEW met1 ( 1411510 2124830 ) ( 1424850 2124830 )
NEW met1 ( 1424850 3484830 ) ( 2900990 3484830 )
NEW met2 ( 1411510 2099500 0 ) ( 1411510 2124830 )
NEW met2 ( 1424850 2124830 ) ( 1424850 3484830 )
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3490100 ) via2_FR
NEW met1 ( 1411510 2124830 ) M1M2_PR
NEW met1 ( 1424850 2124830 ) M1M2_PR
NEW met1 ( 1424850 3484830 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) ( user_proj_top tie[147] )
+ ROUTED met2 ( 2636030 2114970 ) ( 2636030 3517980 0 )
NEW met1 ( 1433590 2114970 ) ( 2636030 2114970 )
NEW met2 ( 1433590 2099500 0 ) ( 1433590 2114970 )
NEW met1 ( 2636030 2114970 ) M1M2_PR
NEW met1 ( 1433590 2114970 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) ( user_proj_top tie[148] )
+ ROUTED met2 ( 1455670 2099500 0 ) ( 1455670 3501490 )
NEW met2 ( 2311730 3501490 ) ( 2311730 3517980 0 )
NEW met1 ( 1455670 3501490 ) ( 2311730 3501490 )
NEW met1 ( 1455670 3501490 ) M1M2_PR
NEW met1 ( 2311730 3501490 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) ( user_proj_top tie[149] )
+ ROUTED met2 ( 1478210 2099500 0 ) ( 1478210 2115990 )
NEW met1 ( 1478210 2115990 ) ( 1987430 2115990 )
NEW met2 ( 1987430 2115990 ) ( 1987430 3517980 0 )
NEW met1 ( 1478210 2115990 ) M1M2_PR
NEW met1 ( 1987430 2115990 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) ( user_proj_top tie[150] )
+ ROUTED met1 ( 1656230 3487890 ) ( 1662670 3487890 )
NEW met2 ( 1656230 2116670 ) ( 1656230 3487890 )
NEW met2 ( 1662670 3487890 ) ( 1662670 3517980 0 )
NEW met1 ( 1500290 2116670 ) ( 1656230 2116670 )
NEW met2 ( 1500290 2099500 0 ) ( 1500290 2116670 )
NEW met1 ( 1656230 2116670 ) M1M2_PR
NEW met1 ( 1656230 3487890 ) M1M2_PR
NEW met1 ( 1662670 3487890 ) M1M2_PR
NEW met1 ( 1500290 2116670 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) ( user_proj_top tie[151] )
+ ROUTED met1 ( 1338370 2117350 ) ( 1522370 2117350 )
NEW met2 ( 1338370 2117350 ) ( 1338370 3517980 0 )
NEW met2 ( 1522370 2099500 0 ) ( 1522370 2117350 )
NEW met1 ( 1338370 2117350 ) M1M2_PR
NEW met1 ( 1522370 2117350 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[1] ( PIN io_oeb[1] ) ( user_proj_top tie[134] )
+ ROUTED met2 ( 1638750 441490 ) ( 1638750 1725330 )
NEW met2 ( 2900990 439620 ) ( 2900990 441490 )
NEW met3 ( 2900990 439620 ) ( 2917780 439620 0 )
NEW met3 ( 1599420 1725160 0 ) ( 1599420 1725500 )
NEW met3 ( 1599420 1725500 ) ( 1611610 1725500 )
NEW met2 ( 1611610 1725330 ) ( 1611610 1725500 )
NEW met1 ( 1611610 1725330 ) ( 1638750 1725330 )
NEW met1 ( 1638750 441490 ) ( 2900990 441490 )
NEW met1 ( 1638750 1725330 ) M1M2_PR
NEW met1 ( 1638750 441490 ) M1M2_PR
NEW met1 ( 2900990 441490 ) M1M2_PR
NEW met2 ( 2900990 439620 ) via2_FR
NEW met2 ( 1611610 1725500 ) via2_FR
NEW met1 ( 1611610 1725330 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) ( user_proj_top tie[152] )
+ ROUTED met2 ( 1544910 2099500 0 ) ( 1544910 2117010 )
NEW met1 ( 1014070 2117010 ) ( 1544910 2117010 )
NEW met2 ( 1014070 2117010 ) ( 1014070 3517980 0 )
NEW met1 ( 1544910 2117010 ) M1M2_PR
NEW met1 ( 1014070 2117010 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) ( user_proj_top tie[153] )
+ ROUTED met2 ( 689770 2116330 ) ( 689770 3512100 )
NEW met2 ( 689310 3512100 ) ( 689770 3512100 )
NEW met2 ( 689310 3512100 ) ( 689310 3517980 0 )
NEW met2 ( 1566990 2099500 0 ) ( 1566990 2116330 )
NEW met1 ( 689770 2116330 ) ( 1566990 2116330 )
NEW met1 ( 689770 2116330 ) M1M2_PR
NEW met1 ( 1566990 2116330 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) ( user_proj_top tie[154] )
+ ROUTED met1 ( 365470 2115310 ) ( 1589070 2115310 )
NEW met2 ( 365470 2115310 ) ( 365470 3512100 )
NEW met2 ( 365010 3512100 ) ( 365470 3512100 )
NEW met2 ( 365010 3512100 ) ( 365010 3517980 0 )
NEW met2 ( 1589070 2099500 0 ) ( 1589070 2115310 )
NEW met1 ( 365470 2115310 ) M1M2_PR
NEW met1 ( 1589070 2115310 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) ( user_proj_top tie[155] )
+ ROUTED met2 ( 1187030 1876460 ) ( 1187030 1876630 )
NEW met2 ( 41170 1876630 ) ( 41170 3512100 )
NEW met2 ( 40710 3512100 ) ( 41170 3512100 )
NEW met2 ( 40710 3512100 ) ( 40710 3517980 0 )
NEW met1 ( 41170 1876630 ) ( 1187030 1876630 )
NEW met3 ( 1200140 1874760 0 ) ( 1200140 1876460 )
NEW met3 ( 1187030 1876460 ) ( 1200140 1876460 )
NEW met1 ( 1187030 1876630 ) M1M2_PR
NEW met2 ( 1187030 1876460 ) via2_FR
NEW met1 ( 41170 1876630 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) ( user_proj_top tie[156] )
+ ROUTED met3 ( 2300 3267740 0 ) ( 17710 3267740 )
NEW met2 ( 17710 1890570 ) ( 17710 3267740 )
NEW met2 ( 1187030 1890060 ) ( 1187030 1890570 )
NEW met1 ( 17710 1890570 ) ( 1187030 1890570 )
NEW met3 ( 1200140 1890060 ) ( 1200140 1890400 0 )
NEW met3 ( 1187030 1890060 ) ( 1200140 1890060 )
NEW met1 ( 17710 1890570 ) M1M2_PR
NEW met2 ( 17710 3267740 ) via2_FR
NEW met1 ( 1187030 1890570 ) M1M2_PR
NEW met2 ( 1187030 1890060 ) via2_FR
+ USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) ( user_proj_top tie[157] )
+ ROUTED met3 ( 2300 2980100 0 ) ( 18170 2980100 )
NEW met2 ( 18170 1910970 ) ( 18170 2980100 )
NEW met2 ( 1187030 1909100 ) ( 1187030 1910970 )
NEW met1 ( 18170 1910970 ) ( 1187030 1910970 )
NEW met3 ( 1200140 1906040 0 ) ( 1200140 1909100 )
NEW met3 ( 1187030 1909100 ) ( 1200140 1909100 )
NEW met1 ( 18170 1910970 ) M1M2_PR
NEW met2 ( 18170 2980100 ) via2_FR
NEW met1 ( 1187030 1910970 ) M1M2_PR
NEW met2 ( 1187030 1909100 ) via2_FR
+ USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) ( user_proj_top tie[158] )
+ ROUTED met3 ( 2300 2693140 0 ) ( 19090 2693140 )
NEW met2 ( 1187030 1924740 ) ( 1187030 1924910 )
NEW met2 ( 19090 1924910 ) ( 19090 2693140 )
NEW met1 ( 19090 1924910 ) ( 1187030 1924910 )
NEW met3 ( 1200140 1921680 0 ) ( 1200140 1924740 )
NEW met3 ( 1187030 1924740 ) ( 1200140 1924740 )
NEW met1 ( 19090 1924910 ) M1M2_PR
NEW met2 ( 19090 2693140 ) via2_FR
NEW met1 ( 1187030 1924910 ) M1M2_PR
NEW met2 ( 1187030 1924740 ) via2_FR
+ USE SIGNAL ;
- io_oeb[27] ( PIN io_oeb[27] ) ( user_proj_top tie[159] )
+ ROUTED met3 ( 2300 2405500 0 ) ( 19550 2405500 )
NEW met2 ( 1187030 1938340 ) ( 1187030 1938850 )
NEW met2 ( 19550 1938850 ) ( 19550 2405500 )
NEW met1 ( 19550 1938850 ) ( 1187030 1938850 )
NEW met3 ( 1200140 1936640 0 ) ( 1200140 1938340 )
NEW met3 ( 1187030 1938340 ) ( 1200140 1938340 )
NEW met1 ( 19550 1938850 ) M1M2_PR
NEW met2 ( 19550 2405500 ) via2_FR
NEW met1 ( 1187030 1938850 ) M1M2_PR
NEW met2 ( 1187030 1938340 ) via2_FR
+ USE SIGNAL ;
- io_oeb[28] ( PIN io_oeb[28] ) ( user_proj_top tie[160] )
+ ROUTED met3 ( 2300 2118540 0 ) ( 15870 2118540 )
NEW met2 ( 15870 2118370 ) ( 15870 2118540 )
NEW met1 ( 15870 2118370 ) ( 31050 2118370 )
NEW met2 ( 1187030 1952450 ) ( 1187030 1952620 )
NEW met2 ( 31050 1952450 ) ( 31050 2118370 )
NEW met1 ( 31050 1952450 ) ( 1187030 1952450 )
NEW met3 ( 1200140 1952280 0 ) ( 1200140 1952620 )
NEW met3 ( 1187030 1952620 ) ( 1200140 1952620 )
NEW met1 ( 31050 1952450 ) M1M2_PR
NEW met2 ( 15870 2118540 ) via2_FR
NEW met1 ( 15870 2118370 ) M1M2_PR
NEW met1 ( 31050 2118370 ) M1M2_PR
NEW met1 ( 1187030 1952450 ) M1M2_PR
NEW met2 ( 1187030 1952620 ) via2_FR
+ USE SIGNAL ;
- io_oeb[29] ( PIN io_oeb[29] ) ( user_proj_top tie[161] )
+ ROUTED met3 ( 2300 1830900 0 ) ( 14950 1830900 )
NEW met2 ( 14950 1830900 ) ( 14950 1835150 )
NEW met2 ( 1191630 1835150 ) ( 1191630 1966900 )
NEW met1 ( 14950 1835150 ) ( 1191630 1835150 )
NEW met3 ( 1200140 1966900 ) ( 1200140 1967920 0 )
NEW met3 ( 1191630 1966900 ) ( 1200140 1966900 )
NEW met2 ( 14950 1830900 ) via2_FR
NEW met1 ( 14950 1835150 ) M1M2_PR
NEW met1 ( 1191630 1835150 ) M1M2_PR
NEW met2 ( 1191630 1966900 ) via2_FR
+ USE SIGNAL ;
- io_oeb[2] ( PIN io_oeb[2] ) ( user_proj_top tie[135] )
+ ROUTED met2 ( 2900990 674220 ) ( 2900990 676090 )
NEW met3 ( 2900990 674220 ) ( 2917780 674220 0 )
NEW met3 ( 1599420 1762900 ) ( 1599420 1765960 0 )
NEW met3 ( 1599420 1762900 ) ( 1612070 1762900 )
NEW met1 ( 1612070 676090 ) ( 2900990 676090 )
NEW met2 ( 1612070 676090 ) ( 1612070 1762900 )
NEW met1 ( 2900990 676090 ) M1M2_PR
NEW met2 ( 2900990 674220 ) via2_FR
NEW met1 ( 1612070 676090 ) M1M2_PR
NEW met2 ( 1612070 1762900 ) via2_FR
+ USE SIGNAL ;
- io_oeb[30] ( PIN io_oeb[30] ) ( user_proj_top tie[162] )
+ ROUTED met3 ( 2300 1543940 0 ) ( 16790 1543940 )
NEW met2 ( 16790 1543940 ) ( 16790 1545470 )
NEW met2 ( 1187030 1980330 ) ( 1187030 1980500 )
NEW met1 ( 16790 1545470 ) ( 52210 1545470 )
NEW met2 ( 52210 1545470 ) ( 52210 1980330 )
NEW met1 ( 52210 1980330 ) ( 1187030 1980330 )
NEW met3 ( 1200140 1980500 ) ( 1200140 1983560 0 )
NEW met3 ( 1187030 1980500 ) ( 1200140 1980500 )
NEW met2 ( 16790 1543940 ) via2_FR
NEW met1 ( 16790 1545470 ) M1M2_PR
NEW met1 ( 1187030 1980330 ) M1M2_PR
NEW met2 ( 1187030 1980500 ) via2_FR
NEW met1 ( 52210 1545470 ) M1M2_PR
NEW met1 ( 52210 1980330 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[31] ( PIN io_oeb[31] ) ( user_proj_top tie[163] )
+ ROUTED met3 ( 2300 1328380 0 ) ( 14490 1328380 )
NEW met2 ( 14490 1328380 ) ( 14490 1331610 )
NEW met2 ( 1187030 1994270 ) ( 1187030 1996140 )
NEW met1 ( 14490 1331610 ) ( 231150 1331610 )
NEW met2 ( 231150 1331610 ) ( 231150 1994270 )
NEW met1 ( 231150 1994270 ) ( 1187030 1994270 )
NEW met3 ( 1200140 1996140 ) ( 1200140 1999200 0 )
NEW met3 ( 1187030 1996140 ) ( 1200140 1996140 )
NEW met2 ( 14490 1328380 ) via2_FR
NEW met1 ( 14490 1331610 ) M1M2_PR
NEW met1 ( 1187030 1994270 ) M1M2_PR
NEW met2 ( 1187030 1996140 ) via2_FR
NEW met1 ( 231150 1331610 ) M1M2_PR
NEW met1 ( 231150 1994270 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[32] ( PIN io_oeb[32] ) ( user_proj_top tie[164] )
+ ROUTED met2 ( 1187030 2015010 ) ( 1187030 2015180 )
NEW met3 ( 2300 1112820 0 ) ( 15870 1112820 )
NEW met2 ( 15870 1112820 ) ( 15870 1117750 )
NEW met1 ( 65550 2015010 ) ( 1187030 2015010 )
NEW met3 ( 1200140 2014840 0 ) ( 1200140 2015180 )
NEW met3 ( 1187030 2015180 ) ( 1200140 2015180 )
NEW met1 ( 15870 1117750 ) ( 65550 1117750 )
NEW met2 ( 65550 1117750 ) ( 65550 2015010 )
NEW met1 ( 1187030 2015010 ) M1M2_PR
NEW met2 ( 1187030 2015180 ) via2_FR
NEW met2 ( 15870 1112820 ) via2_FR
NEW met1 ( 15870 1117750 ) M1M2_PR
NEW met1 ( 65550 2015010 ) M1M2_PR
NEW met1 ( 65550 1117750 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[33] ( PIN io_oeb[33] ) ( user_proj_top tie[165] )
+ ROUTED met3 ( 2300 897260 0 ) ( 16330 897260 )
NEW met2 ( 16330 897260 ) ( 16330 903890 )
NEW met2 ( 1187030 2028610 ) ( 1187030 2028780 )
NEW met2 ( 286350 903890 ) ( 286350 2028610 )
NEW met1 ( 16330 903890 ) ( 286350 903890 )
NEW met1 ( 286350 2028610 ) ( 1187030 2028610 )
NEW met3 ( 1200140 2028780 ) ( 1200140 2029800 0 )
NEW met3 ( 1187030 2028780 ) ( 1200140 2028780 )
NEW met2 ( 16330 897260 ) via2_FR
NEW met1 ( 16330 903890 ) M1M2_PR
NEW met1 ( 286350 903890 ) M1M2_PR
NEW met1 ( 286350 2028610 ) M1M2_PR
NEW met1 ( 1187030 2028610 ) M1M2_PR
NEW met2 ( 1187030 2028780 ) via2_FR
+ USE SIGNAL ;
- io_oeb[34] ( PIN io_oeb[34] ) ( user_proj_top tie[166] )
+ ROUTED met3 ( 2300 681700 0 ) ( 17710 681700 )
NEW met2 ( 17710 681700 ) ( 17710 682890 )
NEW met2 ( 1187030 2042550 ) ( 1187030 2043740 )
NEW met1 ( 17710 682890 ) ( 79350 682890 )
NEW met1 ( 79350 2042550 ) ( 1187030 2042550 )
NEW met3 ( 1200140 2043740 ) ( 1200140 2045440 0 )
NEW met3 ( 1187030 2043740 ) ( 1200140 2043740 )
NEW met2 ( 79350 682890 ) ( 79350 2042550 )
NEW met2 ( 17710 681700 ) via2_FR
NEW met1 ( 17710 682890 ) M1M2_PR
NEW met1 ( 1187030 2042550 ) M1M2_PR
NEW met2 ( 1187030 2043740 ) via2_FR
NEW met1 ( 79350 682890 ) M1M2_PR
NEW met1 ( 79350 2042550 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[35] ( PIN io_oeb[35] ) ( user_proj_top tie[167] )
+ ROUTED met3 ( 2300 466140 0 ) ( 17710 466140 )
NEW met2 ( 17710 466140 ) ( 17710 469030 )
NEW met3 ( 1200140 2058020 ) ( 1200140 2061080 0 )
NEW met3 ( 1190710 2058020 ) ( 1200140 2058020 )
NEW met1 ( 17710 469030 ) ( 1190710 469030 )
NEW met2 ( 1190710 469030 ) ( 1190710 2058020 )
NEW met2 ( 1190710 2058020 ) via2_FR
NEW met2 ( 17710 466140 ) via2_FR
NEW met1 ( 17710 469030 ) M1M2_PR
NEW met1 ( 1190710 469030 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[36] ( PIN io_oeb[36] ) ( user_proj_top tie[168] )
+ ROUTED met3 ( 2300 250580 0 ) ( 15410 250580 )
NEW met2 ( 15410 250580 ) ( 15410 255170 )
NEW met2 ( 86250 255170 ) ( 86250 2070090 )
NEW met2 ( 1187030 2070090 ) ( 1187030 2073660 )
NEW met1 ( 15410 255170 ) ( 86250 255170 )
NEW met1 ( 86250 2070090 ) ( 1187030 2070090 )
NEW met3 ( 1200140 2073660 ) ( 1200140 2076720 0 )
NEW met3 ( 1187030 2073660 ) ( 1200140 2073660 )
NEW met2 ( 15410 250580 ) via2_FR
NEW met1 ( 15410 255170 ) M1M2_PR
NEW met1 ( 86250 255170 ) M1M2_PR
NEW met1 ( 86250 2070090 ) M1M2_PR
NEW met1 ( 1187030 2070090 ) M1M2_PR
NEW met2 ( 1187030 2073660 ) via2_FR
+ USE SIGNAL ;
- io_oeb[37] ( PIN io_oeb[37] ) ( user_proj_top tie[169] )
+ ROUTED met3 ( 2300 35700 0 ) ( 15870 35700 )
NEW met2 ( 15870 35700 ) ( 15870 41310 )
NEW met1 ( 15870 41310 ) ( 1190250 41310 )
NEW met3 ( 1200140 2091340 ) ( 1200140 2092360 0 )
NEW met3 ( 1190250 2091340 ) ( 1200140 2091340 )
NEW met2 ( 1190250 41310 ) ( 1190250 2091340 )
NEW met2 ( 15870 35700 ) via2_FR
NEW met1 ( 15870 41310 ) M1M2_PR
NEW met1 ( 1190250 41310 ) M1M2_PR
NEW met2 ( 1190250 2091340 ) via2_FR
+ USE SIGNAL ;
- io_oeb[3] ( PIN io_oeb[3] ) ( user_proj_top tie[136] )
+ ROUTED met2 ( 1666350 910690 ) ( 1666350 1801150 )
NEW met2 ( 2900990 909500 ) ( 2900990 910690 )
NEW met3 ( 2900990 909500 ) ( 2917780 909500 0 )
NEW met3 ( 1599420 1803700 ) ( 1599420 1806760 0 )
NEW met3 ( 1599420 1803700 ) ( 1609310 1803700 )
NEW met2 ( 1609310 1801150 ) ( 1609310 1803700 )
NEW met1 ( 1609310 1801150 ) ( 1666350 1801150 )
NEW met1 ( 1666350 910690 ) ( 2900990 910690 )
NEW met1 ( 1666350 910690 ) M1M2_PR
NEW met1 ( 1666350 1801150 ) M1M2_PR
NEW met1 ( 2900990 910690 ) M1M2_PR
NEW met2 ( 2900990 909500 ) via2_FR
NEW met2 ( 1609310 1803700 ) via2_FR
NEW met1 ( 1609310 1801150 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[4] ( PIN io_oeb[4] ) ( user_proj_top tdo_paden_o )
+ ROUTED met2 ( 2900990 1144100 ) ( 2900990 1145290 )
NEW met3 ( 2900990 1144100 ) ( 2917780 1144100 0 )
NEW met3 ( 1599420 1830900 ) ( 1599420 1833960 0 )
NEW met3 ( 1599420 1830900 ) ( 1612990 1830900 )
NEW met2 ( 1612990 1145290 ) ( 1612990 1830900 )
NEW met1 ( 1612990 1145290 ) ( 2900990 1145290 )
NEW met1 ( 2900990 1145290 ) M1M2_PR
NEW met2 ( 2900990 1144100 ) via2_FR
NEW met2 ( 1612990 1830900 ) via2_FR
NEW met1 ( 1612990 1145290 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[5] ( PIN io_oeb[5] ) ( user_proj_top tie[137] )
+ ROUTED met2 ( 2900990 1378700 ) ( 2900990 1379890 )
NEW met3 ( 2900990 1378700 ) ( 2917780 1378700 0 )
NEW met2 ( 1673250 1379890 ) ( 1673250 1980330 )
NEW met1 ( 1673250 1379890 ) ( 2900990 1379890 )
NEW met3 ( 1599420 1982540 ) ( 1599420 1984240 0 )
NEW met3 ( 1599420 1982540 ) ( 1611150 1982540 )
NEW met2 ( 1611150 1980330 ) ( 1611150 1982540 )
NEW met1 ( 1611150 1980330 ) ( 1673250 1980330 )
NEW met1 ( 1673250 1379890 ) M1M2_PR
NEW met1 ( 2900990 1379890 ) M1M2_PR
NEW met2 ( 2900990 1378700 ) via2_FR
NEW met1 ( 1673250 1980330 ) M1M2_PR
NEW met2 ( 1611150 1982540 ) via2_FR
NEW met1 ( 1611150 1980330 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[6] ( PIN io_oeb[6] ) ( user_proj_top tie[138] )
+ ROUTED met2 ( 2900990 1613300 ) ( 2900990 1614490 )
NEW met3 ( 2900990 1613300 ) ( 2917780 1613300 0 )
NEW met3 ( 1599420 1994780 ) ( 1599420 1997840 0 )
NEW met3 ( 1599420 1994780 ) ( 1613910 1994780 )
NEW met2 ( 1613910 1614490 ) ( 1613910 1994780 )
NEW met1 ( 1613910 1614490 ) ( 2900990 1614490 )
NEW met1 ( 2900990 1614490 ) M1M2_PR
NEW met2 ( 2900990 1613300 ) via2_FR
NEW met1 ( 1613910 1614490 ) M1M2_PR
NEW met2 ( 1613910 1994780 ) via2_FR
+ USE SIGNAL ;
- io_oeb[7] ( PIN io_oeb[7] ) ( user_proj_top tie[139] )
+ ROUTED met2 ( 2900990 1847900 ) ( 2900990 1849090 )
NEW met3 ( 2900990 1847900 ) ( 2917780 1847900 0 )
NEW met1 ( 1611150 1849090 ) ( 2900990 1849090 )
NEW met2 ( 1611150 1849090 ) ( 1611150 1966500 )
NEW met3 ( 1599420 2008380 ) ( 1599420 2011440 0 )
NEW met3 ( 1599420 2008380 ) ( 1610690 2008380 )
NEW met2 ( 1610690 1966500 ) ( 1610690 2008380 )
NEW met2 ( 1610690 1966500 ) ( 1611150 1966500 )
NEW met1 ( 2900990 1849090 ) M1M2_PR
NEW met2 ( 2900990 1847900 ) via2_FR
NEW met1 ( 1611150 1849090 ) M1M2_PR
NEW met2 ( 1610690 2008380 ) via2_FR
+ USE SIGNAL ;
- io_oeb[8] ( PIN io_oeb[8] ) ( user_proj_top tie[140] )
+ ROUTED met2 ( 2898230 2077230 ) ( 2898230 2082500 )
NEW met3 ( 2898230 2082500 ) ( 2917780 2082500 0 )
NEW met3 ( 1599420 2025040 0 ) ( 1599420 2026740 )
NEW met3 ( 1599420 2026740 ) ( 1609310 2026740 )
NEW met2 ( 1609310 2026740 ) ( 1609310 2028270 )
NEW met1 ( 1609310 2028270 ) ( 1970410 2028270 )
NEW met2 ( 1970410 2028270 ) ( 1970410 2077230 )
NEW met1 ( 1970410 2077230 ) ( 2898230 2077230 )
NEW met1 ( 2898230 2077230 ) M1M2_PR
NEW met2 ( 2898230 2082500 ) via2_FR
NEW met2 ( 1609310 2026740 ) via2_FR
NEW met1 ( 1609310 2028270 ) M1M2_PR
NEW met1 ( 1970410 2028270 ) M1M2_PR
NEW met1 ( 1970410 2077230 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[9] ( PIN io_oeb[9] ) ( user_proj_top tie[141] )
+ ROUTED met2 ( 2899150 2311830 ) ( 2899150 2317100 )
NEW met3 ( 2899150 2317100 ) ( 2917780 2317100 0 )
NEW met3 ( 1599420 2038640 0 ) ( 1599420 2040340 )
NEW met3 ( 1599420 2040340 ) ( 1610230 2040340 )
NEW met2 ( 1610230 2040340 ) ( 1610230 2042210 )
NEW met1 ( 1610230 2042210 ) ( 1976850 2042210 )
NEW met1 ( 1976850 2311830 ) ( 2899150 2311830 )
NEW met2 ( 1976850 2042210 ) ( 1976850 2311830 )
NEW met1 ( 2899150 2311830 ) M1M2_PR
NEW met2 ( 2899150 2317100 ) via2_FR
NEW met2 ( 1610230 2040340 ) via2_FR
NEW met1 ( 1610230 2042210 ) M1M2_PR
NEW met1 ( 1976850 2042210 ) M1M2_PR
NEW met1 ( 1976850 2311830 ) M1M2_PR
+ USE SIGNAL ;
- io_out[0] ( PIN io_out[0] ) ( user_proj_top tie[96] )
+ ROUTED met2 ( 2900990 146540 ) ( 2900990 151470 )
NEW met3 ( 2900990 146540 ) ( 2917780 146540 0 )
NEW met3 ( 1599420 1670420 ) ( 1599420 1670760 0 )
NEW met3 ( 1599420 1670420 ) ( 1610690 1670420 )
NEW met2 ( 1610690 1669910 ) ( 1610690 1670420 )
NEW met1 ( 1610690 1669910 ) ( 1700850 1669910 )
NEW met2 ( 1700850 151470 ) ( 1700850 1669910 )
NEW met1 ( 1700850 151470 ) ( 2900990 151470 )
NEW met1 ( 2900990 151470 ) M1M2_PR
NEW met2 ( 2900990 146540 ) via2_FR
NEW met2 ( 1610690 1670420 ) via2_FR
NEW met1 ( 1610690 1669910 ) M1M2_PR
NEW met1 ( 1700850 1669910 ) M1M2_PR
NEW met1 ( 1700850 151470 ) M1M2_PR
+ USE SIGNAL ;
- io_out[10] ( PIN io_out[10] ) ( user_proj_top tie[105] )
+ ROUTED met2 ( 1942350 1918110 ) ( 1942350 2491010 )
NEW met2 ( 2900990 2491010 ) ( 2900990 2493220 )
NEW met3 ( 2900990 2493220 ) ( 2917780 2493220 0 )
NEW met3 ( 1599420 1916240 0 ) ( 1599420 1917260 )
NEW met3 ( 1599420 1917260 ) ( 1612530 1917260 )
NEW met2 ( 1612530 1917260 ) ( 1612530 1918110 )
NEW met1 ( 1612530 1918110 ) ( 1942350 1918110 )
NEW met1 ( 1942350 2491010 ) ( 2900990 2491010 )
NEW met1 ( 1942350 1918110 ) M1M2_PR
NEW met1 ( 1942350 2491010 ) M1M2_PR
NEW met1 ( 2900990 2491010 ) M1M2_PR
NEW met2 ( 2900990 2493220 ) via2_FR
NEW met2 ( 1612530 1917260 ) via2_FR
NEW met1 ( 1612530 1918110 ) M1M2_PR
+ USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) ( user_proj_top tie[106] )
+ ROUTED met2 ( 2900990 2725610 ) ( 2900990 2727820 )
NEW met3 ( 2900990 2727820 ) ( 2917780 2727820 0 )
NEW met2 ( 1956150 1931710 ) ( 1956150 2725610 )
NEW met3 ( 1599420 1929840 0 ) ( 1599420 1930860 )
NEW met3 ( 1599420 1930860 ) ( 1612530 1930860 )
NEW met2 ( 1612530 1930860 ) ( 1612530 1931710 )
NEW met1 ( 1612530 1931710 ) ( 1956150 1931710 )
NEW met1 ( 1956150 2725610 ) ( 2900990 2725610 )
NEW met1 ( 1956150 1931710 ) M1M2_PR
NEW met1 ( 1956150 2725610 ) M1M2_PR
NEW met1 ( 2900990 2725610 ) M1M2_PR
NEW met2 ( 2900990 2727820 ) via2_FR
NEW met2 ( 1612530 1930860 ) via2_FR
NEW met1 ( 1612530 1931710 ) M1M2_PR
+ USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) ( user_proj_top tie[107] )
+ ROUTED met3 ( 2902370 2962420 ) ( 2917780 2962420 0 )
NEW met2 ( 2902370 1945650 ) ( 2902370 2962420 )
NEW met3 ( 1599420 1943440 0 ) ( 1599420 1944460 )
NEW met3 ( 1599420 1944460 ) ( 1612530 1944460 )
NEW met2 ( 1612530 1944460 ) ( 1612530 1945650 )
NEW met1 ( 1612530 1945650 ) ( 2902370 1945650 )
NEW met1 ( 2902370 1945650 ) M1M2_PR
NEW met2 ( 2902370 2962420 ) via2_FR
NEW met2 ( 1612530 1944460 ) via2_FR
NEW met1 ( 1612530 1945650 ) M1M2_PR
+ USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) ( user_proj_top tie[108] )
+ ROUTED met3 ( 2901910 3197020 ) ( 2917780 3197020 0 )
NEW met2 ( 2901910 1959590 ) ( 2901910 3197020 )
NEW met3 ( 1599420 1957040 0 ) ( 1599420 1958060 )
NEW met3 ( 1599420 1958060 ) ( 1612530 1958060 )
NEW met2 ( 1612530 1958060 ) ( 1612530 1959590 )
NEW met1 ( 1612530 1959590 ) ( 2901910 1959590 )
NEW met1 ( 2901910 1959590 ) M1M2_PR
NEW met2 ( 2901910 3197020 ) via2_FR
NEW met2 ( 1612530 1958060 ) via2_FR
NEW met1 ( 1612530 1959590 ) M1M2_PR
+ USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) ( user_proj_top tie[109] )
+ ROUTED met3 ( 2901450 3431620 ) ( 2917780 3431620 0 )
NEW met2 ( 2901450 1973190 ) ( 2901450 3431620 )
NEW met3 ( 1599420 1970640 0 ) ( 1599420 1971660 )
NEW met3 ( 1599420 1971660 ) ( 1612530 1971660 )
NEW met2 ( 1612530 1971660 ) ( 1612530 1973190 )
NEW met1 ( 1612530 1973190 ) ( 2901450 1973190 )
NEW met1 ( 2901450 1973190 ) M1M2_PR
NEW met2 ( 2901450 3431620 ) via2_FR
NEW met2 ( 1612530 1971660 ) via2_FR
NEW met1 ( 1612530 1973190 ) M1M2_PR
+ USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) ( user_proj_top tie[110] )
+ ROUTED met2 ( 2711930 2114630 ) ( 2711930 3415500 )
NEW met2 ( 2711930 3415500 ) ( 2717450 3415500 )
NEW met2 ( 2717450 3415500 ) ( 2717450 3517980 0 )
NEW met1 ( 1211410 2114630 ) ( 2711930 2114630 )
NEW met2 ( 1211410 2099500 0 ) ( 1211410 2114630 )
NEW met1 ( 2711930 2114630 ) M1M2_PR
NEW met1 ( 1211410 2114630 ) M1M2_PR
+ USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) ( user_proj_top tie[111] )
+ ROUTED met1 ( 1233490 2115650 ) ( 2387630 2115650 )
NEW met2 ( 1233490 2099500 0 ) ( 1233490 2115650 )
NEW met2 ( 2387630 2115650 ) ( 2387630 3415500 )
NEW met2 ( 2387630 3415500 ) ( 2392690 3415500 )
NEW met2 ( 2392690 3415500 ) ( 2392690 3517980 0 )
NEW met1 ( 1233490 2115650 ) M1M2_PR
NEW met1 ( 2387630 2115650 ) M1M2_PR
+ USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) ( user_proj_top tie[112] )
+ ROUTED met2 ( 1255570 2099500 0 ) ( 1255570 3501830 )
NEW met1 ( 1255570 3501830 ) ( 2068390 3501830 )
NEW met2 ( 2068390 3501830 ) ( 2068390 3517980 0 )
NEW met1 ( 1255570 3501830 ) M1M2_PR
NEW met1 ( 2068390 3501830 ) M1M2_PR
+ USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) ( user_proj_top tie[113] )
+ ROUTED met1 ( 1278110 2112250 ) ( 1283170 2112250 )
NEW met2 ( 1278110 2099500 0 ) ( 1278110 2112250 )
NEW met2 ( 1283170 2112250 ) ( 1283170 3502170 )
NEW met2 ( 1744090 3502170 ) ( 1744090 3517980 0 )
NEW met1 ( 1283170 3502170 ) ( 1744090 3502170 )
NEW met1 ( 1278110 2112250 ) M1M2_PR
NEW met1 ( 1283170 2112250 ) M1M2_PR
NEW met1 ( 1283170 3502170 ) M1M2_PR
NEW met1 ( 1744090 3502170 ) M1M2_PR
+ USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) ( user_proj_top tie[114] )
+ ROUTED met1 ( 1300190 2117690 ) ( 1414730 2117690 )
NEW met2 ( 1300190 2099500 0 ) ( 1300190 2117690 )
NEW met2 ( 1414730 2117690 ) ( 1414730 3415500 )
NEW met2 ( 1414730 3415500 ) ( 1419330 3415500 )
NEW met2 ( 1419330 3415500 ) ( 1419330 3517980 0 )
NEW met1 ( 1300190 2117690 ) M1M2_PR
NEW met1 ( 1414730 2117690 ) M1M2_PR
+ USE SIGNAL ;
- io_out[1] ( PIN io_out[1] ) ( user_proj_top tie[97] )
+ ROUTED met2 ( 2900990 381140 ) ( 2900990 386070 )
NEW met3 ( 2900990 381140 ) ( 2917780 381140 0 )
NEW met1 ( 1611610 386070 ) ( 2900990 386070 )
NEW met3 ( 1599420 1711220 ) ( 1599420 1711560 0 )
NEW met3 ( 1599420 1711220 ) ( 1611150 1711220 )
NEW met2 ( 1611150 1696940 ) ( 1611150 1711220 )
NEW met2 ( 1611150 1696940 ) ( 1611610 1696940 )
NEW met2 ( 1611610 386070 ) ( 1611610 1696940 )
NEW met1 ( 2900990 386070 ) M1M2_PR
NEW met2 ( 2900990 381140 ) via2_FR
NEW met1 ( 1611610 386070 ) M1M2_PR
NEW met2 ( 1611150 1711220 ) via2_FR
+ USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( user_proj_top tie[115] )
+ ROUTED met2 ( 1096870 2117350 ) ( 1096870 3512100 )
NEW met2 ( 1095030 3512100 ) ( 1096870 3512100 )
NEW met2 ( 1095030 3512100 ) ( 1095030 3517980 0 )
NEW met1 ( 1096870 2117350 ) ( 1322270 2117350 )
NEW met2 ( 1322270 2099500 0 ) ( 1322270 2117350 )
NEW met1 ( 1096870 2117350 ) M1M2_PR
NEW met1 ( 1322270 2117350 ) M1M2_PR
+ USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) ( user_proj_top tie[116] )
+ ROUTED met2 ( 772570 2116670 ) ( 772570 3512100 )
NEW met2 ( 770730 3512100 ) ( 772570 3512100 )
NEW met2 ( 770730 3512100 ) ( 770730 3517980 0 )
NEW met2 ( 1344810 2099500 0 ) ( 1344810 2116670 )
NEW met1 ( 772570 2116670 ) ( 1344810 2116670 )
NEW met1 ( 772570 2116670 ) M1M2_PR
NEW met1 ( 1344810 2116670 ) M1M2_PR
+ USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) ( user_proj_top tie[117] )
+ ROUTED met2 ( 1366890 2099500 0 ) ( 1366890 2115990 )
NEW met1 ( 445970 3498430 ) ( 448270 3498430 )
NEW met1 ( 448270 2115990 ) ( 1366890 2115990 )
NEW met2 ( 448270 2115990 ) ( 448270 3498430 )
NEW met2 ( 445970 3498430 ) ( 445970 3517980 0 )
NEW met1 ( 1366890 2115990 ) M1M2_PR
NEW met1 ( 448270 2115990 ) M1M2_PR
NEW met1 ( 445970 3498430 ) M1M2_PR
NEW met1 ( 448270 3498430 ) M1M2_PR
+ USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) ( user_proj_top tie[118] )
+ ROUTED met1 ( 121670 3498430 ) ( 123970 3498430 )
NEW met2 ( 123970 2114970 ) ( 123970 3498430 )
NEW met2 ( 121670 3498430 ) ( 121670 3517980 0 )
NEW met1 ( 123970 2114970 ) ( 1388970 2114970 )
NEW met2 ( 1388970 2099500 0 ) ( 1388970 2114970 )
NEW met1 ( 123970 2114970 ) M1M2_PR
NEW met1 ( 121670 3498430 ) M1M2_PR
NEW met1 ( 123970 3498430 ) M1M2_PR
NEW met1 ( 1388970 2114970 ) M1M2_PR
+ USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) ( user_proj_top tie[119] )
+ ROUTED met2 ( 1187030 1660900 ) ( 1187030 1662770 )
NEW met3 ( 2300 3339820 0 ) ( 17250 3339820 )
NEW met2 ( 17250 3339650 ) ( 17250 3339820 )
NEW met1 ( 72450 1662770 ) ( 1187030 1662770 )
NEW met3 ( 1200140 1657840 0 ) ( 1200140 1660900 )
NEW met3 ( 1187030 1660900 ) ( 1200140 1660900 )
NEW met1 ( 17250 3339650 ) ( 72450 3339650 )
NEW met2 ( 72450 1662770 ) ( 72450 3339650 )
NEW met1 ( 1187030 1662770 ) M1M2_PR
NEW met2 ( 1187030 1660900 ) via2_FR
NEW met2 ( 17250 3339820 ) via2_FR
NEW met1 ( 17250 3339650 ) M1M2_PR
NEW met1 ( 72450 1662770 ) M1M2_PR
NEW met1 ( 72450 3339650 ) M1M2_PR
+ USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) ( user_proj_top tie[120] )
+ ROUTED met2 ( 1187030 1675860 ) ( 1187030 1676370 )
NEW met3 ( 2300 3052180 0 ) ( 17250 3052180 )
NEW met2 ( 17250 1676370 ) ( 17250 3052180 )
NEW met1 ( 17250 1676370 ) ( 1187030 1676370 )
NEW met3 ( 1200140 1672800 0 ) ( 1200140 1675860 )
NEW met3 ( 1187030 1675860 ) ( 1200140 1675860 )
NEW met1 ( 17250 1676370 ) M1M2_PR
NEW met1 ( 1187030 1676370 ) M1M2_PR
NEW met2 ( 1187030 1675860 ) via2_FR
NEW met2 ( 17250 3052180 ) via2_FR
+ USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) ( user_proj_top tie[121] )
+ ROUTED met3 ( 2300 2765220 0 ) ( 16790 2765220 )
NEW met2 ( 16790 2760290 ) ( 16790 2765220 )
NEW met2 ( 100050 1690310 ) ( 100050 2760290 )
NEW met2 ( 1187030 1690140 ) ( 1187030 1690310 )
NEW met1 ( 16790 2760290 ) ( 100050 2760290 )
NEW met1 ( 100050 1690310 ) ( 1187030 1690310 )
NEW met3 ( 1200140 1688440 0 ) ( 1200140 1690140 )
NEW met3 ( 1187030 1690140 ) ( 1200140 1690140 )
NEW met2 ( 16790 2765220 ) via2_FR
NEW met1 ( 16790 2760290 ) M1M2_PR
NEW met1 ( 100050 1690310 ) M1M2_PR
NEW met1 ( 100050 2760290 ) M1M2_PR
NEW met1 ( 1187030 1690310 ) M1M2_PR
NEW met2 ( 1187030 1690140 ) via2_FR
+ USE SIGNAL ;
- io_out[27] ( PIN io_out[27] ) ( user_proj_top tie[122] )
+ ROUTED met3 ( 2300 2477580 0 ) ( 18630 2477580 )
NEW met2 ( 18630 1704250 ) ( 18630 2477580 )
NEW met2 ( 1187030 1703740 ) ( 1187030 1704250 )
NEW met1 ( 18630 1704250 ) ( 1187030 1704250 )
NEW met3 ( 1200140 1703740 ) ( 1200140 1704080 0 )
NEW met3 ( 1187030 1703740 ) ( 1200140 1703740 )
NEW met1 ( 18630 1704250 ) M1M2_PR
NEW met2 ( 18630 2477580 ) via2_FR
NEW met1 ( 1187030 1704250 ) M1M2_PR
NEW met2 ( 1187030 1703740 ) via2_FR
+ USE SIGNAL ;
- io_out[28] ( PIN io_out[28] ) ( user_proj_top tie[123] )
+ ROUTED met3 ( 2300 2189940 0 ) ( 15870 2189940 )
NEW met2 ( 15870 2187390 ) ( 15870 2189940 )
NEW met2 ( 106950 1724990 ) ( 106950 2187390 )
NEW met2 ( 1187030 1722780 ) ( 1187030 1724990 )
NEW met1 ( 15870 2187390 ) ( 106950 2187390 )
NEW met1 ( 106950 1724990 ) ( 1187030 1724990 )
NEW met3 ( 1200140 1719720 0 ) ( 1200140 1722780 )
NEW met3 ( 1187030 1722780 ) ( 1200140 1722780 )
NEW met2 ( 15870 2189940 ) via2_FR
NEW met1 ( 15870 2187390 ) M1M2_PR
NEW met1 ( 106950 1724990 ) M1M2_PR
NEW met1 ( 106950 2187390 ) M1M2_PR
NEW met1 ( 1187030 1724990 ) M1M2_PR
NEW met2 ( 1187030 1722780 ) via2_FR
+ USE SIGNAL ;
- io_out[29] ( PIN io_out[29] ) ( user_proj_top tie[124] )
+ ROUTED met2 ( 1187030 1738420 ) ( 1187030 1738590 )
NEW met3 ( 2300 1902980 0 ) ( 18170 1902980 )
NEW met2 ( 18170 1738590 ) ( 18170 1902980 )
NEW met1 ( 18170 1738590 ) ( 1187030 1738590 )
NEW met3 ( 1200140 1735360 0 ) ( 1200140 1738420 )
NEW met3 ( 1187030 1738420 ) ( 1200140 1738420 )
NEW met1 ( 18170 1738590 ) M1M2_PR
NEW met1 ( 1187030 1738590 ) M1M2_PR
NEW met2 ( 1187030 1738420 ) via2_FR
NEW met2 ( 18170 1902980 ) via2_FR
+ USE SIGNAL ;
- io_out[2] ( PIN io_out[2] ) ( user_proj_top tie[98] )
+ ROUTED met2 ( 2900990 615740 ) ( 2900990 620670 )
NEW met3 ( 2900990 615740 ) ( 2917780 615740 0 )
NEW met3 ( 1599420 1749300 ) ( 1599420 1752360 0 )
NEW met3 ( 1599420 1749300 ) ( 1610230 1749300 )
NEW met2 ( 1610230 1745730 ) ( 1610230 1749300 )
NEW met1 ( 1610230 1745730 ) ( 1707750 1745730 )
NEW met2 ( 1707750 620670 ) ( 1707750 1745730 )
NEW met1 ( 1707750 620670 ) ( 2900990 620670 )
NEW met1 ( 2900990 620670 ) M1M2_PR
NEW met2 ( 2900990 615740 ) via2_FR
NEW met2 ( 1610230 1749300 ) via2_FR
NEW met1 ( 1610230 1745730 ) M1M2_PR
NEW met1 ( 1707750 1745730 ) M1M2_PR
NEW met1 ( 1707750 620670 ) M1M2_PR
+ USE SIGNAL ;
- io_out[30] ( PIN io_out[30] ) ( user_proj_top tie[125] )
+ ROUTED met3 ( 2300 1615340 0 ) ( 16330 1615340 )
NEW met2 ( 16330 1615340 ) ( 16330 1621290 )
NEW met3 ( 1200140 1747260 ) ( 1200140 1750320 0 )
NEW met3 ( 1192550 1747260 ) ( 1200140 1747260 )
NEW met1 ( 16330 1621290 ) ( 1192550 1621290 )
NEW met2 ( 1192550 1621290 ) ( 1192550 1747260 )
NEW met2 ( 1192550 1747260 ) via2_FR
NEW met2 ( 16330 1615340 ) via2_FR
NEW met1 ( 16330 1621290 ) M1M2_PR
NEW met1 ( 1192550 1621290 ) M1M2_PR
+ USE SIGNAL ;
- io_out[31] ( PIN io_out[31] ) ( user_proj_top tie[126] )
+ ROUTED met2 ( 1187030 1759670 ) ( 1187030 1762900 )
NEW met3 ( 2300 1400460 0 ) ( 15870 1400460 )
NEW met2 ( 15870 1400460 ) ( 15870 1400630 )
NEW met1 ( 15870 1400630 ) ( 31050 1400630 )
NEW met2 ( 31050 1400630 ) ( 31050 1759670 )
NEW met1 ( 31050 1759670 ) ( 1187030 1759670 )
NEW met3 ( 1200140 1762900 ) ( 1200140 1765960 0 )
NEW met3 ( 1187030 1762900 ) ( 1200140 1762900 )
NEW met1 ( 31050 1759670 ) M1M2_PR
NEW met1 ( 1187030 1759670 ) M1M2_PR
NEW met2 ( 1187030 1762900 ) via2_FR
NEW met2 ( 15870 1400460 ) via2_FR
NEW met1 ( 15870 1400630 ) M1M2_PR
NEW met1 ( 31050 1400630 ) M1M2_PR
+ USE SIGNAL ;
- io_out[32] ( PIN io_out[32] ) ( user_proj_top tie[127] )
+ ROUTED met3 ( 2300 1184900 0 ) ( 17710 1184900 )
NEW met2 ( 17710 1184900 ) ( 17710 1186770 )
NEW met1 ( 17710 1186770 ) ( 1192090 1186770 )
NEW met3 ( 1200140 1780580 ) ( 1200140 1781600 0 )
NEW met3 ( 1192090 1780580 ) ( 1200140 1780580 )
NEW met2 ( 1192090 1186770 ) ( 1192090 1780580 )
NEW met2 ( 17710 1184900 ) via2_FR
NEW met1 ( 17710 1186770 ) M1M2_PR
NEW met1 ( 1192090 1186770 ) M1M2_PR
NEW met2 ( 1192090 1780580 ) via2_FR
+ USE SIGNAL ;
- io_out[33] ( PIN io_out[33] ) ( user_proj_top tie[128] )
+ ROUTED met3 ( 2300 969340 0 ) ( 14490 969340 )
NEW met2 ( 14490 969340 ) ( 14490 972570 )
NEW met2 ( 1187030 1794010 ) ( 1187030 1794180 )
NEW met1 ( 14490 972570 ) ( 141450 972570 )
NEW met2 ( 141450 972570 ) ( 141450 1794010 )
NEW met1 ( 141450 1794010 ) ( 1187030 1794010 )
NEW met3 ( 1200140 1794180 ) ( 1200140 1797240 0 )
NEW met3 ( 1187030 1794180 ) ( 1200140 1794180 )
NEW met2 ( 14490 969340 ) via2_FR
NEW met1 ( 14490 972570 ) M1M2_PR
NEW met1 ( 1187030 1794010 ) M1M2_PR
NEW met2 ( 1187030 1794180 ) via2_FR
NEW met1 ( 141450 972570 ) M1M2_PR
NEW met1 ( 141450 1794010 ) M1M2_PR
+ USE SIGNAL ;
- io_out[34] ( PIN io_out[34] ) ( user_proj_top tie[129] )
+ ROUTED met3 ( 2300 753780 0 ) ( 15870 753780 )
NEW met2 ( 15870 753780 ) ( 15870 758710 )
NEW met1 ( 15870 758710 ) ( 1191630 758710 )
NEW met3 ( 1200140 1809820 ) ( 1200140 1812880 0 )
NEW met3 ( 1191630 1809820 ) ( 1200140 1809820 )
NEW met2 ( 1191630 758710 ) ( 1191630 1809820 )
NEW met2 ( 15870 753780 ) via2_FR
NEW met1 ( 15870 758710 ) M1M2_PR
NEW met1 ( 1191630 758710 ) M1M2_PR
NEW met2 ( 1191630 1809820 ) via2_FR
+ USE SIGNAL ;
- io_out[35] ( PIN io_out[35] ) ( user_proj_top tie[130] )
+ ROUTED met2 ( 1187030 1828690 ) ( 1187030 1828860 )
NEW met3 ( 2300 538220 0 ) ( 16330 538220 )
NEW met2 ( 16330 538220 ) ( 16330 544850 )
NEW met1 ( 155250 1828690 ) ( 1187030 1828690 )
NEW met3 ( 1200140 1828520 0 ) ( 1200140 1828860 )
NEW met3 ( 1187030 1828860 ) ( 1200140 1828860 )
NEW met1 ( 16330 544850 ) ( 155250 544850 )
NEW met2 ( 155250 544850 ) ( 155250 1828690 )
NEW met1 ( 1187030 1828690 ) M1M2_PR
NEW met2 ( 1187030 1828860 ) via2_FR
NEW met2 ( 16330 538220 ) via2_FR
NEW met1 ( 16330 544850 ) M1M2_PR
NEW met1 ( 155250 1828690 ) M1M2_PR
NEW met1 ( 155250 544850 ) M1M2_PR
+ USE SIGNAL ;
- io_out[36] ( PIN io_out[36] ) ( user_proj_top tie[131] )
+ ROUTED met3 ( 2300 322660 0 ) ( 16790 322660 )
NEW met2 ( 16790 322660 ) ( 16790 324190 )
NEW met1 ( 16790 324190 ) ( 1191170 324190 )
NEW met3 ( 1200140 1842460 ) ( 1200140 1843480 0 )
NEW met3 ( 1191170 1842460 ) ( 1200140 1842460 )
NEW met2 ( 1191170 324190 ) ( 1191170 1842460 )
NEW met2 ( 16790 322660 ) via2_FR
NEW met1 ( 16790 324190 ) M1M2_PR
NEW met1 ( 1191170 324190 ) M1M2_PR
NEW met2 ( 1191170 1842460 ) via2_FR
+ USE SIGNAL ;
- io_out[37] ( PIN io_out[37] ) ( user_proj_top tie[132] )
+ ROUTED met3 ( 2300 107100 0 ) ( 14490 107100 )
NEW met2 ( 14490 107100 ) ( 14490 110330 )
NEW met2 ( 1187030 1856230 ) ( 1187030 1857420 )
NEW met1 ( 14490 110330 ) ( 169050 110330 )
NEW met1 ( 169050 1856230 ) ( 1187030 1856230 )
NEW met3 ( 1200140 1857420 ) ( 1200140 1859120 0 )
NEW met3 ( 1187030 1857420 ) ( 1200140 1857420 )
NEW met2 ( 169050 110330 ) ( 169050 1856230 )
NEW met2 ( 14490 107100 ) via2_FR
NEW met1 ( 14490 110330 ) M1M2_PR
NEW met1 ( 1187030 1856230 ) M1M2_PR
NEW met2 ( 1187030 1857420 ) via2_FR
NEW met1 ( 169050 110330 ) M1M2_PR
NEW met1 ( 169050 1856230 ) M1M2_PR
+ USE SIGNAL ;
- io_out[3] ( PIN io_out[3] ) ( user_proj_top tie[99] )
+ ROUTED met2 ( 2900990 850340 ) ( 2900990 855270 )
NEW met3 ( 2900990 850340 ) ( 2917780 850340 0 )
NEW met3 ( 1599420 1790100 ) ( 1599420 1793160 0 )
NEW met3 ( 1599420 1790100 ) ( 1612530 1790100 )
NEW met2 ( 1612530 855270 ) ( 1612530 1790100 )
NEW met1 ( 1612530 855270 ) ( 2900990 855270 )
NEW met1 ( 2900990 855270 ) M1M2_PR
NEW met2 ( 2900990 850340 ) via2_FR
NEW met1 ( 1612530 855270 ) M1M2_PR
NEW met2 ( 1612530 1790100 ) via2_FR
+ USE SIGNAL ;
- io_out[4] ( PIN io_out[4] ) ( user_proj_top tdo )
+ ROUTED met2 ( 2900990 1084940 ) ( 2900990 1089870 )
NEW met3 ( 2900990 1084940 ) ( 2917780 1084940 0 )
NEW met2 ( 1742250 1089870 ) ( 1742250 1814750 )
NEW met1 ( 1742250 1089870 ) ( 2900990 1089870 )
NEW met3 ( 1599420 1817300 ) ( 1599420 1820360 0 )
NEW met3 ( 1599420 1817300 ) ( 1609310 1817300 )
NEW met2 ( 1609310 1814750 ) ( 1609310 1817300 )
NEW met1 ( 1609310 1814750 ) ( 1742250 1814750 )
NEW met1 ( 1742250 1089870 ) M1M2_PR
NEW met1 ( 2900990 1089870 ) M1M2_PR
NEW met2 ( 2900990 1084940 ) via2_FR
NEW met1 ( 1742250 1814750 ) M1M2_PR
NEW met2 ( 1609310 1817300 ) via2_FR
NEW met1 ( 1609310 1814750 ) M1M2_PR
+ USE SIGNAL ;
- io_out[5] ( PIN io_out[5] ) ( user_proj_top tie[100] )
+ ROUTED met2 ( 2900990 1319540 ) ( 2900990 1324470 )
NEW met3 ( 2900990 1319540 ) ( 2917780 1319540 0 )
NEW met3 ( 1599420 1844500 ) ( 1599420 1847560 0 )
NEW met3 ( 1599420 1844500 ) ( 1613450 1844500 )
NEW met2 ( 1613450 1324470 ) ( 1613450 1844500 )
NEW met1 ( 1613450 1324470 ) ( 2900990 1324470 )
NEW met1 ( 2900990 1324470 ) M1M2_PR
NEW met2 ( 2900990 1319540 ) via2_FR
NEW met2 ( 1613450 1844500 ) via2_FR
NEW met1 ( 1613450 1324470 ) M1M2_PR
+ USE SIGNAL ;
- io_out[6] ( PIN io_out[6] ) ( user_proj_top tie[101] )
+ ROUTED met2 ( 2900990 1554140 ) ( 2900990 1559070 )
NEW met3 ( 2900990 1554140 ) ( 2917780 1554140 0 )
NEW met3 ( 1599420 1858780 ) ( 1599420 1861160 0 )
NEW met3 ( 1599420 1858780 ) ( 1609310 1858780 )
NEW met2 ( 1609310 1856230 ) ( 1609310 1858780 )
NEW met1 ( 1609310 1856230 ) ( 1776750 1856230 )
NEW met1 ( 1776750 1559070 ) ( 2900990 1559070 )
NEW met2 ( 1776750 1559070 ) ( 1776750 1856230 )
NEW met1 ( 2900990 1559070 ) M1M2_PR
NEW met2 ( 2900990 1554140 ) via2_FR
NEW met2 ( 1609310 1858780 ) via2_FR
NEW met1 ( 1609310 1856230 ) M1M2_PR
NEW met1 ( 1776750 1559070 ) M1M2_PR
NEW met1 ( 1776750 1856230 ) M1M2_PR
+ USE SIGNAL ;
- io_out[7] ( PIN io_out[7] ) ( user_proj_top tie[102] )
+ ROUTED met2 ( 2900070 1789420 ) ( 2900070 1793670 )
NEW met3 ( 2900070 1789420 ) ( 2917780 1789420 0 )
NEW met3 ( 1599420 1872380 ) ( 1599420 1874760 0 )
NEW met3 ( 1599420 1872380 ) ( 1611610 1872380 )
NEW met2 ( 1611610 1793670 ) ( 1611610 1872380 )
NEW met1 ( 1611610 1793670 ) ( 2900070 1793670 )
NEW met1 ( 2900070 1793670 ) M1M2_PR
NEW met2 ( 2900070 1789420 ) via2_FR
NEW met1 ( 1611610 1793670 ) M1M2_PR
NEW met2 ( 1611610 1872380 ) via2_FR
+ USE SIGNAL ;
- io_out[8] ( PIN io_out[8] ) ( user_proj_top tie[103] )
+ ROUTED met3 ( 2903290 2024020 ) ( 2917780 2024020 0 )
NEW met2 ( 2903290 1890570 ) ( 2903290 2024020 )
NEW met3 ( 1599420 1889040 0 ) ( 1599420 1890060 )
NEW met3 ( 1599420 1890060 ) ( 1612530 1890060 )
NEW met2 ( 1612530 1890060 ) ( 1612530 1890570 )
NEW met1 ( 1612530 1890570 ) ( 2903290 1890570 )
NEW met2 ( 2903290 2024020 ) via2_FR
NEW met1 ( 2903290 1890570 ) M1M2_PR
NEW met2 ( 1612530 1890060 ) via2_FR
NEW met1 ( 1612530 1890570 ) M1M2_PR
+ USE SIGNAL ;
- io_out[9] ( PIN io_out[9] ) ( user_proj_top tie[104] )
+ ROUTED met3 ( 2902830 2258620 ) ( 2917780 2258620 0 )
NEW met2 ( 2902830 1904170 ) ( 2902830 2258620 )
NEW met3 ( 1599420 1902640 0 ) ( 1599420 1903660 )
NEW met3 ( 1599420 1903660 ) ( 1612530 1903660 )
NEW met2 ( 1612530 1903660 ) ( 1612530 1904170 )
NEW met1 ( 1612530 1904170 ) ( 2902830 1904170 )
NEW met1 ( 2902830 1904170 ) M1M2_PR
NEW met2 ( 2902830 2258620 ) via2_FR
NEW met2 ( 1612530 1903660 ) via2_FR
NEW met1 ( 1612530 1904170 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] ) ( user_proj_top mc[0] )
+ ROUTED met2 ( 633190 2380 0 ) ( 633190 34500 )
NEW met2 ( 633190 34500 ) ( 634570 34500 )
NEW met2 ( 634570 34500 ) ( 634570 1631830 )
NEW met2 ( 1197150 1631830 ) ( 1197150 1640670 )
NEW met1 ( 634570 1631830 ) ( 1197150 1631830 )
NEW met2 ( 1270750 1640670 ) ( 1270750 1650020 )
NEW met2 ( 1270750 1650020 ) ( 1272130 1650020 0 )
NEW met1 ( 1197150 1640670 ) ( 1270750 1640670 )
NEW met1 ( 634570 1631830 ) M1M2_PR
NEW met1 ( 1197150 1631830 ) M1M2_PR
NEW met1 ( 1197150 1640670 ) M1M2_PR
NEW met1 ( 1270750 1640670 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] )
+ USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] )
+ USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] )
+ USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] )
+ USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] )
+ USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] )
+ USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] )
+ USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] )
+ USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] )
+ USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] )
+ USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] ) ( user_proj_top mc[10] )
+ ROUTED met2 ( 811670 2380 0 ) ( 811670 16490 )
NEW met1 ( 811670 16490 ) ( 813970 16490 )
NEW met2 ( 813970 16490 ) ( 813970 1624690 )
NEW met2 ( 1291450 1624690 ) ( 1291450 1650020 )
NEW met2 ( 1291450 1650020 ) ( 1292370 1650020 0 )
NEW met1 ( 813970 1624690 ) ( 1291450 1624690 )
NEW met1 ( 811670 16490 ) M1M2_PR
NEW met1 ( 813970 16490 ) M1M2_PR
NEW met1 ( 813970 1624690 ) M1M2_PR
NEW met1 ( 1291450 1624690 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] )
+ USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] )
+ USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] )
+ USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] )
+ USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] )
+ USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] )
+ USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] )
+ USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] )
+ USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] )
+ USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] )
+ USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] ) ( user_proj_top mc[11] )
+ ROUTED met2 ( 829610 2380 0 ) ( 829610 23970 )
NEW met1 ( 829610 23970 ) ( 1183350 23970 )
NEW met2 ( 1183350 23970 ) ( 1183350 1639990 )
NEW met2 ( 1292830 1639990 ) ( 1292830 1650020 )
NEW met2 ( 1292830 1650020 ) ( 1294670 1650020 0 )
NEW met1 ( 1183350 1639990 ) ( 1292830 1639990 )
NEW met1 ( 1183350 23970 ) M1M2_PR
NEW met1 ( 829610 23970 ) M1M2_PR
NEW met1 ( 1183350 1639990 ) M1M2_PR
NEW met1 ( 1292830 1639990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] )
+ USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] )
+ USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] )
+ USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] )
+ USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] )
+ USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] )
+ USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] )
+ USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] )
+ USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] ) ( user_proj_top mc[12] )
+ ROUTED met2 ( 847090 2380 0 ) ( 847090 34500 )
NEW met2 ( 847090 34500 ) ( 848470 34500 )
NEW met2 ( 848470 34500 ) ( 848470 1611090 )
NEW met2 ( 1295130 1611090 ) ( 1295130 1650020 )
NEW met2 ( 1295130 1650020 ) ( 1296510 1650020 0 )
NEW met1 ( 848470 1611090 ) ( 1295130 1611090 )
NEW met1 ( 848470 1611090 ) M1M2_PR
NEW met1 ( 1295130 1611090 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] ) ( user_proj_top mc[13] )
+ ROUTED met2 ( 865030 2380 0 ) ( 865030 30770 )
NEW met2 ( 1169550 30770 ) ( 1169550 1639310 )
NEW met1 ( 865030 30770 ) ( 1169550 30770 )
NEW met2 ( 1297430 1639310 ) ( 1297430 1650020 )
NEW met2 ( 1297430 1650020 ) ( 1298350 1650020 0 )
NEW met1 ( 1169550 1639310 ) ( 1297430 1639310 )
NEW met1 ( 865030 30770 ) M1M2_PR
NEW met1 ( 1169550 30770 ) M1M2_PR
NEW met1 ( 1169550 1639310 ) M1M2_PR
NEW met1 ( 1297430 1639310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] ) ( user_proj_top mc[14] )
+ ROUTED met2 ( 882970 2380 0 ) ( 882970 1604290 )
NEW met1 ( 882970 1604290 ) ( 1291910 1604290 )
NEW met1 ( 1291910 1635570 ) ( 1299270 1635570 )
NEW met2 ( 1299270 1635570 ) ( 1299270 1650020 )
NEW met2 ( 1299270 1650020 ) ( 1300650 1650020 0 )
NEW met2 ( 1291910 1604290 ) ( 1291910 1635570 )
NEW met1 ( 882970 1604290 ) M1M2_PR
NEW met1 ( 1291910 1604290 ) M1M2_PR
NEW met1 ( 1291910 1635570 ) M1M2_PR
NEW met1 ( 1299270 1635570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] ) ( user_proj_top mc[15] )
+ ROUTED met2 ( 900910 2380 0 ) ( 900910 16490 )
NEW met1 ( 900910 16490 ) ( 903670 16490 )
NEW met2 ( 903670 16490 ) ( 903670 1597150 )
NEW met1 ( 903670 1597150 ) ( 1301110 1597150 )
NEW met2 ( 1301110 1650020 ) ( 1302490 1650020 0 )
NEW met2 ( 1301110 1597150 ) ( 1301110 1650020 )
NEW met1 ( 900910 16490 ) M1M2_PR
NEW met1 ( 903670 16490 ) M1M2_PR
NEW met1 ( 903670 1597150 ) M1M2_PR
NEW met1 ( 1301110 1597150 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] ) ( user_proj_top mc[16] )
+ ROUTED met2 ( 1162650 44710 ) ( 1162650 1638970 )
NEW met2 ( 918850 2380 0 ) ( 918850 44710 )
NEW met1 ( 918850 44710 ) ( 1162650 44710 )
NEW met2 ( 1304330 1638970 ) ( 1304330 1650020 )
NEW met2 ( 1304330 1650020 ) ( 1304790 1650020 0 )
NEW met1 ( 1162650 1638970 ) ( 1304330 1638970 )
NEW met1 ( 1162650 1638970 ) M1M2_PR
NEW met1 ( 1162650 44710 ) M1M2_PR
NEW met1 ( 918850 44710 ) M1M2_PR
NEW met1 ( 1304330 1638970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] ) ( user_proj_top mc[17] )
+ ROUTED met2 ( 936330 2380 0 ) ( 936330 34500 )
NEW met2 ( 936330 34500 ) ( 938170 34500 )
NEW met2 ( 938170 34500 ) ( 938170 1590350 )
NEW met1 ( 938170 1590350 ) ( 1305250 1590350 )
NEW met2 ( 1305250 1650020 ) ( 1306630 1650020 0 )
NEW met2 ( 1305250 1590350 ) ( 1305250 1650020 )
NEW met1 ( 938170 1590350 ) M1M2_PR
NEW met1 ( 1305250 1590350 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] ) ( user_proj_top mc[18] )
+ ROUTED met2 ( 954270 2380 0 ) ( 954270 16490 )
NEW met1 ( 954270 16490 ) ( 958870 16490 )
NEW met2 ( 958870 16490 ) ( 958870 79390 )
NEW met1 ( 958870 79390 ) ( 1305710 79390 )
NEW met2 ( 1305710 1629620 ) ( 1307090 1629620 )
NEW met2 ( 1307090 1629620 ) ( 1307090 1650020 )
NEW met2 ( 1307090 1650020 ) ( 1308930 1650020 0 )
NEW met2 ( 1305710 79390 ) ( 1305710 1629620 )
NEW met1 ( 954270 16490 ) M1M2_PR
NEW met1 ( 958870 16490 ) M1M2_PR
NEW met1 ( 958870 79390 ) M1M2_PR
NEW met1 ( 1305710 79390 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] ) ( user_proj_top mc[19] )
+ ROUTED met2 ( 972210 2380 0 ) ( 972210 34500 )
NEW met2 ( 972210 34500 ) ( 972670 34500 )
NEW met2 ( 972670 34500 ) ( 972670 1583550 )
NEW met1 ( 972670 1583550 ) ( 1307090 1583550 )
NEW met2 ( 1307090 1628940 ) ( 1309390 1628940 )
NEW met2 ( 1309390 1628940 ) ( 1309390 1650020 )
NEW met2 ( 1309390 1650020 ) ( 1310770 1650020 0 )
NEW met2 ( 1307090 1583550 ) ( 1307090 1628940 )
NEW met1 ( 972670 1583550 ) M1M2_PR
NEW met1 ( 1307090 1583550 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] ) ( user_proj_top mc[1] )
+ ROUTED met2 ( 1155750 58650 ) ( 1155750 1639650 )
NEW met2 ( 651130 2380 0 ) ( 651130 16830 )
NEW met1 ( 651130 16830 ) ( 655270 16830 )
NEW met2 ( 655270 16830 ) ( 655270 58650 )
NEW met1 ( 655270 58650 ) ( 1155750 58650 )
NEW li1 ( 1245450 1639650 ) ( 1245450 1640330 )
NEW met1 ( 1155750 1639650 ) ( 1245450 1639650 )
NEW met2 ( 1272590 1640330 ) ( 1272590 1650020 )
NEW met2 ( 1272590 1650020 ) ( 1273970 1650020 0 )
NEW met1 ( 1245450 1640330 ) ( 1272590 1640330 )
NEW met1 ( 1155750 1639650 ) M1M2_PR
NEW met1 ( 1155750 58650 ) M1M2_PR
NEW met1 ( 651130 16830 ) M1M2_PR
NEW met1 ( 655270 16830 ) M1M2_PR
NEW met1 ( 655270 58650 ) M1M2_PR
NEW li1 ( 1245450 1639650 ) L1M1_PR_MR
NEW li1 ( 1245450 1640330 ) L1M1_PR_MR
NEW met1 ( 1272590 1640330 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] ) ( user_proj_top mc[20] )
+ ROUTED met2 ( 990150 2380 0 ) ( 990150 16490 )
NEW met1 ( 990150 16490 ) ( 993370 16490 )
NEW met2 ( 993370 16490 ) ( 993370 1576410 )
NEW met1 ( 993370 1576410 ) ( 1312150 1576410 )
NEW met2 ( 1312150 1576410 ) ( 1312150 1580100 )
NEW met2 ( 1312150 1580100 ) ( 1312610 1580100 )
NEW met2 ( 1312150 1650020 ) ( 1312610 1650020 0 )
NEW met1 ( 1312150 1630470 ) ( 1312150 1631490 )
NEW met1 ( 1312150 1630470 ) ( 1312610 1630470 )
NEW met2 ( 1312150 1631490 ) ( 1312150 1650020 )
NEW met2 ( 1312610 1580100 ) ( 1312610 1630470 )
NEW met1 ( 990150 16490 ) M1M2_PR
NEW met1 ( 993370 16490 ) M1M2_PR
NEW met1 ( 993370 1576410 ) M1M2_PR
NEW met1 ( 1312150 1576410 ) M1M2_PR
NEW met1 ( 1312150 1631490 ) M1M2_PR
NEW met1 ( 1312610 1630470 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] ) ( user_proj_top mc[21] )
+ ROUTED met2 ( 1007630 2380 0 ) ( 1007630 16490 )
NEW met1 ( 1007630 16490 ) ( 1013150 16490 )
NEW met2 ( 1013150 16490 ) ( 1013150 34500 )
NEW met2 ( 1013150 34500 ) ( 1013610 34500 )
NEW met2 ( 1013610 34500 ) ( 1013610 458830 )
NEW met1 ( 1013610 458830 ) ( 1311230 458830 )
NEW met2 ( 1313070 1650020 ) ( 1314910 1650020 0 )
NEW met1 ( 1311230 1595110 ) ( 1313070 1595110 )
NEW met2 ( 1311230 458830 ) ( 1311230 1595110 )
NEW met2 ( 1313070 1595110 ) ( 1313070 1650020 )
NEW met1 ( 1007630 16490 ) M1M2_PR
NEW met1 ( 1013150 16490 ) M1M2_PR
NEW met1 ( 1013610 458830 ) M1M2_PR
NEW met1 ( 1311230 458830 ) M1M2_PR
NEW met1 ( 1311230 1595110 ) M1M2_PR
NEW met1 ( 1313070 1595110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] ) ( user_proj_top mc[22] )
+ ROUTED met2 ( 1025570 2380 0 ) ( 1025570 16490 )
NEW met1 ( 1025570 16490 ) ( 1027870 16490 )
NEW met1 ( 1027870 1569950 ) ( 1311690 1569950 )
NEW met2 ( 1027870 16490 ) ( 1027870 1569950 )
NEW met1 ( 1311690 1628430 ) ( 1315370 1628430 )
NEW met2 ( 1315370 1628430 ) ( 1315370 1650020 )
NEW met2 ( 1315370 1650020 ) ( 1316750 1650020 0 )
NEW met2 ( 1311690 1569950 ) ( 1311690 1628430 )
NEW met1 ( 1025570 16490 ) M1M2_PR
NEW met1 ( 1027870 16490 ) M1M2_PR
NEW met1 ( 1027870 1569950 ) M1M2_PR
NEW met1 ( 1311690 1569950 ) M1M2_PR
NEW met1 ( 1311690 1628430 ) M1M2_PR
NEW met1 ( 1315370 1628430 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] ) ( user_proj_top mc[23] )
+ ROUTED met2 ( 1043510 2380 0 ) ( 1043510 16490 )
NEW met1 ( 1043510 16490 ) ( 1048570 16490 )
NEW met1 ( 1048570 1563150 ) ( 1308010 1563150 )
NEW met2 ( 1318130 1637610 ) ( 1318130 1650020 )
NEW met2 ( 1318130 1650020 ) ( 1319050 1650020 0 )
NEW met2 ( 1048570 16490 ) ( 1048570 1563150 )
NEW met2 ( 1308010 1563150 ) ( 1308010 1607700 )
NEW met2 ( 1308010 1607700 ) ( 1310310 1607700 )
NEW met2 ( 1310310 1607700 ) ( 1310310 1637610 )
NEW met1 ( 1310310 1637610 ) ( 1318130 1637610 )
NEW met1 ( 1043510 16490 ) M1M2_PR
NEW met1 ( 1048570 16490 ) M1M2_PR
NEW met1 ( 1048570 1563150 ) M1M2_PR
NEW met1 ( 1308010 1563150 ) M1M2_PR
NEW met1 ( 1318130 1637610 ) M1M2_PR
NEW met1 ( 1310310 1637610 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] ) ( user_proj_top mc[24] )
+ ROUTED met2 ( 1061450 2380 0 ) ( 1061450 34500 )
NEW met2 ( 1061450 34500 ) ( 1062370 34500 )
NEW met2 ( 1062370 34500 ) ( 1062370 1556010 )
NEW met1 ( 1062370 1556010 ) ( 1314910 1556010 )
NEW met2 ( 1319510 1636930 ) ( 1319510 1650020 )
NEW met2 ( 1319510 1650020 ) ( 1320890 1650020 0 )
NEW met2 ( 1314910 1556010 ) ( 1314910 1636930 )
NEW met1 ( 1314910 1636930 ) ( 1319510 1636930 )
NEW met1 ( 1062370 1556010 ) M1M2_PR
NEW met1 ( 1314910 1556010 ) M1M2_PR
NEW met1 ( 1319510 1636930 ) M1M2_PR
NEW met1 ( 1314910 1636930 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] ) ( user_proj_top mc[25] )
+ ROUTED met2 ( 1079390 2380 0 ) ( 1079390 16490 )
NEW met1 ( 1079390 16490 ) ( 1083070 16490 )
NEW met2 ( 1083070 16490 ) ( 1083070 1549210 )
NEW met1 ( 1083070 1549210 ) ( 1319510 1549210 )
NEW met2 ( 1321350 1650020 ) ( 1322730 1650020 0 )
NEW met2 ( 1319510 1549210 ) ( 1319510 1580100 )
NEW met2 ( 1319510 1580100 ) ( 1321350 1580100 )
NEW met2 ( 1321350 1580100 ) ( 1321350 1650020 )
NEW met1 ( 1079390 16490 ) M1M2_PR
NEW met1 ( 1083070 16490 ) M1M2_PR
NEW met1 ( 1083070 1549210 ) M1M2_PR
NEW met1 ( 1319510 1549210 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] ) ( user_proj_top mc[26] )
+ ROUTED met2 ( 1096870 2380 0 ) ( 1096870 1632170 )
NEW met2 ( 1325030 1632170 ) ( 1325030 1649340 )
NEW met2 ( 1324570 1649340 ) ( 1325030 1649340 )
NEW met2 ( 1324570 1649340 ) ( 1324570 1650020 )
NEW met2 ( 1324570 1650020 ) ( 1325030 1650020 0 )
NEW met1 ( 1096870 1632170 ) ( 1325030 1632170 )
NEW met1 ( 1096870 1632170 ) M1M2_PR
NEW met1 ( 1325030 1632170 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] ) ( user_proj_top mc[27] )
+ ROUTED met2 ( 1114810 2380 0 ) ( 1114810 16490 )
NEW met1 ( 1114810 16490 ) ( 1117570 16490 )
NEW met1 ( 1117570 1542410 ) ( 1325490 1542410 )
NEW met2 ( 1325490 1650020 ) ( 1326870 1650020 0 )
NEW met2 ( 1117570 16490 ) ( 1117570 1542410 )
NEW met2 ( 1325490 1542410 ) ( 1325490 1650020 )
NEW met1 ( 1114810 16490 ) M1M2_PR
NEW met1 ( 1117570 16490 ) M1M2_PR
NEW met1 ( 1117570 1542410 ) M1M2_PR
NEW met1 ( 1325490 1542410 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] ) ( user_proj_top mc[28] )
+ ROUTED met2 ( 1132750 2380 0 ) ( 1132750 16490 )
NEW met1 ( 1132750 16490 ) ( 1138270 16490 )
NEW met2 ( 1325950 1639650 ) ( 1327330 1639650 )
NEW met2 ( 1327330 1639650 ) ( 1327330 1650020 )
NEW met2 ( 1327330 1650020 ) ( 1329170 1650020 0 )
NEW met2 ( 1138270 16490 ) ( 1138270 1617890 )
NEW met2 ( 1325950 1617890 ) ( 1325950 1639650 )
NEW met1 ( 1138270 1617890 ) ( 1325950 1617890 )
NEW met1 ( 1132750 16490 ) M1M2_PR
NEW met1 ( 1138270 16490 ) M1M2_PR
NEW met1 ( 1138270 1617890 ) M1M2_PR
NEW met1 ( 1325950 1617890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] ) ( user_proj_top mc[29] )
+ ROUTED met2 ( 1150690 2380 0 ) ( 1150690 65450 )
NEW met2 ( 1327330 1639140 ) ( 1329630 1639140 )
NEW met2 ( 1329630 1639140 ) ( 1329630 1650020 )
NEW met2 ( 1329630 1650020 ) ( 1331010 1650020 0 )
NEW met1 ( 1150690 65450 ) ( 1327330 65450 )
NEW met2 ( 1327330 65450 ) ( 1327330 1639140 )
NEW met1 ( 1150690 65450 ) M1M2_PR
NEW met1 ( 1327330 65450 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] ) ( user_proj_top mc[2] )
+ ROUTED met2 ( 669070 2380 0 ) ( 669070 1535270 )
NEW met1 ( 669070 1535270 ) ( 1272590 1535270 )
NEW met2 ( 1272590 1628940 ) ( 1274430 1628940 )
NEW met2 ( 1274430 1628940 ) ( 1274430 1650020 )
NEW met2 ( 1274430 1650020 ) ( 1276270 1650020 0 )
NEW met2 ( 1272590 1535270 ) ( 1272590 1628940 )
NEW met1 ( 669070 1535270 ) M1M2_PR
NEW met1 ( 1272590 1535270 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] ) ( user_proj_top mc[30] )
+ ROUTED met2 ( 1168630 2380 0 ) ( 1168630 30430 )
NEW met1 ( 1168630 30430 ) ( 1193700 30430 )
NEW met1 ( 1193700 30430 ) ( 1193700 30770 )
NEW met1 ( 1193700 30770 ) ( 1314450 30770 )
NEW met2 ( 1331930 1638290 ) ( 1331930 1650020 )
NEW met2 ( 1331930 1650020 ) ( 1333310 1650020 0 )
NEW met2 ( 1314450 30770 ) ( 1314450 1638290 )
NEW met1 ( 1314450 1638290 ) ( 1331930 1638290 )
NEW met1 ( 1168630 30430 ) M1M2_PR
NEW met1 ( 1314450 30770 ) M1M2_PR
NEW met1 ( 1331930 1638290 ) M1M2_PR
NEW met1 ( 1314450 1638290 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] ) ( user_proj_top mc[31] )
+ ROUTED met2 ( 1186110 2380 0 ) ( 1186110 34500 )
NEW met2 ( 1186110 34500 ) ( 1186570 34500 )
NEW met2 ( 1186570 34500 ) ( 1186570 444890 )
NEW met2 ( 1332390 1639140 ) ( 1333770 1639140 )
NEW met2 ( 1333770 1639140 ) ( 1333770 1650020 )
NEW met2 ( 1333770 1650020 ) ( 1335150 1650020 0 )
NEW met1 ( 1186570 444890 ) ( 1332390 444890 )
NEW met2 ( 1332390 444890 ) ( 1332390 1639140 )
NEW met1 ( 1186570 444890 ) M1M2_PR
NEW met1 ( 1332390 444890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] ) ( user_proj_top mp[0] )
+ ROUTED met2 ( 1204050 2380 0 ) ( 1204050 24310 )
NEW met1 ( 1204050 24310 ) ( 1333770 24310 )
NEW met2 ( 1335610 1650020 ) ( 1336990 1650020 0 )
NEW met2 ( 1333770 24310 ) ( 1333770 1580100 )
NEW met2 ( 1333770 1580100 ) ( 1335610 1580100 )
NEW met2 ( 1335610 1580100 ) ( 1335610 1650020 )
NEW met1 ( 1204050 24310 ) M1M2_PR
NEW met1 ( 1333770 24310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] ) ( user_proj_top mp[1] )
+ ROUTED met2 ( 1338830 1635570 ) ( 1338830 1650020 )
NEW met2 ( 1338830 1650020 ) ( 1339290 1650020 0 )
NEW met2 ( 1221990 2380 0 ) ( 1221990 17340 )
NEW met2 ( 1221990 17340 ) ( 1222450 17340 )
NEW met1 ( 1328250 1635570 ) ( 1338830 1635570 )
NEW met2 ( 1222450 17340 ) ( 1222450 38250 )
NEW met1 ( 1222450 38250 ) ( 1328250 38250 )
NEW met2 ( 1328250 38250 ) ( 1328250 1635570 )
NEW met1 ( 1338830 1635570 ) M1M2_PR
NEW met1 ( 1328250 1635570 ) M1M2_PR
NEW met1 ( 1222450 38250 ) M1M2_PR
NEW met1 ( 1328250 38250 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] ) ( user_proj_top mp[2] )
+ ROUTED met2 ( 1339750 1639650 ) ( 1339750 1650020 )
NEW met2 ( 1339750 1650020 ) ( 1341130 1650020 0 )
NEW met2 ( 1239930 2380 0 ) ( 1239930 45050 )
NEW met1 ( 1239930 45050 ) ( 1293750 45050 )
NEW met2 ( 1293750 45050 ) ( 1293750 1639650 )
NEW met1 ( 1293750 1639650 ) ( 1339750 1639650 )
NEW met1 ( 1339750 1639650 ) M1M2_PR
NEW met1 ( 1239930 45050 ) M1M2_PR
NEW met1 ( 1293750 45050 ) M1M2_PR
NEW met1 ( 1293750 1639650 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] ) ( user_proj_top mp[3] )
+ ROUTED met2 ( 1257410 2380 0 ) ( 1257410 31110 )
NEW met2 ( 1341130 1639820 ) ( 1341590 1639820 )
NEW met2 ( 1341590 1639820 ) ( 1341590 1650020 )
NEW met2 ( 1341590 1650020 ) ( 1343430 1650020 0 )
NEW met2 ( 1339750 31110 ) ( 1339750 1580100 )
NEW met2 ( 1339750 1580100 ) ( 1341130 1580100 )
NEW met2 ( 1341130 1580100 ) ( 1341130 1639820 )
NEW met1 ( 1257410 31110 ) ( 1339750 31110 )
NEW met1 ( 1257410 31110 ) M1M2_PR
NEW met1 ( 1339750 31110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] ) ( user_proj_top mp[4] )
+ ROUTED met2 ( 1341590 1639140 ) ( 1343890 1639140 )
NEW met2 ( 1343890 1639140 ) ( 1343890 1650020 )
NEW met2 ( 1343890 1650020 ) ( 1345270 1650020 0 )
NEW met2 ( 1275350 2380 0 ) ( 1275350 34500 )
NEW met2 ( 1275350 34500 ) ( 1276270 34500 )
NEW met2 ( 1276270 34500 ) ( 1276270 134810 )
NEW met2 ( 1341590 134810 ) ( 1341590 1639140 )
NEW met1 ( 1276270 134810 ) ( 1341590 134810 )
NEW met1 ( 1276270 134810 ) M1M2_PR
NEW met1 ( 1341590 134810 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] ) ( user_proj_top mp[5] )
+ ROUTED met2 ( 1345730 1636590 ) ( 1345730 1650020 )
NEW met2 ( 1345730 1650020 ) ( 1347110 1650020 0 )
NEW met1 ( 1293290 48110 ) ( 1307550 48110 )
NEW met2 ( 1293290 2380 0 ) ( 1293290 48110 )
NEW met2 ( 1307550 1622140 ) ( 1309850 1622140 )
NEW met2 ( 1309850 1622140 ) ( 1309850 1636590 )
NEW met2 ( 1307550 48110 ) ( 1307550 1622140 )
NEW met1 ( 1309850 1636590 ) ( 1345730 1636590 )
NEW met1 ( 1345730 1636590 ) M1M2_PR
NEW met1 ( 1293290 48110 ) M1M2_PR
NEW met1 ( 1307550 48110 ) M1M2_PR
NEW met1 ( 1309850 1636590 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] ) ( user_proj_top mp[6] )
+ ROUTED met2 ( 1346190 1639140 ) ( 1347570 1639140 )
NEW met2 ( 1347570 1639140 ) ( 1347570 1650020 )
NEW met2 ( 1347570 1650020 ) ( 1349410 1650020 0 )
NEW met2 ( 1346190 41650 ) ( 1346190 1639140 )
NEW met2 ( 1311230 2380 0 ) ( 1311230 41650 )
NEW met1 ( 1311230 41650 ) ( 1346190 41650 )
NEW met1 ( 1346190 41650 ) M1M2_PR
NEW met1 ( 1311230 41650 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] ) ( user_proj_top mp[7] )
+ ROUTED met2 ( 1349870 1650020 ) ( 1351250 1650020 0 )
NEW met2 ( 1347570 34510 ) ( 1347570 1580100 )
NEW met2 ( 1347570 1580100 ) ( 1349870 1580100 )
NEW met2 ( 1349870 1580100 ) ( 1349870 1650020 )
NEW met2 ( 1329170 2380 0 ) ( 1329170 34510 )
NEW met1 ( 1329170 34510 ) ( 1347570 34510 )
NEW met1 ( 1347570 34510 ) M1M2_PR
NEW met1 ( 1329170 34510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] ) ( user_proj_top mc[3] )
+ ROUTED met2 ( 686550 2380 0 ) ( 686550 16490 )
NEW met1 ( 686550 16490 ) ( 689770 16490 )
NEW met2 ( 689770 16490 ) ( 689770 148070 )
NEW met1 ( 689770 148070 ) ( 1273050 148070 )
NEW met2 ( 1273050 148070 ) ( 1273050 1607700 )
NEW met2 ( 1273050 1607700 ) ( 1275810 1607700 )
NEW met2 ( 1275810 1607700 ) ( 1275810 1635740 )
NEW met2 ( 1275810 1635740 ) ( 1276730 1635740 )
NEW met2 ( 1276730 1635740 ) ( 1276730 1650020 )
NEW met2 ( 1276730 1650020 ) ( 1278110 1650020 0 )
NEW met1 ( 686550 16490 ) M1M2_PR
NEW met1 ( 689770 16490 ) M1M2_PR
NEW met1 ( 689770 148070 ) M1M2_PR
NEW met1 ( 1273050 148070 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] ) ( user_proj_top mp[8] )
+ ROUTED met2 ( 1346650 2380 0 ) ( 1346650 17510 )
NEW met1 ( 1346650 17510 ) ( 1352170 17510 )
NEW met2 ( 1352170 1639140 ) ( 1352630 1639140 )
NEW met2 ( 1352630 1639140 ) ( 1352630 1650020 )
NEW met2 ( 1352630 1650020 ) ( 1353550 1650020 0 )
NEW met2 ( 1352170 17510 ) ( 1352170 1639140 )
NEW met1 ( 1346650 17510 ) M1M2_PR
NEW met1 ( 1352170 17510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] ) ( user_proj_top mp[9] )
+ ROUTED met2 ( 1364590 2380 0 ) ( 1364590 20910 )
NEW met1 ( 1359070 20910 ) ( 1364590 20910 )
NEW met1 ( 1356770 1638290 ) ( 1359070 1638290 )
NEW met2 ( 1356770 1638290 ) ( 1356770 1650700 )
NEW met2 ( 1355390 1650700 0 ) ( 1356770 1650700 )
NEW met2 ( 1359070 20910 ) ( 1359070 1638290 )
NEW met1 ( 1364590 20910 ) M1M2_PR
NEW met1 ( 1359070 20910 ) M1M2_PR
NEW met1 ( 1359070 1638290 ) M1M2_PR
NEW met1 ( 1356770 1638290 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] ) ( user_proj_top mp[10] )
+ ROUTED met2 ( 1357690 1650700 0 ) ( 1358610 1650700 )
NEW met1 ( 1358610 48110 ) ( 1382530 48110 )
NEW met2 ( 1382530 2380 0 ) ( 1382530 48110 )
NEW met2 ( 1358610 48110 ) ( 1358610 1650700 )
NEW met1 ( 1358610 48110 ) M1M2_PR
NEW met1 ( 1382530 48110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] ) ( user_proj_top mp[11] )
+ ROUTED met1 ( 1360450 1639650 ) ( 1365970 1639650 )
NEW met2 ( 1360450 1639650 ) ( 1360450 1650700 )
NEW met2 ( 1359530 1650700 0 ) ( 1360450 1650700 )
NEW met2 ( 1365970 24310 ) ( 1365970 1639650 )
NEW met2 ( 1400470 2380 0 ) ( 1400470 24310 )
NEW met1 ( 1365970 24310 ) ( 1400470 24310 )
NEW met1 ( 1365970 24310 ) M1M2_PR
NEW met1 ( 1365970 1639650 ) M1M2_PR
NEW met1 ( 1360450 1639650 ) M1M2_PR
NEW met1 ( 1400470 24310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] ) ( user_proj_top mp[12] )
+ ROUTED met2 ( 1362750 1640330 ) ( 1362750 1650700 )
NEW met2 ( 1361370 1650700 0 ) ( 1362750 1650700 )
NEW met2 ( 1418410 2380 0 ) ( 1418410 20910 )
NEW met1 ( 1404150 20910 ) ( 1418410 20910 )
NEW met1 ( 1362750 1640330 ) ( 1404150 1640330 )
NEW met2 ( 1404150 20910 ) ( 1404150 1640330 )
NEW met1 ( 1362750 1640330 ) M1M2_PR
NEW met1 ( 1418410 20910 ) M1M2_PR
NEW met1 ( 1404150 20910 ) M1M2_PR
NEW met1 ( 1404150 1640330 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] ) ( user_proj_top mp[13] )
+ ROUTED met1 ( 1364590 1639310 ) ( 1365510 1639310 )
NEW met2 ( 1364590 1639310 ) ( 1364590 1650700 )
NEW met2 ( 1363670 1650700 0 ) ( 1364590 1650700 )
NEW met2 ( 1365510 38250 ) ( 1365510 1639310 )
NEW met2 ( 1435890 2380 0 ) ( 1435890 38250 )
NEW met1 ( 1365510 38250 ) ( 1435890 38250 )
NEW met1 ( 1365510 1639310 ) M1M2_PR
NEW met1 ( 1364590 1639310 ) M1M2_PR
NEW met1 ( 1365510 38250 ) M1M2_PR
NEW met1 ( 1435890 38250 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] ) ( user_proj_top mp[14] )
+ ROUTED met2 ( 1365050 1650020 ) ( 1365510 1650020 0 )
NEW met2 ( 1365050 58990 ) ( 1365050 1650020 )
NEW met2 ( 1453830 2380 0 ) ( 1453830 58990 )
NEW met1 ( 1365050 58990 ) ( 1453830 58990 )
NEW met1 ( 1365050 58990 ) M1M2_PR
NEW met1 ( 1453830 58990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] ) ( user_proj_top mp[15] )
+ ROUTED met1 ( 1368730 1637610 ) ( 1372410 1637610 )
NEW met2 ( 1368730 1637610 ) ( 1368730 1650700 )
NEW met2 ( 1367810 1650700 0 ) ( 1368730 1650700 )
NEW met2 ( 1372410 65450 ) ( 1372410 1637610 )
NEW met2 ( 1471770 2380 0 ) ( 1471770 65450 )
NEW met1 ( 1372410 65450 ) ( 1471770 65450 )
NEW met1 ( 1372410 1637610 ) M1M2_PR
NEW met1 ( 1368730 1637610 ) M1M2_PR
NEW met1 ( 1372410 65450 ) M1M2_PR
NEW met1 ( 1471770 65450 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] ) ( user_proj_top mp[16] )
+ ROUTED met1 ( 1370570 1638290 ) ( 1372870 1638290 )
NEW met2 ( 1370570 1638290 ) ( 1370570 1650700 )
NEW met2 ( 1369650 1650700 0 ) ( 1370570 1650700 )
NEW met2 ( 1372870 44710 ) ( 1372870 1638290 )
NEW met1 ( 1372870 44710 ) ( 1489710 44710 )
NEW met2 ( 1489710 2380 0 ) ( 1489710 44710 )
NEW met1 ( 1372870 1638290 ) M1M2_PR
NEW met1 ( 1370570 1638290 ) M1M2_PR
NEW met1 ( 1372870 44710 ) M1M2_PR
NEW met1 ( 1489710 44710 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] ) ( user_proj_top mp[17] )
+ ROUTED met2 ( 1371490 1650700 0 ) ( 1371950 1650700 )
NEW met2 ( 1371950 86190 ) ( 1371950 1650700 )
NEW met1 ( 1371950 86190 ) ( 1504430 86190 )
NEW met2 ( 1504430 82800 ) ( 1504430 86190 )
NEW met2 ( 1504430 82800 ) ( 1507190 82800 )
NEW met2 ( 1507190 2380 0 ) ( 1507190 82800 )
NEW met1 ( 1371950 86190 ) M1M2_PR
NEW met1 ( 1504430 86190 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] ) ( user_proj_top mc[4] )
+ ROUTED met2 ( 704490 2380 0 ) ( 704490 16490 )
NEW met1 ( 704490 16490 ) ( 710470 16490 )
NEW met2 ( 710470 16490 ) ( 710470 1528130 )
NEW met1 ( 710470 1528130 ) ( 1277190 1528130 )
NEW met2 ( 1277190 1629620 ) ( 1278570 1629620 )
NEW met2 ( 1278570 1629620 ) ( 1278570 1650020 )
NEW met2 ( 1278570 1650020 ) ( 1280410 1650020 0 )
NEW met2 ( 1277190 1528130 ) ( 1277190 1629620 )
NEW met1 ( 704490 16490 ) M1M2_PR
NEW met1 ( 710470 16490 ) M1M2_PR
NEW met1 ( 710470 1528130 ) M1M2_PR
NEW met1 ( 1277190 1528130 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] ) ( user_proj_top mp[18] )
+ ROUTED met2 ( 1374710 1631830 ) ( 1374710 1650700 )
NEW met2 ( 1373790 1650700 0 ) ( 1374710 1650700 )
NEW met1 ( 1374710 1631830 ) ( 1525590 1631830 )
NEW met2 ( 1525130 2380 0 ) ( 1525130 34500 )
NEW met2 ( 1525130 34500 ) ( 1525590 34500 )
NEW met2 ( 1525590 34500 ) ( 1525590 1631830 )
NEW met1 ( 1374710 1631830 ) M1M2_PR
NEW met1 ( 1525590 1631830 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] ) ( user_proj_top mp[19] )
+ ROUTED met2 ( 1375170 1650020 ) ( 1375630 1650020 0 )
NEW met2 ( 1375170 1624690 ) ( 1375170 1650020 )
NEW met2 ( 1538930 82800 ) ( 1543070 82800 )
NEW met2 ( 1543070 2380 0 ) ( 1543070 82800 )
NEW met2 ( 1538930 82800 ) ( 1538930 1624690 )
NEW met1 ( 1375170 1624690 ) ( 1538930 1624690 )
NEW met1 ( 1375170 1624690 ) M1M2_PR
NEW met1 ( 1538930 1624690 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] ) ( user_proj_top mp[20] )
+ ROUTED met2 ( 1377930 1650700 0 ) ( 1378850 1650700 )
NEW met2 ( 1378850 72250 ) ( 1378850 1650700 )
NEW met2 ( 1561010 2380 0 ) ( 1561010 72250 )
NEW met1 ( 1378850 72250 ) ( 1561010 72250 )
NEW met1 ( 1378850 72250 ) M1M2_PR
NEW met1 ( 1561010 72250 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] ) ( user_proj_top mp[21] )
+ ROUTED met2 ( 1379310 1650020 ) ( 1379770 1650020 0 )
NEW met2 ( 1379310 79390 ) ( 1379310 1650020 )
NEW met2 ( 1578950 2380 0 ) ( 1578950 79390 )
NEW met1 ( 1379310 79390 ) ( 1578950 79390 )
NEW met1 ( 1379310 79390 ) M1M2_PR
NEW met1 ( 1578950 79390 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] ) ( user_proj_top mp[22] )
+ ROUTED met1 ( 1382990 1636930 ) ( 1386670 1636930 )
NEW met2 ( 1382990 1636930 ) ( 1382990 1650700 )
NEW met2 ( 1382070 1650700 0 ) ( 1382990 1650700 )
NEW met2 ( 1386670 92990 ) ( 1386670 1636930 )
NEW met1 ( 1386670 92990 ) ( 1594130 92990 )
NEW met2 ( 1594130 82800 ) ( 1594130 92990 )
NEW met2 ( 1594130 82800 ) ( 1596430 82800 )
NEW met2 ( 1596430 2380 0 ) ( 1596430 82800 )
NEW met1 ( 1386670 92990 ) M1M2_PR
NEW met1 ( 1386670 1636930 ) M1M2_PR
NEW met1 ( 1382990 1636930 ) M1M2_PR
NEW met1 ( 1594130 92990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] ) ( user_proj_top mp[23] )
+ ROUTED met2 ( 1384830 1639650 ) ( 1384830 1650700 )
NEW met2 ( 1383910 1650700 0 ) ( 1384830 1650700 )
NEW li1 ( 1587690 1637950 ) ( 1587690 1639650 )
NEW met1 ( 1587690 1637950 ) ( 1604250 1637950 )
NEW met1 ( 1384830 1639650 ) ( 1587690 1639650 )
NEW met1 ( 1604250 48110 ) ( 1614370 48110 )
NEW met2 ( 1614370 2380 0 ) ( 1614370 48110 )
NEW met2 ( 1604250 48110 ) ( 1604250 1637950 )
NEW met1 ( 1384830 1639650 ) M1M2_PR
NEW li1 ( 1587690 1639650 ) L1M1_PR_MR
NEW li1 ( 1587690 1637950 ) L1M1_PR_MR
NEW met1 ( 1604250 1637950 ) M1M2_PR
NEW met1 ( 1604250 48110 ) M1M2_PR
NEW met1 ( 1614370 48110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] ) ( user_proj_top mp[24] )
+ ROUTED met2 ( 1385750 1650700 0 ) ( 1386210 1650700 )
NEW met2 ( 1386210 1259190 ) ( 1386210 1650700 )
NEW met2 ( 1628630 82800 ) ( 1632310 82800 )
NEW met2 ( 1632310 2380 0 ) ( 1632310 82800 )
NEW met2 ( 1628630 82800 ) ( 1628630 1259190 )
NEW met1 ( 1386210 1259190 ) ( 1628630 1259190 )
NEW met1 ( 1386210 1259190 ) M1M2_PR
NEW met1 ( 1628630 1259190 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] ) ( user_proj_top mp[25] )
+ ROUTED met2 ( 1649330 82800 ) ( 1650250 82800 )
NEW met2 ( 1650250 2380 0 ) ( 1650250 82800 )
NEW met2 ( 1649330 82800 ) ( 1649330 1618230 )
NEW met2 ( 1387590 1650020 ) ( 1388050 1650020 0 )
NEW met2 ( 1387590 1618230 ) ( 1387590 1650020 )
NEW met1 ( 1387590 1618230 ) ( 1649330 1618230 )
NEW met1 ( 1649330 1618230 ) M1M2_PR
NEW met1 ( 1387590 1618230 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] ) ( user_proj_top mp[26] )
+ ROUTED met2 ( 1663130 82800 ) ( 1668190 82800 )
NEW met2 ( 1668190 2380 0 ) ( 1668190 82800 )
NEW met2 ( 1663130 82800 ) ( 1663130 1604630 )
NEW met1 ( 1387130 1639990 ) ( 1388510 1639990 )
NEW met2 ( 1388510 1639990 ) ( 1388510 1650020 )
NEW met2 ( 1388510 1650020 ) ( 1389890 1650020 0 )
NEW met2 ( 1387130 1604630 ) ( 1387130 1639990 )
NEW met1 ( 1387130 1604630 ) ( 1663130 1604630 )
NEW met1 ( 1663130 1604630 ) M1M2_PR
NEW met1 ( 1387130 1639990 ) M1M2_PR
NEW met1 ( 1388510 1639990 ) M1M2_PR
NEW met1 ( 1387130 1604630 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] ) ( user_proj_top mp[27] )
+ ROUTED met2 ( 1390350 1650020 ) ( 1392190 1650020 0 )
NEW met2 ( 1390350 1597490 ) ( 1390350 1650020 )
NEW met2 ( 1683830 82800 ) ( 1685670 82800 )
NEW met2 ( 1685670 2380 0 ) ( 1685670 82800 )
NEW met1 ( 1390350 1597490 ) ( 1683830 1597490 )
NEW met2 ( 1683830 82800 ) ( 1683830 1597490 )
NEW met1 ( 1390350 1597490 ) M1M2_PR
NEW met1 ( 1683830 1597490 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] ) ( user_proj_top mc[5] )
+ ROUTED met2 ( 722430 2380 0 ) ( 722430 34500 )
NEW met2 ( 722430 34500 ) ( 724270 34500 )
NEW met2 ( 724270 34500 ) ( 724270 1521330 )
NEW met1 ( 724270 1521330 ) ( 1278570 1521330 )
NEW met2 ( 1278570 1628940 ) ( 1280870 1628940 )
NEW met2 ( 1280870 1628940 ) ( 1280870 1650020 )
NEW met2 ( 1280870 1650020 ) ( 1282250 1650020 0 )
NEW met2 ( 1278570 1521330 ) ( 1278570 1628940 )
NEW met1 ( 1278570 1521330 ) M1M2_PR
NEW met1 ( 724270 1521330 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] ) ( user_proj_top mp[28] )
+ ROUTED met1 ( 1394950 1638290 ) ( 1399090 1638290 )
NEW met2 ( 1394950 1638290 ) ( 1394950 1650700 )
NEW met2 ( 1394030 1650700 0 ) ( 1394950 1650700 )
NEW met2 ( 1703610 2380 0 ) ( 1703610 16830 )
NEW met1 ( 1697630 16830 ) ( 1703610 16830 )
NEW met2 ( 1399090 1590690 ) ( 1399090 1638290 )
NEW met1 ( 1399090 1590690 ) ( 1697630 1590690 )
NEW met2 ( 1697630 16830 ) ( 1697630 1590690 )
NEW met1 ( 1399090 1638290 ) M1M2_PR
NEW met1 ( 1394950 1638290 ) M1M2_PR
NEW met1 ( 1703610 16830 ) M1M2_PR
NEW met1 ( 1697630 16830 ) M1M2_PR
NEW met1 ( 1399090 1590690 ) M1M2_PR
NEW met1 ( 1697630 1590690 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] ) ( user_proj_top mp[29] )
+ ROUTED met1 ( 1397250 1637610 ) ( 1399550 1637610 )
NEW met2 ( 1397250 1637610 ) ( 1397250 1650700 )
NEW met2 ( 1395870 1650700 0 ) ( 1397250 1650700 )
NEW met2 ( 1399550 1583890 ) ( 1399550 1637610 )
NEW met2 ( 1718330 82800 ) ( 1721550 82800 )
NEW met2 ( 1721550 2380 0 ) ( 1721550 82800 )
NEW met1 ( 1399550 1583890 ) ( 1718330 1583890 )
NEW met2 ( 1718330 82800 ) ( 1718330 1583890 )
NEW met1 ( 1399550 1637610 ) M1M2_PR
NEW met1 ( 1397250 1637610 ) M1M2_PR
NEW met1 ( 1399550 1583890 ) M1M2_PR
NEW met1 ( 1718330 1583890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] ) ( user_proj_top mp[30] )
+ ROUTED met2 ( 1739490 2380 0 ) ( 1739490 1086470 )
NEW met1 ( 1399090 1639310 ) ( 1400470 1639310 )
NEW met2 ( 1399090 1639310 ) ( 1399090 1650700 )
NEW met2 ( 1398170 1650700 0 ) ( 1399090 1650700 )
NEW met1 ( 1400470 1086470 ) ( 1739490 1086470 )
NEW met2 ( 1400470 1086470 ) ( 1400470 1639310 )
NEW met1 ( 1739490 1086470 ) M1M2_PR
NEW met1 ( 1400470 1086470 ) M1M2_PR
NEW met1 ( 1400470 1639310 ) M1M2_PR
NEW met1 ( 1399090 1639310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] ) ( user_proj_top mp[31] )
+ ROUTED met2 ( 1752830 82800 ) ( 1756970 82800 )
NEW met2 ( 1756970 2380 0 ) ( 1756970 82800 )
NEW met2 ( 1752830 82800 ) ( 1752830 1576410 )
NEW met2 ( 1399550 1639140 ) ( 1400010 1639140 )
NEW met2 ( 1399550 1639140 ) ( 1399550 1650020 )
NEW met2 ( 1399550 1650020 ) ( 1400010 1650020 0 )
NEW met1 ( 1400010 1576410 ) ( 1752830 1576410 )
NEW met2 ( 1400010 1576410 ) ( 1400010 1639140 )
NEW met1 ( 1752830 1576410 ) M1M2_PR
NEW met1 ( 1400010 1576410 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] ) ( user_proj_top start )
+ ROUTED met1 ( 1403230 1636930 ) ( 1406450 1636930 )
NEW met2 ( 1403230 1636930 ) ( 1403230 1650700 )
NEW met2 ( 1402310 1650700 0 ) ( 1403230 1650700 )
NEW met2 ( 1774910 2380 0 ) ( 1774910 31110 )
NEW met1 ( 1406450 31110 ) ( 1774910 31110 )
NEW met2 ( 1406450 31110 ) ( 1406450 1636930 )
NEW met1 ( 1406450 31110 ) M1M2_PR
NEW met1 ( 1406450 1636930 ) M1M2_PR
NEW met1 ( 1403230 1636930 ) M1M2_PR
NEW met1 ( 1774910 31110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] ) ( user_proj_top prod_sel )
+ ROUTED met2 ( 1405530 1639140 ) ( 1406910 1639140 )
NEW met2 ( 1405530 1639140 ) ( 1405530 1650700 )
NEW met2 ( 1404150 1650700 0 ) ( 1405530 1650700 )
NEW met2 ( 1792850 2380 0 ) ( 1792850 30770 )
NEW met1 ( 1406910 30770 ) ( 1792850 30770 )
NEW met2 ( 1406910 30770 ) ( 1406910 1639140 )
NEW met1 ( 1406910 30770 ) M1M2_PR
NEW met1 ( 1792850 30770 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] )
+ USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] )
+ USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] )
+ USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] )
+ USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] ) ( user_proj_top mc[6] )
+ ROUTED met2 ( 740370 2380 0 ) ( 740370 16490 )
NEW met1 ( 740370 16490 ) ( 744970 16490 )
NEW met2 ( 744970 16490 ) ( 744970 72250 )
NEW met1 ( 744970 72250 ) ( 1279950 72250 )
NEW met2 ( 1279950 72250 ) ( 1279950 1607700 )
NEW met2 ( 1279950 1607700 ) ( 1281330 1607700 )
NEW met2 ( 1281330 1607700 ) ( 1281330 1635570 )
NEW met1 ( 1281330 1635570 ) ( 1283630 1635570 )
NEW met2 ( 1283630 1635570 ) ( 1283630 1650020 )
NEW met2 ( 1283630 1650020 ) ( 1284550 1650020 0 )
NEW met1 ( 1279950 72250 ) M1M2_PR
NEW met1 ( 740370 16490 ) M1M2_PR
NEW met1 ( 744970 16490 ) M1M2_PR
NEW met1 ( 744970 72250 ) M1M2_PR
NEW met1 ( 1281330 1635570 ) M1M2_PR
NEW met1 ( 1283630 1635570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] )
+ USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] )
+ USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] )
+ USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] )
+ USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] )
+ USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] )
+ USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] )
+ USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] )
+ USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] )
+ USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] )
+ USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] ) ( user_proj_top mc[7] )
+ ROUTED met2 ( 757850 2380 0 ) ( 757850 34500 )
NEW met2 ( 757850 34500 ) ( 758770 34500 )
NEW met2 ( 758770 34500 ) ( 758770 1514530 )
NEW met1 ( 758770 1514530 ) ( 1285470 1514530 )
NEW met2 ( 1285930 1650020 ) ( 1286390 1650020 0 )
NEW met1 ( 1285470 1627070 ) ( 1285470 1628090 )
NEW met1 ( 1285470 1628090 ) ( 1285930 1628090 )
NEW met2 ( 1285470 1514530 ) ( 1285470 1627070 )
NEW met2 ( 1285930 1628090 ) ( 1285930 1650020 )
NEW met1 ( 1285470 1514530 ) M1M2_PR
NEW met1 ( 758770 1514530 ) M1M2_PR
NEW met1 ( 1285470 1627070 ) M1M2_PR
NEW met1 ( 1285930 1628090 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] )
+ USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] )
+ USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] )
+ USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] )
+ USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] )
+ USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] )
+ USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] )
+ USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] )
+ USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] )
+ USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] )
+ USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] ) ( user_proj_top mc[8] )
+ ROUTED met2 ( 775790 2380 0 ) ( 775790 16490 )
NEW met1 ( 775790 16490 ) ( 779470 16490 )
NEW met2 ( 779470 16490 ) ( 779470 86190 )
NEW met1 ( 779470 86190 ) ( 1285010 86190 )
NEW met2 ( 1286850 1650020 ) ( 1288230 1650020 0 )
NEW met1 ( 1285010 1594090 ) ( 1286850 1594090 )
NEW met2 ( 1285010 86190 ) ( 1285010 1594090 )
NEW met2 ( 1286850 1594090 ) ( 1286850 1650020 )
NEW met1 ( 775790 16490 ) M1M2_PR
NEW met1 ( 779470 16490 ) M1M2_PR
NEW met1 ( 779470 86190 ) M1M2_PR
NEW met1 ( 1285010 86190 ) M1M2_PR
NEW met1 ( 1285010 1594090 ) M1M2_PR
NEW met1 ( 1286850 1594090 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] )
+ USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] )
+ USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] )
+ USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] )
+ USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] )
+ USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] )
+ USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] )
+ USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] )
+ USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] )
+ USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] )
+ USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] ) ( user_proj_top mc[9] )
+ ROUTED met2 ( 793730 2380 0 ) ( 793730 16490 )
NEW met1 ( 793730 16490 ) ( 800170 16490 )
NEW met2 ( 800170 16490 ) ( 800170 1507390 )
NEW met1 ( 800170 1507390 ) ( 1290530 1507390 )
NEW met2 ( 1290530 1507390 ) ( 1290530 1607700 )
NEW met2 ( 1290530 1607700 ) ( 1290990 1607700 )
NEW met2 ( 1290990 1607700 ) ( 1290990 1650700 )
NEW met2 ( 1290530 1650700 0 ) ( 1290990 1650700 )
NEW met1 ( 793730 16490 ) M1M2_PR
NEW met1 ( 800170 16490 ) M1M2_PR
NEW met1 ( 800170 1507390 ) M1M2_PR
NEW met1 ( 1290530 1507390 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[0] ( PIN la_data_out[0] )
+ USE SIGNAL ;
- la_data_out[100] ( PIN la_data_out[100] ) ( user_proj_top prod[4] )
+ ROUTED met2 ( 1544450 1650700 0 ) ( 1544910 1650700 )
NEW met2 ( 2423050 2380 0 ) ( 2423050 23970 )
NEW met2 ( 1544910 23970 ) ( 1544910 1650700 )
NEW met1 ( 1544910 23970 ) ( 2423050 23970 )
NEW met1 ( 1544910 23970 ) M1M2_PR
NEW met1 ( 2423050 23970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[101] ( PIN la_data_out[101] ) ( user_proj_top prod[5] )
+ ROUTED met2 ( 1546750 1631830 ) ( 1546750 1650700 )
NEW met2 ( 1546290 1650700 0 ) ( 1546750 1650700 )
NEW met2 ( 2435930 82800 ) ( 2440990 82800 )
NEW met2 ( 2440990 2380 0 ) ( 2440990 82800 )
NEW met2 ( 2435930 82800 ) ( 2435930 1631830 )
NEW met1 ( 1546750 1631830 ) ( 2435930 1631830 )
NEW met1 ( 1546750 1631830 ) M1M2_PR
NEW met1 ( 2435930 1631830 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[102] ( PIN la_data_out[102] ) ( user_proj_top prod[6] )
+ ROUTED met2 ( 1547210 1650020 ) ( 1548590 1650020 0 )
NEW met2 ( 1547210 1624690 ) ( 1547210 1650020 )
NEW met2 ( 2456630 82800 ) ( 2458930 82800 )
NEW met2 ( 2458930 2380 0 ) ( 2458930 82800 )
NEW met1 ( 1547210 1624690 ) ( 2456630 1624690 )
NEW met2 ( 2456630 82800 ) ( 2456630 1624690 )
NEW met1 ( 1547210 1624690 ) M1M2_PR
NEW met1 ( 2456630 1624690 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[103] ( PIN la_data_out[103] ) ( user_proj_top prod[7] )
+ ROUTED met2 ( 1550430 1650700 0 ) ( 1551350 1650700 )
NEW met2 ( 1551350 37910 ) ( 1551350 1650700 )
NEW met1 ( 1551350 37910 ) ( 2476870 37910 )
NEW met2 ( 2476870 2380 0 ) ( 2476870 37910 )
NEW met1 ( 1551350 37910 ) M1M2_PR
NEW met1 ( 2476870 37910 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[104] ( PIN la_data_out[104] ) ( user_proj_top prod[8] )
+ ROUTED met2 ( 1552730 1650700 0 ) ( 1553190 1650700 )
NEW met2 ( 1553190 1617890 ) ( 1553190 1650700 )
NEW met2 ( 2491130 82800 ) ( 2494810 82800 )
NEW met2 ( 2494810 2380 0 ) ( 2494810 82800 )
NEW met1 ( 1553190 1617890 ) ( 2491130 1617890 )
NEW met2 ( 2491130 82800 ) ( 2491130 1617890 )
NEW met1 ( 1553190 1617890 ) M1M2_PR
NEW met1 ( 2491130 1617890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[105] ( PIN la_data_out[105] ) ( user_proj_top prod[9] )
+ ROUTED met1 ( 1555490 1636930 ) ( 1558250 1636930 )
NEW met2 ( 1555490 1636930 ) ( 1555490 1650700 )
NEW met2 ( 1554570 1650700 0 ) ( 1555490 1650700 )
NEW met2 ( 1558250 1569610 ) ( 1558250 1636930 )
NEW met2 ( 2511830 82800 ) ( 2512290 82800 )
NEW met2 ( 2512290 2380 0 ) ( 2512290 82800 )
NEW met2 ( 2511830 82800 ) ( 2511830 1569610 )
NEW met1 ( 1558250 1569610 ) ( 2511830 1569610 )
NEW met1 ( 1558250 1569610 ) M1M2_PR
NEW met1 ( 1558250 1636930 ) M1M2_PR
NEW met1 ( 1555490 1636930 ) M1M2_PR
NEW met1 ( 2511830 1569610 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[106] ( PIN la_data_out[106] ) ( user_proj_top prod[10] )
+ ROUTED met1 ( 1557330 1637610 ) ( 1558710 1637610 )
NEW met2 ( 1557330 1637610 ) ( 1557330 1650700 )
NEW met2 ( 1556410 1650700 0 ) ( 1557330 1650700 )
NEW met2 ( 1558710 1562810 ) ( 1558710 1637610 )
NEW met2 ( 2525630 82800 ) ( 2530230 82800 )
NEW met2 ( 2530230 2380 0 ) ( 2530230 82800 )
NEW met2 ( 2525630 82800 ) ( 2525630 1562810 )
NEW met1 ( 1558710 1562810 ) ( 2525630 1562810 )
NEW met1 ( 1558710 1562810 ) M1M2_PR
NEW met1 ( 1558710 1637610 ) M1M2_PR
NEW met1 ( 1557330 1637610 ) M1M2_PR
NEW met1 ( 2525630 1562810 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[107] ( PIN la_data_out[107] ) ( user_proj_top prod[11] )
+ ROUTED met2 ( 1557790 1650020 ) ( 1558710 1650020 0 )
NEW met2 ( 1557790 1548870 ) ( 1557790 1650020 )
NEW met1 ( 1557790 1548870 ) ( 2546330 1548870 )
NEW met2 ( 2546330 82800 ) ( 2548170 82800 )
NEW met2 ( 2548170 2380 0 ) ( 2548170 82800 )
NEW met2 ( 2546330 82800 ) ( 2546330 1548870 )
NEW met1 ( 1557790 1548870 ) M1M2_PR
NEW met1 ( 2546330 1548870 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[108] ( PIN la_data_out[108] ) ( user_proj_top prod[12] )
+ ROUTED met2 ( 1561930 1641010 ) ( 1561930 1650700 )
NEW met2 ( 1560550 1650700 0 ) ( 1561930 1650700 )
NEW met1 ( 1561930 1641010 ) ( 1618050 1641010 )
NEW met2 ( 1618050 44710 ) ( 1618050 1641010 )
NEW met1 ( 1618050 44710 ) ( 2566110 44710 )
NEW met2 ( 2566110 2380 0 ) ( 2566110 44710 )
NEW met1 ( 1561930 1641010 ) M1M2_PR
NEW met1 ( 1618050 1641010 ) M1M2_PR
NEW met1 ( 1618050 44710 ) M1M2_PR
NEW met1 ( 2566110 44710 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[109] ( PIN la_data_out[109] ) ( user_proj_top prod[13] )
+ ROUTED met2 ( 1562850 1650700 0 ) ( 1563770 1650700 )
NEW met2 ( 1563770 1628400 ) ( 1563770 1650700 )
NEW met2 ( 1563770 1628400 ) ( 1565610 1628400 )
NEW met2 ( 1565610 1542070 ) ( 1565610 1628400 )
NEW met1 ( 1565610 1542070 ) ( 2580830 1542070 )
NEW met2 ( 2580830 82800 ) ( 2584050 82800 )
NEW met2 ( 2584050 2380 0 ) ( 2584050 82800 )
NEW met2 ( 2580830 82800 ) ( 2580830 1542070 )
NEW met1 ( 1565610 1542070 ) M1M2_PR
NEW met1 ( 2580830 1542070 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[10] ( PIN la_data_out[10] ) ( user_proj_top tie[42] )
+ ROUTED met2 ( 817650 2380 0 ) ( 817650 16490 )
NEW met1 ( 817650 16490 ) ( 820870 16490 )
NEW met1 ( 820870 99790 ) ( 1397250 99790 )
NEW met1 ( 1397250 1636590 ) ( 1425310 1636590 )
NEW met2 ( 1425310 1636590 ) ( 1425310 1650020 )
NEW met2 ( 1425310 1650020 ) ( 1426690 1650020 0 )
NEW met2 ( 820870 16490 ) ( 820870 99790 )
NEW met2 ( 1397250 99790 ) ( 1397250 1636590 )
NEW met1 ( 817650 16490 ) M1M2_PR
NEW met1 ( 820870 16490 ) M1M2_PR
NEW met1 ( 820870 99790 ) M1M2_PR
NEW met1 ( 1397250 99790 ) M1M2_PR
NEW met1 ( 1397250 1636590 ) M1M2_PR
NEW met1 ( 1425310 1636590 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[110] ( PIN la_data_out[110] ) ( user_proj_top prod[14] )
+ ROUTED met2 ( 1565610 1639140 ) ( 1566070 1639140 )
NEW met2 ( 1565610 1639140 ) ( 1565610 1650700 )
NEW met2 ( 1564690 1650700 0 ) ( 1565610 1650700 )
NEW met2 ( 1566070 72250 ) ( 1566070 1639140 )
NEW met2 ( 2601530 2380 0 ) ( 2601530 72250 )
NEW met1 ( 1566070 72250 ) ( 2601530 72250 )
NEW met1 ( 1566070 72250 ) M1M2_PR
NEW met1 ( 2601530 72250 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[111] ( PIN la_data_out[111] ) ( user_proj_top prod[15] )
+ ROUTED met2 ( 1566530 1650700 0 ) ( 1566990 1650700 )
NEW met2 ( 1566990 1604290 ) ( 1566990 1650700 )
NEW met2 ( 2615330 82800 ) ( 2619470 82800 )
NEW met2 ( 2619470 2380 0 ) ( 2619470 82800 )
NEW met2 ( 2615330 82800 ) ( 2615330 1604290 )
NEW met1 ( 1566990 1604290 ) ( 2615330 1604290 )
NEW met1 ( 1566990 1604290 ) M1M2_PR
NEW met1 ( 2615330 1604290 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[112] ( PIN la_data_out[112] ) ( user_proj_top prod[16] )
+ ROUTED met2 ( 1567910 1650020 ) ( 1568830 1650020 0 )
NEW met2 ( 1567910 1597150 ) ( 1567910 1650020 )
NEW met2 ( 2636030 82800 ) ( 2637410 82800 )
NEW met2 ( 2637410 2380 0 ) ( 2637410 82800 )
NEW met2 ( 2636030 82800 ) ( 2636030 1597150 )
NEW met1 ( 1567910 1597150 ) ( 2636030 1597150 )
NEW met1 ( 1567910 1597150 ) M1M2_PR
NEW met1 ( 2636030 1597150 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[113] ( PIN la_data_out[113] ) ( user_proj_top prod[17] )
+ ROUTED met2 ( 1572050 1641690 ) ( 1572050 1650700 )
NEW met2 ( 1570670 1650700 0 ) ( 1572050 1650700 )
NEW met1 ( 1572050 1641690 ) ( 1604710 1641690 )
NEW met2 ( 1604710 51510 ) ( 1604710 1641690 )
NEW met1 ( 1604710 51510 ) ( 2655350 51510 )
NEW met2 ( 2655350 2380 0 ) ( 2655350 51510 )
NEW met1 ( 1572050 1641690 ) M1M2_PR
NEW met1 ( 1604710 1641690 ) M1M2_PR
NEW met1 ( 1604710 51510 ) M1M2_PR
NEW met1 ( 2655350 51510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[114] ( PIN la_data_out[114] ) ( user_proj_top prod[18] )
+ ROUTED met2 ( 1572510 1650020 ) ( 1572970 1650020 0 )
NEW met2 ( 1572510 1628400 ) ( 1572510 1650020 )
NEW met2 ( 1572510 1628400 ) ( 1572970 1628400 )
NEW met2 ( 1572970 1535270 ) ( 1572970 1628400 )
NEW met1 ( 1572970 1535270 ) ( 2670530 1535270 )
NEW met2 ( 2670530 82800 ) ( 2672830 82800 )
NEW met2 ( 2672830 2380 0 ) ( 2672830 82800 )
NEW met2 ( 2670530 82800 ) ( 2670530 1535270 )
NEW met1 ( 1572970 1535270 ) M1M2_PR
NEW met1 ( 2670530 1535270 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[115] ( PIN la_data_out[115] ) ( user_proj_top prod[19] )
+ ROUTED met1 ( 1576190 1639310 ) ( 1578950 1639310 )
NEW met2 ( 1576190 1639310 ) ( 1576190 1650700 )
NEW met2 ( 1574810 1650700 0 ) ( 1576190 1650700 )
NEW met2 ( 1578950 1528130 ) ( 1578950 1639310 )
NEW met2 ( 2690770 2380 0 ) ( 2690770 17510 )
NEW met1 ( 2684330 17510 ) ( 2690770 17510 )
NEW met1 ( 1578950 1528130 ) ( 2684330 1528130 )
NEW met2 ( 2684330 17510 ) ( 2684330 1528130 )
NEW met1 ( 1578950 1639310 ) M1M2_PR
NEW met1 ( 1576190 1639310 ) M1M2_PR
NEW met1 ( 1578950 1528130 ) M1M2_PR
NEW met1 ( 2690770 17510 ) M1M2_PR
NEW met1 ( 2684330 17510 ) M1M2_PR
NEW met1 ( 2684330 1528130 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[116] ( PIN la_data_out[116] ) ( user_proj_top prod[20] )
+ ROUTED met1 ( 1578030 1637270 ) ( 1579870 1637270 )
NEW met2 ( 1578030 1637270 ) ( 1578030 1650700 )
NEW met2 ( 1577110 1650700 0 ) ( 1578030 1650700 )
NEW met2 ( 1579870 79390 ) ( 1579870 1637270 )
NEW met2 ( 2708710 2380 0 ) ( 2708710 79390 )
NEW met1 ( 1579870 79390 ) ( 2708710 79390 )
NEW met1 ( 1579870 1637270 ) M1M2_PR
NEW met1 ( 1578030 1637270 ) M1M2_PR
NEW met1 ( 1579870 79390 ) M1M2_PR
NEW met1 ( 2708710 79390 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[117] ( PIN la_data_out[117] ) ( user_proj_top prod[21] )
+ ROUTED met2 ( 1578950 1650700 0 ) ( 1579410 1650700 )
NEW met2 ( 1579410 1521330 ) ( 1579410 1650700 )
NEW met2 ( 2725730 82800 ) ( 2726650 82800 )
NEW met2 ( 2726650 2380 0 ) ( 2726650 82800 )
NEW met2 ( 2725730 82800 ) ( 2725730 1521330 )
NEW met1 ( 1579410 1521330 ) ( 2725730 1521330 )
NEW met1 ( 1579410 1521330 ) M1M2_PR
NEW met1 ( 2725730 1521330 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[118] ( PIN la_data_out[118] ) ( user_proj_top prod[22] )
+ ROUTED met2 ( 2735850 20570 ) ( 2735850 1514530 )
NEW met1 ( 1582170 1638290 ) ( 1585850 1638290 )
NEW met2 ( 1582170 1638290 ) ( 1582170 1650700 )
NEW met2 ( 1580790 1650700 0 ) ( 1582170 1650700 )
NEW met2 ( 2744590 2380 0 ) ( 2744590 20570 )
NEW met1 ( 2735850 20570 ) ( 2744590 20570 )
NEW met2 ( 1585850 1514530 ) ( 1585850 1638290 )
NEW met1 ( 1585850 1514530 ) ( 2735850 1514530 )
NEW met1 ( 2735850 20570 ) M1M2_PR
NEW met1 ( 2735850 1514530 ) M1M2_PR
NEW met1 ( 1585850 1638290 ) M1M2_PR
NEW met1 ( 1582170 1638290 ) M1M2_PR
NEW met1 ( 2744590 20570 ) M1M2_PR
NEW met1 ( 1585850 1514530 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[119] ( PIN la_data_out[119] ) ( user_proj_top prod[23] )
+ ROUTED met1 ( 1584010 1637610 ) ( 1586770 1637610 )
NEW met2 ( 1584010 1637610 ) ( 1584010 1650700 )
NEW met2 ( 1583090 1650700 0 ) ( 1584010 1650700 )
NEW met1 ( 1586770 665890 ) ( 2760230 665890 )
NEW met2 ( 1586770 665890 ) ( 1586770 1637610 )
NEW met2 ( 2760230 82800 ) ( 2762070 82800 )
NEW met2 ( 2762070 2380 0 ) ( 2762070 82800 )
NEW met2 ( 2760230 82800 ) ( 2760230 665890 )
NEW met1 ( 1586770 665890 ) M1M2_PR
NEW met1 ( 1586770 1637610 ) M1M2_PR
NEW met1 ( 1584010 1637610 ) M1M2_PR
NEW met1 ( 2760230 665890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[11] ( PIN la_data_out[11] ) ( user_proj_top tie[43] )
+ ROUTED met2 ( 835590 2380 0 ) ( 835590 16490 )
NEW met1 ( 835590 16490 ) ( 841570 16490 )
NEW met1 ( 1424850 1639310 ) ( 1428990 1639310 )
NEW met2 ( 1428990 1639310 ) ( 1428990 1650700 )
NEW met2 ( 1428530 1650700 0 ) ( 1428990 1650700 )
NEW met2 ( 841570 16490 ) ( 841570 1500590 )
NEW met1 ( 841570 1500590 ) ( 1424850 1500590 )
NEW met2 ( 1424850 1500590 ) ( 1424850 1639310 )
NEW met1 ( 835590 16490 ) M1M2_PR
NEW met1 ( 841570 16490 ) M1M2_PR
NEW met1 ( 1424850 1639310 ) M1M2_PR
NEW met1 ( 1428990 1639310 ) M1M2_PR
NEW met1 ( 841570 1500590 ) M1M2_PR
NEW met1 ( 1424850 1500590 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[120] ( PIN la_data_out[120] ) ( user_proj_top prod[24] )
+ ROUTED met2 ( 1584930 1650700 0 ) ( 1586310 1650700 )
NEW met2 ( 2780010 2380 0 ) ( 2780010 14450 )
NEW met1 ( 2774030 14450 ) ( 2780010 14450 )
NEW met2 ( 1586310 1507390 ) ( 1586310 1650700 )
NEW met1 ( 1586310 1507390 ) ( 2774030 1507390 )
NEW met2 ( 2774030 14450 ) ( 2774030 1507390 )
NEW met1 ( 2780010 14450 ) M1M2_PR
NEW met1 ( 2774030 14450 ) M1M2_PR
NEW met1 ( 1586310 1507390 ) M1M2_PR
NEW met1 ( 2774030 1507390 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[121] ( PIN la_data_out[121] ) ( user_proj_top prod[25] )
+ ROUTED met2 ( 2797950 2380 0 ) ( 2797950 17510 )
NEW met1 ( 2791050 17510 ) ( 2797950 17510 )
NEW met2 ( 2791050 17510 ) ( 2791050 1590350 )
NEW met1 ( 1588150 1639650 ) ( 1592290 1639650 )
NEW met2 ( 1588150 1639650 ) ( 1588150 1650700 )
NEW met2 ( 1587230 1650700 0 ) ( 1588150 1650700 )
NEW met2 ( 1592290 1590350 ) ( 1592290 1639650 )
NEW met1 ( 1592290 1590350 ) ( 2791050 1590350 )
NEW met1 ( 2797950 17510 ) M1M2_PR
NEW met1 ( 2791050 17510 ) M1M2_PR
NEW met1 ( 2791050 1590350 ) M1M2_PR
NEW met1 ( 1592290 1639650 ) M1M2_PR
NEW met1 ( 1588150 1639650 ) M1M2_PR
NEW met1 ( 1592290 1590350 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[122] ( PIN la_data_out[122] ) ( user_proj_top prod[26] )
+ ROUTED met2 ( 2815430 82800 ) ( 2815890 82800 )
NEW met2 ( 2815890 2380 0 ) ( 2815890 82800 )
NEW met2 ( 2815430 82800 ) ( 2815430 1583550 )
NEW met1 ( 1589990 1638290 ) ( 1592750 1638290 )
NEW met2 ( 1589990 1638290 ) ( 1589990 1650700 )
NEW met2 ( 1589070 1650700 0 ) ( 1589990 1650700 )
NEW met2 ( 1592750 1583550 ) ( 1592750 1638290 )
NEW met1 ( 1592750 1583550 ) ( 2815430 1583550 )
NEW met1 ( 2815430 1583550 ) M1M2_PR
NEW met1 ( 1592750 1638290 ) M1M2_PR
NEW met1 ( 1589990 1638290 ) M1M2_PR
NEW met1 ( 1592750 1583550 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[123] ( PIN la_data_out[123] ) ( user_proj_top prod[27] )
+ ROUTED met2 ( 2833830 2380 0 ) ( 2833830 17510 )
NEW met1 ( 2825550 17510 ) ( 2833830 17510 )
NEW met2 ( 2825550 17510 ) ( 2825550 1500590 )
NEW met2 ( 1592290 1640500 ) ( 1593210 1640500 )
NEW met2 ( 1592290 1640500 ) ( 1592290 1650700 )
NEW met2 ( 1590910 1650700 0 ) ( 1592290 1650700 )
NEW met2 ( 1593210 1500590 ) ( 1593210 1640500 )
NEW met1 ( 1593210 1500590 ) ( 2825550 1500590 )
NEW met1 ( 2833830 17510 ) M1M2_PR
NEW met1 ( 2825550 17510 ) M1M2_PR
NEW met1 ( 2825550 1500590 ) M1M2_PR
NEW met1 ( 1593210 1500590 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[124] ( PIN la_data_out[124] ) ( user_proj_top prod[28] )
+ ROUTED met2 ( 1593210 1650700 0 ) ( 1593670 1650700 )
NEW met2 ( 2851310 2380 0 ) ( 2851310 17510 )
NEW met1 ( 2839350 17510 ) ( 2851310 17510 )
NEW met2 ( 1593670 1493790 ) ( 1593670 1650700 )
NEW met1 ( 1593670 1493790 ) ( 2839350 1493790 )
NEW met2 ( 2839350 17510 ) ( 2839350 1493790 )
NEW met1 ( 2851310 17510 ) M1M2_PR
NEW met1 ( 2839350 17510 ) M1M2_PR
NEW met1 ( 1593670 1493790 ) M1M2_PR
NEW met1 ( 2839350 1493790 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[125] ( PIN la_data_out[125] ) ( user_proj_top prod[29] )
+ ROUTED met1 ( 1596430 1638290 ) ( 1599650 1638290 )
NEW met2 ( 1596430 1638290 ) ( 1596430 1650700 )
NEW met2 ( 1595050 1650700 0 ) ( 1596430 1650700 )
NEW met2 ( 1599650 1486990 ) ( 1599650 1638290 )
NEW met2 ( 2863730 82800 ) ( 2869250 82800 )
NEW met2 ( 2869250 2380 0 ) ( 2869250 82800 )
NEW met1 ( 1599650 1486990 ) ( 2863730 1486990 )
NEW met2 ( 2863730 82800 ) ( 2863730 1486990 )
NEW met1 ( 1599650 1638290 ) M1M2_PR
NEW met1 ( 1596430 1638290 ) M1M2_PR
NEW met1 ( 1599650 1486990 ) M1M2_PR
NEW met1 ( 2863730 1486990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[126] ( PIN la_data_out[126] ) ( user_proj_top prod[30] )
+ ROUTED met2 ( 2887190 2380 0 ) ( 2887190 20570 )
NEW met2 ( 1598270 1639140 ) ( 1600110 1639140 )
NEW met2 ( 1598270 1639140 ) ( 1598270 1650700 )
NEW met2 ( 1597350 1650700 0 ) ( 1598270 1650700 )
NEW met1 ( 2880750 20570 ) ( 2887190 20570 )
NEW met1 ( 1600110 1479850 ) ( 2880750 1479850 )
NEW met2 ( 1600110 1479850 ) ( 1600110 1639140 )
NEW met2 ( 2880750 20570 ) ( 2880750 1479850 )
NEW met1 ( 2887190 20570 ) M1M2_PR
NEW met1 ( 1600110 1479850 ) M1M2_PR
NEW met1 ( 2880750 20570 ) M1M2_PR
NEW met1 ( 2880750 1479850 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[127] ( PIN la_data_out[127] ) ( user_proj_top prod[31] )
+ ROUTED met2 ( 2905130 2380 0 ) ( 2905130 17170 )
NEW met2 ( 1599190 1650700 0 ) ( 1600570 1650700 )
NEW met1 ( 1600570 17170 ) ( 2905130 17170 )
NEW met2 ( 1600570 17170 ) ( 1600570 1650700 )
NEW met1 ( 2905130 17170 ) M1M2_PR
NEW met1 ( 1600570 17170 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[12] ( PIN la_data_out[12] ) ( user_proj_top tie[44] )
+ ROUTED met2 ( 1383450 92990 ) ( 1383450 1638970 )
NEW met2 ( 853070 2380 0 ) ( 853070 16490 )
NEW met1 ( 853070 16490 ) ( 855370 16490 )
NEW met1 ( 855370 92990 ) ( 1383450 92990 )
NEW li1 ( 1397250 1638970 ) ( 1397250 1640670 )
NEW met1 ( 1397250 1640670 ) ( 1429450 1640670 )
NEW met2 ( 1429450 1640670 ) ( 1429450 1650020 )
NEW met2 ( 1429450 1650020 ) ( 1430830 1650020 0 )
NEW met1 ( 1383450 1638970 ) ( 1397250 1638970 )
NEW met2 ( 855370 16490 ) ( 855370 92990 )
NEW met1 ( 1383450 92990 ) M1M2_PR
NEW met1 ( 1383450 1638970 ) M1M2_PR
NEW met1 ( 853070 16490 ) M1M2_PR
NEW met1 ( 855370 16490 ) M1M2_PR
NEW met1 ( 855370 92990 ) M1M2_PR
NEW li1 ( 1397250 1638970 ) L1M1_PR_MR
NEW li1 ( 1397250 1640670 ) L1M1_PR_MR
NEW met1 ( 1429450 1640670 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[13] ( PIN la_data_out[13] ) ( user_proj_top tie[45] )
+ ROUTED met2 ( 871010 2380 0 ) ( 871010 16490 )
NEW met1 ( 871010 16490 ) ( 876070 16490 )
NEW met2 ( 876070 16490 ) ( 876070 106930 )
NEW met1 ( 876070 106930 ) ( 1429450 106930 )
NEW met2 ( 1431290 1650020 ) ( 1432670 1650020 0 )
NEW met2 ( 1429450 106930 ) ( 1429450 1580100 )
NEW met2 ( 1429450 1580100 ) ( 1431290 1580100 )
NEW met2 ( 1431290 1580100 ) ( 1431290 1650020 )
NEW met1 ( 871010 16490 ) M1M2_PR
NEW met1 ( 876070 16490 ) M1M2_PR
NEW met1 ( 876070 106930 ) M1M2_PR
NEW met1 ( 1429450 106930 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[14] ( PIN la_data_out[14] ) ( user_proj_top tie[46] )
+ ROUTED met2 ( 888950 2380 0 ) ( 888950 34500 )
NEW met2 ( 888950 34500 ) ( 889870 34500 )
NEW met2 ( 889870 34500 ) ( 889870 1493790 )
NEW met1 ( 1428990 1638630 ) ( 1433130 1638630 )
NEW met2 ( 1433130 1638630 ) ( 1433130 1650020 )
NEW met2 ( 1433130 1650020 ) ( 1434510 1650020 0 )
NEW met1 ( 889870 1493790 ) ( 1428990 1493790 )
NEW met2 ( 1428990 1493790 ) ( 1428990 1638630 )
NEW met1 ( 889870 1493790 ) M1M2_PR
NEW met1 ( 1428990 1638630 ) M1M2_PR
NEW met1 ( 1433130 1638630 ) M1M2_PR
NEW met1 ( 1428990 1493790 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[15] ( PIN la_data_out[15] ) ( user_proj_top tie[47] )
+ ROUTED met2 ( 1435890 1635570 ) ( 1435890 1650020 )
NEW met2 ( 1435890 1650020 ) ( 1436810 1650020 0 )
NEW met2 ( 906890 2380 0 ) ( 906890 16490 )
NEW met1 ( 906890 16490 ) ( 910570 16490 )
NEW met1 ( 910570 113730 ) ( 1431750 113730 )
NEW met1 ( 1431750 1635570 ) ( 1435890 1635570 )
NEW met2 ( 910570 16490 ) ( 910570 113730 )
NEW met2 ( 1431750 113730 ) ( 1431750 1635570 )
NEW met1 ( 1435890 1635570 ) M1M2_PR
NEW met1 ( 906890 16490 ) M1M2_PR
NEW met1 ( 910570 16490 ) M1M2_PR
NEW met1 ( 910570 113730 ) M1M2_PR
NEW met1 ( 1431750 113730 ) M1M2_PR
NEW met1 ( 1431750 1635570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[16] ( PIN la_data_out[16] ) ( user_proj_top tie[48] )
+ ROUTED met2 ( 1435890 1632340 ) ( 1437270 1632340 )
NEW met2 ( 1437270 1632340 ) ( 1437270 1650020 )
NEW met2 ( 1437270 1650020 ) ( 1438650 1650020 0 )
NEW met2 ( 1435890 127670 ) ( 1435890 1632340 )
NEW met1 ( 924370 127670 ) ( 1435890 127670 )
NEW met2 ( 924370 2380 0 ) ( 924370 127670 )
NEW met1 ( 1435890 127670 ) M1M2_PR
NEW met1 ( 924370 127670 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[17] ( PIN la_data_out[17] ) ( user_proj_top tie[49] )
+ ROUTED met2 ( 1438190 1639820 ) ( 1439110 1639820 )
NEW met2 ( 1439110 1639820 ) ( 1439110 1650020 )
NEW met2 ( 1439110 1650020 ) ( 1440950 1650020 0 )
NEW met2 ( 1437270 120530 ) ( 1437270 1580100 )
NEW met2 ( 1437270 1580100 ) ( 1438190 1580100 )
NEW met2 ( 1438190 1580100 ) ( 1438190 1639820 )
NEW met2 ( 942310 2380 0 ) ( 942310 15810 )
NEW met1 ( 942310 15810 ) ( 945070 15810 )
NEW met1 ( 945070 120530 ) ( 1437270 120530 )
NEW met2 ( 945070 15810 ) ( 945070 120530 )
NEW met1 ( 1437270 120530 ) M1M2_PR
NEW met1 ( 942310 15810 ) M1M2_PR
NEW met1 ( 945070 15810 ) M1M2_PR
NEW met1 ( 945070 120530 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[18] ( PIN la_data_out[18] ) ( user_proj_top tie[50] )
+ ROUTED met2 ( 960250 2380 0 ) ( 960250 16490 )
NEW met1 ( 960250 16490 ) ( 965770 16490 )
NEW met1 ( 1439110 1639310 ) ( 1442330 1639310 )
NEW met2 ( 1442330 1639310 ) ( 1442330 1650020 )
NEW met2 ( 1442330 1650020 ) ( 1442790 1650020 0 )
NEW met2 ( 965770 16490 ) ( 965770 1486990 )
NEW met2 ( 1439110 1486990 ) ( 1439110 1639310 )
NEW met1 ( 965770 1486990 ) ( 1439110 1486990 )
NEW met1 ( 960250 16490 ) M1M2_PR
NEW met1 ( 965770 16490 ) M1M2_PR
NEW met1 ( 1439110 1639310 ) M1M2_PR
NEW met1 ( 1442330 1639310 ) M1M2_PR
NEW met1 ( 965770 1486990 ) M1M2_PR
NEW met1 ( 1439110 1486990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[19] ( PIN la_data_out[19] ) ( user_proj_top tie[51] )
+ ROUTED met1 ( 1438650 1638290 ) ( 1443250 1638290 )
NEW met2 ( 1443250 1638290 ) ( 1443250 1650020 )
NEW met2 ( 1443250 1650020 ) ( 1444630 1650020 0 )
NEW met2 ( 978190 2380 0 ) ( 978190 34500 )
NEW met2 ( 978190 34500 ) ( 979570 34500 )
NEW met2 ( 979570 34500 ) ( 979570 1479850 )
NEW met2 ( 1438650 1479850 ) ( 1438650 1638290 )
NEW met1 ( 979570 1479850 ) ( 1438650 1479850 )
NEW met1 ( 979570 1479850 ) M1M2_PR
NEW met1 ( 1438650 1479850 ) M1M2_PR
NEW met1 ( 1438650 1638290 ) M1M2_PR
NEW met1 ( 1443250 1638290 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[1] ( PIN la_data_out[1] ) ( user_proj_top tie[33] )
+ ROUTED met1 ( 1342050 1635570 ) ( 1344810 1635570 )
NEW met2 ( 1344810 1635570 ) ( 1344810 1638970 )
NEW met1 ( 1344810 1638970 ) ( 1365970 1638970 )
NEW met1 ( 1365970 1638970 ) ( 1365970 1639310 )
NEW met2 ( 1342050 1473050 ) ( 1342050 1635570 )
NEW met2 ( 657110 2380 0 ) ( 657110 16490 )
NEW met1 ( 657110 16490 ) ( 662170 16490 )
NEW met1 ( 662170 1473050 ) ( 1342050 1473050 )
NEW met1 ( 1397710 1638970 ) ( 1397710 1639310 )
NEW met1 ( 1397710 1638970 ) ( 1407830 1638970 )
NEW met2 ( 1407830 1638970 ) ( 1407830 1650020 )
NEW met2 ( 1407830 1650020 ) ( 1408290 1650020 0 )
NEW met1 ( 1365970 1639310 ) ( 1397710 1639310 )
NEW met2 ( 662170 16490 ) ( 662170 1473050 )
NEW met1 ( 1342050 1473050 ) M1M2_PR
NEW met1 ( 1342050 1635570 ) M1M2_PR
NEW met1 ( 1344810 1635570 ) M1M2_PR
NEW met1 ( 1344810 1638970 ) M1M2_PR
NEW met1 ( 657110 16490 ) M1M2_PR
NEW met1 ( 662170 16490 ) M1M2_PR
NEW met1 ( 662170 1473050 ) M1M2_PR
NEW met1 ( 1407830 1638970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[20] ( PIN la_data_out[20] ) ( user_proj_top tie[52] )
+ ROUTED met2 ( 996130 2380 0 ) ( 996130 16490 )
NEW met1 ( 996130 16490 ) ( 1000270 16490 )
NEW met2 ( 1445550 1639990 ) ( 1445550 1650020 )
NEW met2 ( 1445550 1650020 ) ( 1446930 1650020 0 )
NEW met2 ( 1000270 16490 ) ( 1000270 141270 )
NEW met1 ( 1397710 1639990 ) ( 1445550 1639990 )
NEW met1 ( 1000270 141270 ) ( 1397710 141270 )
NEW met2 ( 1397710 141270 ) ( 1397710 1639990 )
NEW met1 ( 996130 16490 ) M1M2_PR
NEW met1 ( 1000270 16490 ) M1M2_PR
NEW met1 ( 1445550 1639990 ) M1M2_PR
NEW met1 ( 1000270 141270 ) M1M2_PR
NEW met1 ( 1397710 1639990 ) M1M2_PR
NEW met1 ( 1397710 141270 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[21] ( PIN la_data_out[21] ) ( user_proj_top tie[53] )
+ ROUTED met2 ( 1447390 1650020 ) ( 1448770 1650020 0 )
NEW met2 ( 1443250 1466250 ) ( 1443250 1580100 )
NEW met2 ( 1443250 1580100 ) ( 1447390 1580100 )
NEW met2 ( 1447390 1580100 ) ( 1447390 1650020 )
NEW met2 ( 1013610 2380 0 ) ( 1013610 17340 )
NEW met2 ( 1013610 17340 ) ( 1014070 17340 )
NEW met1 ( 1014070 1466250 ) ( 1443250 1466250 )
NEW met2 ( 1014070 17340 ) ( 1014070 1466250 )
NEW met1 ( 1443250 1466250 ) M1M2_PR
NEW met1 ( 1014070 1466250 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[22] ( PIN la_data_out[22] ) ( user_proj_top tie[54] )
+ ROUTED met2 ( 1449230 1650020 ) ( 1451070 1650020 0 )
NEW met2 ( 1449230 162010 ) ( 1449230 1650020 )
NEW met2 ( 1031550 2380 0 ) ( 1031550 16490 )
NEW met1 ( 1031550 16490 ) ( 1034770 16490 )
NEW met2 ( 1034770 16490 ) ( 1034770 162010 )
NEW met1 ( 1034770 162010 ) ( 1449230 162010 )
NEW met1 ( 1449230 162010 ) M1M2_PR
NEW met1 ( 1031550 16490 ) M1M2_PR
NEW met1 ( 1034770 16490 ) M1M2_PR
NEW met1 ( 1034770 162010 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[23] ( PIN la_data_out[23] ) ( user_proj_top tie[55] )
+ ROUTED met2 ( 1049490 2380 0 ) ( 1049490 16490 )
NEW met1 ( 1049490 16490 ) ( 1055470 16490 )
NEW met2 ( 1451530 1650020 ) ( 1452910 1650020 0 )
NEW met2 ( 1055470 16490 ) ( 1055470 1459110 )
NEW met2 ( 1450150 1459110 ) ( 1450150 1580100 )
NEW met2 ( 1450150 1580100 ) ( 1451530 1580100 )
NEW met2 ( 1451530 1580100 ) ( 1451530 1650020 )
NEW met1 ( 1055470 1459110 ) ( 1450150 1459110 )
NEW met1 ( 1049490 16490 ) M1M2_PR
NEW met1 ( 1055470 16490 ) M1M2_PR
NEW met1 ( 1055470 1459110 ) M1M2_PR
NEW met1 ( 1450150 1459110 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[24] ( PIN la_data_out[24] ) ( user_proj_top tie[56] )
+ ROUTED met1 ( 1449690 1638970 ) ( 1453830 1638970 )
NEW met2 ( 1453830 1638970 ) ( 1453830 1650020 )
NEW met2 ( 1453830 1650020 ) ( 1455210 1650020 0 )
NEW met2 ( 1067430 2380 0 ) ( 1067430 34500 )
NEW met2 ( 1067430 34500 ) ( 1069270 34500 )
NEW met2 ( 1069270 34500 ) ( 1069270 1452310 )
NEW met2 ( 1449690 1452310 ) ( 1449690 1638970 )
NEW met1 ( 1069270 1452310 ) ( 1449690 1452310 )
NEW met1 ( 1069270 1452310 ) M1M2_PR
NEW met1 ( 1449690 1452310 ) M1M2_PR
NEW met1 ( 1449690 1638970 ) M1M2_PR
NEW met1 ( 1453830 1638970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[25] ( PIN la_data_out[25] ) ( user_proj_top tie[57] )
+ ROUTED met2 ( 1085370 2380 0 ) ( 1085370 16490 )
NEW met1 ( 1085370 16490 ) ( 1089970 16490 )
NEW met1 ( 1452450 1639310 ) ( 1456130 1639310 )
NEW met2 ( 1456130 1639310 ) ( 1456130 1650020 )
NEW met2 ( 1456130 1650020 ) ( 1457050 1650020 0 )
NEW met2 ( 1089970 16490 ) ( 1089970 155210 )
NEW met2 ( 1452450 155210 ) ( 1452450 1639310 )
NEW met1 ( 1089970 155210 ) ( 1452450 155210 )
NEW met1 ( 1085370 16490 ) M1M2_PR
NEW met1 ( 1089970 16490 ) M1M2_PR
NEW met1 ( 1452450 1639310 ) M1M2_PR
NEW met1 ( 1456130 1639310 ) M1M2_PR
NEW met1 ( 1089970 155210 ) M1M2_PR
NEW met1 ( 1452450 155210 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[26] ( PIN la_data_out[26] ) ( user_proj_top tie[58] )
+ ROUTED met2 ( 1457510 1650020 ) ( 1458890 1650020 0 )
NEW met2 ( 1457510 168810 ) ( 1457510 1650020 )
NEW met2 ( 1102850 2380 0 ) ( 1102850 34500 )
NEW met2 ( 1102850 34500 ) ( 1103770 34500 )
NEW met2 ( 1103770 34500 ) ( 1103770 168810 )
NEW met1 ( 1103770 168810 ) ( 1457510 168810 )
NEW met1 ( 1457510 168810 ) M1M2_PR
NEW met1 ( 1103770 168810 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[27] ( PIN la_data_out[27] ) ( user_proj_top tie[59] )
+ ROUTED met2 ( 1457970 1639140 ) ( 1459350 1639140 )
NEW met2 ( 1459350 1639140 ) ( 1459350 1650020 )
NEW met2 ( 1459350 1650020 ) ( 1461190 1650020 0 )
NEW met2 ( 1457970 175950 ) ( 1457970 1639140 )
NEW met2 ( 1120790 2380 0 ) ( 1120790 16490 )
NEW met1 ( 1120790 16490 ) ( 1124470 16490 )
NEW met2 ( 1124470 16490 ) ( 1124470 175950 )
NEW met1 ( 1124470 175950 ) ( 1457970 175950 )
NEW met1 ( 1457970 175950 ) M1M2_PR
NEW met1 ( 1120790 16490 ) M1M2_PR
NEW met1 ( 1124470 16490 ) M1M2_PR
NEW met1 ( 1124470 175950 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[28] ( PIN la_data_out[28] ) ( user_proj_top tie[60] )
+ ROUTED met1 ( 1459810 1639310 ) ( 1463490 1639310 )
NEW met2 ( 1463490 1639310 ) ( 1463490 1650700 )
NEW met2 ( 1463030 1650700 0 ) ( 1463490 1650700 )
NEW met2 ( 1459810 1445850 ) ( 1459810 1639310 )
NEW met2 ( 1138730 2380 0 ) ( 1138730 16490 )
NEW met1 ( 1138730 16490 ) ( 1145170 16490 )
NEW met1 ( 1145170 1445850 ) ( 1459810 1445850 )
NEW met2 ( 1145170 16490 ) ( 1145170 1445850 )
NEW met1 ( 1459810 1445850 ) M1M2_PR
NEW met1 ( 1459810 1639310 ) M1M2_PR
NEW met1 ( 1463490 1639310 ) M1M2_PR
NEW met1 ( 1138730 16490 ) M1M2_PR
NEW met1 ( 1145170 16490 ) M1M2_PR
NEW met1 ( 1145170 1445850 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[29] ( PIN la_data_out[29] ) ( user_proj_top tie[61] )
+ ROUTED met2 ( 1156670 2380 0 ) ( 1156670 16490 )
NEW met1 ( 1156670 16490 ) ( 1158970 16490 )
NEW met2 ( 1463950 1650020 ) ( 1465330 1650020 0 )
NEW met2 ( 1158970 16490 ) ( 1158970 1611430 )
NEW met2 ( 1463950 1611430 ) ( 1463950 1650020 )
NEW met1 ( 1158970 1611430 ) ( 1463950 1611430 )
NEW met1 ( 1156670 16490 ) M1M2_PR
NEW met1 ( 1158970 16490 ) M1M2_PR
NEW met1 ( 1158970 1611430 ) M1M2_PR
NEW met1 ( 1463950 1611430 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[2] ( PIN la_data_out[2] ) ( user_proj_top tie[34] )
+ ROUTED met2 ( 674590 2380 0 ) ( 674590 34500 )
NEW met2 ( 674590 34500 ) ( 675970 34500 )
NEW met2 ( 675970 34500 ) ( 675970 134470 )
NEW met1 ( 1338600 1637950 ) ( 1338600 1638630 )
NEW met2 ( 1409210 1638630 ) ( 1409210 1650020 )
NEW met2 ( 1409210 1650020 ) ( 1410130 1650020 0 )
NEW met1 ( 1338600 1638630 ) ( 1409210 1638630 )
NEW met1 ( 675970 134470 ) ( 1294210 134470 )
NEW met2 ( 1294210 134470 ) ( 1294210 1637950 )
NEW met1 ( 1294210 1637950 ) ( 1338600 1637950 )
NEW met1 ( 675970 134470 ) M1M2_PR
NEW met1 ( 1409210 1638630 ) M1M2_PR
NEW met1 ( 1294210 134470 ) M1M2_PR
NEW met1 ( 1294210 1637950 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[30] ( PIN la_data_out[30] ) ( user_proj_top tie[62] )
+ ROUTED met2 ( 1174150 2380 0 ) ( 1174150 16490 )
NEW met1 ( 1174150 16490 ) ( 1179670 16490 )
NEW met1 ( 1463490 1638630 ) ( 1465790 1638630 )
NEW met2 ( 1465790 1638630 ) ( 1465790 1650020 )
NEW met2 ( 1465790 1650020 ) ( 1467170 1650020 0 )
NEW met2 ( 1179670 16490 ) ( 1179670 196690 )
NEW met2 ( 1463490 196690 ) ( 1463490 1638630 )
NEW met1 ( 1179670 196690 ) ( 1463490 196690 )
NEW met1 ( 1174150 16490 ) M1M2_PR
NEW met1 ( 1179670 16490 ) M1M2_PR
NEW met1 ( 1179670 196690 ) M1M2_PR
NEW met1 ( 1463490 196690 ) M1M2_PR
NEW met1 ( 1463490 1638630 ) M1M2_PR
NEW met1 ( 1465790 1638630 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[31] ( PIN la_data_out[31] ) ( user_proj_top tie[63] )
+ ROUTED met2 ( 1467630 1650020 ) ( 1469010 1650020 0 )
NEW met2 ( 1192090 2380 0 ) ( 1192090 34500 )
NEW met2 ( 1192090 34500 ) ( 1193470 34500 )
NEW met2 ( 1193470 34500 ) ( 1193470 182750 )
NEW met2 ( 1464410 182750 ) ( 1464410 1580100 )
NEW met2 ( 1464410 1580100 ) ( 1467630 1580100 )
NEW met2 ( 1467630 1580100 ) ( 1467630 1650020 )
NEW met1 ( 1193470 182750 ) ( 1464410 182750 )
NEW met1 ( 1193470 182750 ) M1M2_PR
NEW met1 ( 1464410 182750 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[32] ( PIN la_data_out[32] ) ( user_proj_top tie[64] )
+ ROUTED met2 ( 1470390 1650020 ) ( 1471310 1650020 0 )
NEW met2 ( 1470390 58650 ) ( 1470390 1650020 )
NEW met2 ( 1210030 2380 0 ) ( 1210030 16830 )
NEW met1 ( 1210030 16830 ) ( 1214170 16830 )
NEW met2 ( 1214170 16830 ) ( 1214170 58650 )
NEW met1 ( 1214170 58650 ) ( 1470390 58650 )
NEW met1 ( 1470390 58650 ) M1M2_PR
NEW met1 ( 1210030 16830 ) M1M2_PR
NEW met1 ( 1214170 16830 ) M1M2_PR
NEW met1 ( 1214170 58650 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[33] ( PIN la_data_out[33] ) ( user_proj_top tie[65] )
+ ROUTED met1 ( 1469930 1639990 ) ( 1471770 1639990 )
NEW met2 ( 1471770 1639990 ) ( 1471770 1650020 )
NEW met2 ( 1471770 1650020 ) ( 1473150 1650020 0 )
NEW met2 ( 1469930 23970 ) ( 1469930 1639990 )
NEW met2 ( 1227970 2380 0 ) ( 1227970 23970 )
NEW met1 ( 1227970 23970 ) ( 1469930 23970 )
NEW met1 ( 1469930 23970 ) M1M2_PR
NEW met1 ( 1469930 1639990 ) M1M2_PR
NEW met1 ( 1471770 1639990 ) M1M2_PR
NEW met1 ( 1227970 23970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[34] ( PIN la_data_out[34] ) ( user_proj_top tie[66] )
+ ROUTED met2 ( 1245910 2380 0 ) ( 1245910 17510 )
NEW met1 ( 1245910 17510 ) ( 1248670 17510 )
NEW met2 ( 1471770 1639140 ) ( 1473610 1639140 )
NEW met2 ( 1473610 1639140 ) ( 1473610 1650020 )
NEW met2 ( 1473610 1650020 ) ( 1475450 1650020 0 )
NEW met2 ( 1248670 17510 ) ( 1248670 148410 )
NEW met2 ( 1471770 148410 ) ( 1471770 1639140 )
NEW met1 ( 1248670 148410 ) ( 1471770 148410 )
NEW met1 ( 1245910 17510 ) M1M2_PR
NEW met1 ( 1248670 17510 ) M1M2_PR
NEW met1 ( 1248670 148410 ) M1M2_PR
NEW met1 ( 1471770 148410 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[35] ( PIN la_data_out[35] ) ( user_proj_top tie[67] )
+ ROUTED met1 ( 1459350 1637950 ) ( 1476830 1637950 )
NEW met2 ( 1476830 1637950 ) ( 1476830 1650020 )
NEW met2 ( 1476830 1650020 ) ( 1477290 1650020 0 )
NEW met2 ( 1263390 2380 0 ) ( 1263390 37910 )
NEW met2 ( 1459350 37910 ) ( 1459350 1637950 )
NEW met1 ( 1263390 37910 ) ( 1459350 37910 )
NEW met1 ( 1459350 1637950 ) M1M2_PR
NEW met1 ( 1476830 1637950 ) M1M2_PR
NEW met1 ( 1263390 37910 ) M1M2_PR
NEW met1 ( 1459350 37910 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[36] ( PIN la_data_out[36] ) ( user_proj_top tie[68] )
+ ROUTED met1 ( 1473150 1638290 ) ( 1478210 1638290 )
NEW met2 ( 1478210 1638290 ) ( 1478210 1650020 )
NEW met2 ( 1478210 1650020 ) ( 1479590 1650020 0 )
NEW met2 ( 1281330 2380 0 ) ( 1281330 34500 )
NEW met2 ( 1281330 34500 ) ( 1283170 34500 )
NEW met2 ( 1283170 34500 ) ( 1283170 1521330 )
NEW met2 ( 1473150 1521330 ) ( 1473150 1638290 )
NEW met1 ( 1283170 1521330 ) ( 1473150 1521330 )
NEW met1 ( 1473150 1638290 ) M1M2_PR
NEW met1 ( 1478210 1638290 ) M1M2_PR
NEW met1 ( 1283170 1521330 ) M1M2_PR
NEW met1 ( 1473150 1521330 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[37] ( PIN la_data_out[37] ) ( user_proj_top tie[69] )
+ ROUTED met2 ( 1480050 1650020 ) ( 1481430 1650020 0 )
NEW met2 ( 1478670 1562810 ) ( 1478670 1580100 )
NEW met2 ( 1478670 1580100 ) ( 1480050 1580100 )
NEW met2 ( 1480050 1580100 ) ( 1480050 1650020 )
NEW met2 ( 1299270 2380 0 ) ( 1299270 17510 )
NEW met1 ( 1299270 17510 ) ( 1303870 17510 )
NEW met1 ( 1303870 1562810 ) ( 1478670 1562810 )
NEW met2 ( 1303870 17510 ) ( 1303870 1562810 )
NEW met1 ( 1478670 1562810 ) M1M2_PR
NEW met1 ( 1299270 17510 ) M1M2_PR
NEW met1 ( 1303870 17510 ) M1M2_PR
NEW met1 ( 1303870 1562810 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[38] ( PIN la_data_out[38] ) ( user_proj_top tie[70] )
+ ROUTED met1 ( 1477750 1639310 ) ( 1481890 1639310 )
NEW met2 ( 1481890 1639310 ) ( 1481890 1650020 )
NEW met2 ( 1481890 1650020 ) ( 1483270 1650020 0 )
NEW met2 ( 1477750 479570 ) ( 1477750 1639310 )
NEW met1 ( 1317670 479570 ) ( 1477750 479570 )
NEW met2 ( 1317210 2380 0 ) ( 1317210 34500 )
NEW met2 ( 1317210 34500 ) ( 1317670 34500 )
NEW met2 ( 1317670 34500 ) ( 1317670 479570 )
NEW met1 ( 1477750 479570 ) M1M2_PR
NEW met1 ( 1477750 1639310 ) M1M2_PR
NEW met1 ( 1481890 1639310 ) M1M2_PR
NEW met1 ( 1317670 479570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[39] ( PIN la_data_out[39] ) ( user_proj_top tie[71] )
+ ROUTED met2 ( 1335150 2380 0 ) ( 1335150 17170 )
NEW met1 ( 1335150 17170 ) ( 1483730 17170 )
NEW met2 ( 1483730 1650020 ) ( 1485570 1650020 0 )
NEW met2 ( 1483730 17170 ) ( 1483730 1650020 )
NEW met1 ( 1335150 17170 ) M1M2_PR
NEW met1 ( 1483730 17170 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[3] ( PIN la_data_out[3] ) ( user_proj_top tie[35] )
+ ROUTED met2 ( 692530 2380 0 ) ( 692530 16490 )
NEW met1 ( 692530 16490 ) ( 696670 16490 )
NEW met2 ( 696670 16490 ) ( 696670 1438370 )
NEW met1 ( 696670 1438370 ) ( 1410590 1438370 )
NEW met2 ( 1410590 1650020 ) ( 1412430 1650020 0 )
NEW met2 ( 1410590 1438370 ) ( 1410590 1650020 )
NEW met1 ( 692530 16490 ) M1M2_PR
NEW met1 ( 696670 16490 ) M1M2_PR
NEW met1 ( 696670 1438370 ) M1M2_PR
NEW met1 ( 1410590 1438370 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[40] ( PIN la_data_out[40] ) ( user_proj_top tie[72] )
+ ROUTED met2 ( 1352630 2380 0 ) ( 1352630 17510 )
NEW met1 ( 1352630 17510 ) ( 1484190 17510 )
NEW met2 ( 1484190 1639140 ) ( 1486030 1639140 )
NEW met2 ( 1486030 1639140 ) ( 1486030 1650020 )
NEW met2 ( 1486030 1650020 ) ( 1487410 1650020 0 )
NEW met2 ( 1484190 17510 ) ( 1484190 1639140 )
NEW met1 ( 1352630 17510 ) M1M2_PR
NEW met1 ( 1484190 17510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[41] ( PIN la_data_out[41] ) ( user_proj_top tie[73] )
+ ROUTED met2 ( 1370570 2380 0 ) ( 1370570 17850 )
NEW met1 ( 1370570 17850 ) ( 1484650 17850 )
NEW met2 ( 1487870 1650020 ) ( 1489710 1650020 0 )
NEW met2 ( 1484650 17850 ) ( 1484650 1580100 )
NEW met2 ( 1484650 1580100 ) ( 1487870 1580100 )
NEW met2 ( 1487870 1580100 ) ( 1487870 1650020 )
NEW met1 ( 1370570 17850 ) M1M2_PR
NEW met1 ( 1484650 17850 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[42] ( PIN la_data_out[42] ) ( user_proj_top tie[74] )
+ ROUTED met2 ( 1388510 2380 0 ) ( 1388510 18530 )
NEW met1 ( 1388510 18530 ) ( 1491090 18530 )
NEW met2 ( 1491090 1650020 ) ( 1491550 1650020 0 )
NEW met2 ( 1491090 18530 ) ( 1491090 1650020 )
NEW met1 ( 1388510 18530 ) M1M2_PR
NEW met1 ( 1491090 18530 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[43] ( PIN la_data_out[43] ) ( user_proj_top tie[75] )
+ ROUTED met2 ( 1406450 2380 0 ) ( 1406450 18870 )
NEW met1 ( 1406450 18870 ) ( 1490630 18870 )
NEW met1 ( 1490630 1639310 ) ( 1492010 1639310 )
NEW met2 ( 1492010 1639310 ) ( 1492010 1650020 )
NEW met2 ( 1492010 1650020 ) ( 1493390 1650020 0 )
NEW met2 ( 1490630 18870 ) ( 1490630 1639310 )
NEW met1 ( 1406450 18870 ) M1M2_PR
NEW met1 ( 1490630 18870 ) M1M2_PR
NEW met1 ( 1490630 1639310 ) M1M2_PR
NEW met1 ( 1492010 1639310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[44] ( PIN la_data_out[44] ) ( user_proj_top tie[76] )
+ ROUTED met2 ( 1423930 2380 0 ) ( 1423930 19210 )
NEW met1 ( 1423930 19210 ) ( 1492010 19210 )
NEW met2 ( 1493850 1650020 ) ( 1495690 1650020 0 )
NEW met2 ( 1492010 19210 ) ( 1492010 1580100 )
NEW met2 ( 1492010 1580100 ) ( 1493850 1580100 )
NEW met2 ( 1493850 1580100 ) ( 1493850 1650020 )
NEW met1 ( 1423930 19210 ) M1M2_PR
NEW met1 ( 1492010 19210 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[45] ( PIN la_data_out[45] ) ( user_proj_top tie[77] )
+ ROUTED met2 ( 1441870 2380 0 ) ( 1441870 19550 )
NEW met1 ( 1441870 19550 ) ( 1497530 19550 )
NEW met2 ( 1497530 1639140 ) ( 1497990 1639140 )
NEW met2 ( 1497990 1639140 ) ( 1497990 1650700 )
NEW met2 ( 1497530 1650700 0 ) ( 1497990 1650700 )
NEW met2 ( 1497530 19550 ) ( 1497530 1639140 )
NEW met1 ( 1441870 19550 ) M1M2_PR
NEW met1 ( 1497530 19550 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[46] ( PIN la_data_out[46] ) ( user_proj_top tie[78] )
+ ROUTED met2 ( 1459810 2380 0 ) ( 1459810 19890 )
NEW met1 ( 1459810 19890 ) ( 1498450 19890 )
NEW met2 ( 1498450 1650020 ) ( 1499830 1650020 0 )
NEW met2 ( 1498450 19890 ) ( 1498450 1650020 )
NEW met1 ( 1459810 19890 ) M1M2_PR
NEW met1 ( 1498450 19890 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[47] ( PIN la_data_out[47] ) ( user_proj_top tie[79] )
+ ROUTED met2 ( 1477750 2380 0 ) ( 1477750 20230 )
NEW met1 ( 1477750 20230 ) ( 1497990 20230 )
NEW met1 ( 1497990 1638630 ) ( 1500290 1638630 )
NEW met2 ( 1500290 1638630 ) ( 1500290 1650020 )
NEW met2 ( 1500290 1650020 ) ( 1501670 1650020 0 )
NEW met2 ( 1497990 20230 ) ( 1497990 1638630 )
NEW met1 ( 1477750 20230 ) M1M2_PR
NEW met1 ( 1497990 20230 ) M1M2_PR
NEW met1 ( 1497990 1638630 ) M1M2_PR
NEW met1 ( 1500290 1638630 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[48] ( PIN la_data_out[48] ) ( user_proj_top tie[80] )
+ ROUTED met2 ( 1495690 2380 0 ) ( 1495690 17510 )
NEW met1 ( 1495690 17510 ) ( 1498910 17510 )
NEW met2 ( 1502130 1650020 ) ( 1503970 1650020 0 )
NEW met2 ( 1498910 17510 ) ( 1498910 1580100 )
NEW met2 ( 1498910 1580100 ) ( 1502130 1580100 )
NEW met2 ( 1502130 1580100 ) ( 1502130 1650020 )
NEW met1 ( 1495690 17510 ) M1M2_PR
NEW met1 ( 1498910 17510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[49] ( PIN la_data_out[49] ) ( user_proj_top tie[81] )
+ ROUTED met2 ( 1513170 2380 0 ) ( 1513170 17510 )
NEW met1 ( 1510410 17510 ) ( 1513170 17510 )
NEW met1 ( 1506730 1637610 ) ( 1510410 1637610 )
NEW met2 ( 1506730 1637610 ) ( 1506730 1650700 )
NEW met2 ( 1505810 1650700 0 ) ( 1506730 1650700 )
NEW met2 ( 1510410 17510 ) ( 1510410 1637610 )
NEW met1 ( 1513170 17510 ) M1M2_PR
NEW met1 ( 1510410 17510 ) M1M2_PR
NEW met1 ( 1510410 1637610 ) M1M2_PR
NEW met1 ( 1506730 1637610 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[4] ( PIN la_data_out[4] ) ( user_proj_top tie[36] )
+ ROUTED met2 ( 710470 2380 0 ) ( 710470 7820 )
NEW met2 ( 710010 7820 ) ( 710470 7820 )
NEW met2 ( 710010 7820 ) ( 710010 1431570 )
NEW met1 ( 1408750 1639310 ) ( 1412890 1639310 )
NEW met2 ( 1412890 1639310 ) ( 1412890 1650020 )
NEW met2 ( 1412890 1650020 ) ( 1414270 1650020 0 )
NEW met1 ( 710010 1431570 ) ( 1408750 1431570 )
NEW met2 ( 1408750 1431570 ) ( 1408750 1639310 )
NEW met1 ( 710010 1431570 ) M1M2_PR
NEW met1 ( 1408750 1639310 ) M1M2_PR
NEW met1 ( 1412890 1639310 ) M1M2_PR
NEW met1 ( 1408750 1431570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[50] ( PIN la_data_out[50] ) ( user_proj_top tie[82] )
+ ROUTED met2 ( 1531110 2380 0 ) ( 1531110 15810 )
NEW met1 ( 1510870 15810 ) ( 1531110 15810 )
NEW met2 ( 1509030 1639140 ) ( 1510870 1639140 )
NEW met2 ( 1509030 1639140 ) ( 1509030 1650700 )
NEW met2 ( 1507650 1650700 0 ) ( 1509030 1650700 )
NEW met2 ( 1510870 15810 ) ( 1510870 1639140 )
NEW met1 ( 1531110 15810 ) M1M2_PR
NEW met1 ( 1510870 15810 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[51] ( PIN la_data_out[51] ) ( user_proj_top tie[83] )
+ ROUTED met2 ( 1545830 82800 ) ( 1549050 82800 )
NEW met2 ( 1549050 2380 0 ) ( 1549050 82800 )
NEW met2 ( 1545830 82800 ) ( 1545830 1611090 )
NEW met1 ( 1504890 1639990 ) ( 1509490 1639990 )
NEW met2 ( 1509490 1639990 ) ( 1509490 1650020 )
NEW met2 ( 1509490 1650020 ) ( 1509950 1650020 0 )
NEW met2 ( 1504890 1611090 ) ( 1504890 1639990 )
NEW met1 ( 1504890 1611090 ) ( 1545830 1611090 )
NEW met1 ( 1545830 1611090 ) M1M2_PR
NEW met1 ( 1504890 1639990 ) M1M2_PR
NEW met1 ( 1509490 1639990 ) M1M2_PR
NEW met1 ( 1504890 1611090 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[52] ( PIN la_data_out[52] ) ( user_proj_top tie[84] )
+ ROUTED met2 ( 1566990 2380 0 ) ( 1566990 20910 )
NEW met1 ( 1562850 20910 ) ( 1566990 20910 )
NEW met2 ( 1562850 20910 ) ( 1562850 1640670 )
NEW met2 ( 1513170 1640670 ) ( 1513170 1650700 )
NEW met2 ( 1511790 1650700 0 ) ( 1513170 1650700 )
NEW met1 ( 1513170 1640670 ) ( 1562850 1640670 )
NEW met1 ( 1566990 20910 ) M1M2_PR
NEW met1 ( 1562850 20910 ) M1M2_PR
NEW met1 ( 1562850 1640670 ) M1M2_PR
NEW met1 ( 1513170 1640670 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[53] ( PIN la_data_out[53] ) ( user_proj_top tie[85] )
+ ROUTED met1 ( 1515010 1638630 ) ( 1517310 1638630 )
NEW met2 ( 1515010 1638630 ) ( 1515010 1650700 )
NEW met2 ( 1514090 1650700 0 ) ( 1515010 1650700 )
NEW met2 ( 1584930 2380 0 ) ( 1584930 17170 )
NEW met1 ( 1517310 17170 ) ( 1584930 17170 )
NEW met2 ( 1517310 17170 ) ( 1517310 1638630 )
NEW met1 ( 1517310 17170 ) M1M2_PR
NEW met1 ( 1517310 1638630 ) M1M2_PR
NEW met1 ( 1515010 1638630 ) M1M2_PR
NEW met1 ( 1584930 17170 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[54] ( PIN la_data_out[54] ) ( user_proj_top tie[86] )
+ ROUTED met2 ( 1515930 1650700 0 ) ( 1516850 1650700 )
NEW met2 ( 1602410 2380 0 ) ( 1602410 16830 )
NEW met1 ( 1516850 16830 ) ( 1602410 16830 )
NEW met2 ( 1516850 16830 ) ( 1516850 1650700 )
NEW met1 ( 1516850 16830 ) M1M2_PR
NEW met1 ( 1602410 16830 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[55] ( PIN la_data_out[55] ) ( user_proj_top tie[87] )
+ ROUTED li1 ( 1555950 19550 ) ( 1555950 20570 )
NEW met1 ( 1517770 19550 ) ( 1555950 19550 )
NEW met2 ( 1517310 1639140 ) ( 1517770 1639140 )
NEW met2 ( 1517310 1639140 ) ( 1517310 1650020 )
NEW met2 ( 1517310 1650020 ) ( 1517770 1650020 0 )
NEW met2 ( 1620350 2380 0 ) ( 1620350 20570 )
NEW met1 ( 1555950 20570 ) ( 1620350 20570 )
NEW met2 ( 1517770 19550 ) ( 1517770 1639140 )
NEW li1 ( 1555950 19550 ) L1M1_PR_MR
NEW li1 ( 1555950 20570 ) L1M1_PR_MR
NEW met1 ( 1517770 19550 ) M1M2_PR
NEW met1 ( 1620350 20570 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[56] ( PIN la_data_out[56] ) ( user_proj_top tie[88] )
+ ROUTED met2 ( 1638290 2380 0 ) ( 1638290 20230 )
NEW met1 ( 1520990 1637950 ) ( 1524210 1637950 )
NEW met2 ( 1520990 1637950 ) ( 1520990 1650700 )
NEW met2 ( 1520070 1650700 0 ) ( 1520990 1650700 )
NEW met1 ( 1524210 20230 ) ( 1638290 20230 )
NEW met2 ( 1524210 20230 ) ( 1524210 1637950 )
NEW met1 ( 1638290 20230 ) M1M2_PR
NEW met1 ( 1524210 20230 ) M1M2_PR
NEW met1 ( 1524210 1637950 ) M1M2_PR
NEW met1 ( 1520990 1637950 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[57] ( PIN la_data_out[57] ) ( user_proj_top tie[89] )
+ ROUTED met2 ( 1656230 2380 0 ) ( 1656230 19890 )
NEW met1 ( 1523290 1637610 ) ( 1524670 1637610 )
NEW met2 ( 1523290 1637610 ) ( 1523290 1650700 )
NEW met2 ( 1521910 1650700 0 ) ( 1523290 1650700 )
NEW met1 ( 1524670 19890 ) ( 1656230 19890 )
NEW met2 ( 1524670 19890 ) ( 1524670 1637610 )
NEW met1 ( 1656230 19890 ) M1M2_PR
NEW met1 ( 1524670 19890 ) M1M2_PR
NEW met1 ( 1524670 1637610 ) M1M2_PR
NEW met1 ( 1523290 1637610 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[58] ( PIN la_data_out[58] ) ( user_proj_top tie[90] )
+ ROUTED li1 ( 1556410 18530 ) ( 1556410 19550 )
NEW met2 ( 1673710 2380 0 ) ( 1673710 19550 )
NEW met1 ( 1523750 18530 ) ( 1556410 18530 )
NEW met2 ( 1523750 1650020 ) ( 1524210 1650020 0 )
NEW met1 ( 1556410 19550 ) ( 1673710 19550 )
NEW met2 ( 1523750 18530 ) ( 1523750 1650020 )
NEW li1 ( 1556410 18530 ) L1M1_PR_MR
NEW li1 ( 1556410 19550 ) L1M1_PR_MR
NEW met1 ( 1673710 19550 ) M1M2_PR
NEW met1 ( 1523750 18530 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[59] ( PIN la_data_out[59] ) ( user_proj_top tie[91] )
+ ROUTED met1 ( 1527430 1636930 ) ( 1531570 1636930 )
NEW met2 ( 1527430 1636930 ) ( 1527430 1650700 )
NEW met2 ( 1526050 1650700 0 ) ( 1527430 1650700 )
NEW met2 ( 1691650 2380 0 ) ( 1691650 19210 )
NEW met1 ( 1531570 19210 ) ( 1691650 19210 )
NEW met2 ( 1531570 19210 ) ( 1531570 1636930 )
NEW met1 ( 1531570 19210 ) M1M2_PR
NEW met1 ( 1531570 1636930 ) M1M2_PR
NEW met1 ( 1527430 1636930 ) M1M2_PR
NEW met1 ( 1691650 19210 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[5] ( PIN la_data_out[5] ) ( user_proj_top tie[37] )
+ ROUTED met2 ( 728410 2380 0 ) ( 728410 16490 )
NEW met1 ( 728410 16490 ) ( 731170 16490 )
NEW met2 ( 1415650 1650020 ) ( 1416570 1650020 0 )
NEW met2 ( 731170 16490 ) ( 731170 1424770 )
NEW met1 ( 731170 1424770 ) ( 1415650 1424770 )
NEW met2 ( 1415650 1424770 ) ( 1415650 1650020 )
NEW met1 ( 728410 16490 ) M1M2_PR
NEW met1 ( 731170 16490 ) M1M2_PR
NEW met1 ( 731170 1424770 ) M1M2_PR
NEW met1 ( 1415650 1424770 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[60] ( PIN la_data_out[60] ) ( user_proj_top tie[92] )
+ ROUTED met2 ( 1528350 1650700 0 ) ( 1529270 1650700 )
NEW met2 ( 1709590 2380 0 ) ( 1709590 18870 )
NEW met1 ( 1531110 18870 ) ( 1709590 18870 )
NEW met2 ( 1529270 1628400 ) ( 1529270 1650700 )
NEW met2 ( 1529270 1628400 ) ( 1531110 1628400 )
NEW met2 ( 1531110 18870 ) ( 1531110 1628400 )
NEW met1 ( 1531110 18870 ) M1M2_PR
NEW met1 ( 1709590 18870 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[61] ( PIN la_data_out[61] ) ( user_proj_top tie[93] )
+ ROUTED met2 ( 1725230 82800 ) ( 1727530 82800 )
NEW met2 ( 1727530 2380 0 ) ( 1727530 82800 )
NEW met2 ( 1725230 82800 ) ( 1725230 1639990 )
NEW met2 ( 1531110 1639990 ) ( 1531110 1650700 )
NEW met2 ( 1530190 1650700 0 ) ( 1531110 1650700 )
NEW met1 ( 1531110 1639990 ) ( 1725230 1639990 )
NEW met1 ( 1725230 1639990 ) M1M2_PR
NEW met1 ( 1531110 1639990 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[62] ( PIN la_data_out[62] ) ( user_proj_top tie[94] )
+ ROUTED met1 ( 1538010 17850 ) ( 1556870 17850 )
NEW li1 ( 1556870 17850 ) ( 1556870 18530 )
NEW met1 ( 1533410 1636930 ) ( 1538010 1636930 )
NEW met2 ( 1533410 1636930 ) ( 1533410 1650700 )
NEW met2 ( 1532030 1650700 0 ) ( 1533410 1650700 )
NEW met2 ( 1745470 2380 0 ) ( 1745470 18530 )
NEW met2 ( 1538010 17850 ) ( 1538010 1636930 )
NEW met1 ( 1556870 18530 ) ( 1745470 18530 )
NEW met1 ( 1538010 17850 ) M1M2_PR
NEW li1 ( 1556870 17850 ) L1M1_PR_MR
NEW li1 ( 1556870 18530 ) L1M1_PR_MR
NEW met1 ( 1538010 1636930 ) M1M2_PR
NEW met1 ( 1533410 1636930 ) M1M2_PR
NEW met1 ( 1745470 18530 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[63] ( PIN la_data_out[63] ) ( user_proj_top tie[95] )
+ ROUTED li1 ( 1579410 1639310 ) ( 1579410 1640330 )
NEW met1 ( 1535250 1640330 ) ( 1579410 1640330 )
NEW met2 ( 1535250 1640330 ) ( 1535250 1650700 )
NEW met2 ( 1534330 1650700 0 ) ( 1535250 1650700 )
NEW met2 ( 1759730 82800 ) ( 1762950 82800 )
NEW met2 ( 1762950 2380 0 ) ( 1762950 82800 )
NEW met2 ( 1759730 82800 ) ( 1759730 1639310 )
NEW met1 ( 1579410 1639310 ) ( 1759730 1639310 )
NEW li1 ( 1579410 1639310 ) L1M1_PR_MR
NEW li1 ( 1579410 1640330 ) L1M1_PR_MR
NEW met1 ( 1535250 1640330 ) M1M2_PR
NEW met1 ( 1759730 1639310 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[64] ( PIN la_data_out[64] )
+ USE SIGNAL ;
- la_data_out[65] ( PIN la_data_out[65] )
+ USE SIGNAL ;
- la_data_out[66] ( PIN la_data_out[66] ) ( user_proj_top done )
+ ROUTED met2 ( 1406450 1650700 0 ) ( 1407370 1650700 )
NEW met2 ( 1816770 2380 0 ) ( 1816770 18190 )
NEW met1 ( 1407370 18190 ) ( 1816770 18190 )
NEW met2 ( 1407370 18190 ) ( 1407370 1650700 )
NEW met1 ( 1407370 18190 ) M1M2_PR
NEW met1 ( 1816770 18190 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[67] ( PIN la_data_out[67] )
+ USE SIGNAL ;
- la_data_out[68] ( PIN la_data_out[68] )
+ USE SIGNAL ;
- la_data_out[69] ( PIN la_data_out[69] )
+ USE SIGNAL ;
- la_data_out[6] ( PIN la_data_out[6] ) ( user_proj_top tie[38] )
+ ROUTED met2 ( 746350 2380 0 ) ( 746350 16490 )
NEW met1 ( 746350 16490 ) ( 751870 16490 )
NEW met1 ( 1415190 1639310 ) ( 1417030 1639310 )
NEW met2 ( 1417030 1639310 ) ( 1417030 1650020 )
NEW met2 ( 1417030 1650020 ) ( 1418410 1650020 0 )
NEW met2 ( 751870 16490 ) ( 751870 1417970 )
NEW met1 ( 751870 1417970 ) ( 1415190 1417970 )
NEW met2 ( 1415190 1417970 ) ( 1415190 1639310 )
NEW met1 ( 746350 16490 ) M1M2_PR
NEW met1 ( 751870 16490 ) M1M2_PR
NEW met1 ( 1415190 1639310 ) M1M2_PR
NEW met1 ( 1417030 1639310 ) M1M2_PR
NEW met1 ( 751870 1417970 ) M1M2_PR
NEW met1 ( 1415190 1417970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[70] ( PIN la_data_out[70] )
+ USE SIGNAL ;
- la_data_out[71] ( PIN la_data_out[71] )
+ USE SIGNAL ;
- la_data_out[72] ( PIN la_data_out[72] )
+ USE SIGNAL ;
- la_data_out[73] ( PIN la_data_out[73] )
+ USE SIGNAL ;
- la_data_out[74] ( PIN la_data_out[74] )
+ USE SIGNAL ;
- la_data_out[75] ( PIN la_data_out[75] )
+ USE SIGNAL ;
- la_data_out[76] ( PIN la_data_out[76] )
+ USE SIGNAL ;
- la_data_out[77] ( PIN la_data_out[77] )
+ USE SIGNAL ;
- la_data_out[78] ( PIN la_data_out[78] )
+ USE SIGNAL ;
- la_data_out[79] ( PIN la_data_out[79] )
+ USE SIGNAL ;
- la_data_out[7] ( PIN la_data_out[7] ) ( user_proj_top tie[39] )
+ ROUTED met2 ( 763830 2380 0 ) ( 763830 34500 )
NEW met2 ( 763830 34500 ) ( 765670 34500 )
NEW met2 ( 765670 34500 ) ( 765670 189550 )
NEW met1 ( 765670 189550 ) ( 1414730 189550 )
NEW met1 ( 1414730 1638970 ) ( 1418870 1638970 )
NEW met2 ( 1418870 1638970 ) ( 1418870 1650020 )
NEW met2 ( 1418870 1650020 ) ( 1420250 1650020 0 )
NEW met2 ( 1414730 189550 ) ( 1414730 1638970 )
NEW met1 ( 765670 189550 ) M1M2_PR
NEW met1 ( 1414730 189550 ) M1M2_PR
NEW met1 ( 1414730 1638970 ) M1M2_PR
NEW met1 ( 1418870 1638970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[80] ( PIN la_data_out[80] )
+ USE SIGNAL ;
- la_data_out[81] ( PIN la_data_out[81] )
+ USE SIGNAL ;
- la_data_out[82] ( PIN la_data_out[82] )
+ USE SIGNAL ;
- la_data_out[83] ( PIN la_data_out[83] )
+ USE SIGNAL ;
- la_data_out[84] ( PIN la_data_out[84] )
+ USE SIGNAL ;
- la_data_out[85] ( PIN la_data_out[85] )
+ USE SIGNAL ;
- la_data_out[86] ( PIN la_data_out[86] )
+ USE SIGNAL ;
- la_data_out[87] ( PIN la_data_out[87] )
+ USE SIGNAL ;
- la_data_out[88] ( PIN la_data_out[88] )
+ USE SIGNAL ;
- la_data_out[89] ( PIN la_data_out[89] )
+ USE SIGNAL ;
- la_data_out[8] ( PIN la_data_out[8] ) ( user_proj_top tie[40] )
+ ROUTED met2 ( 781770 2380 0 ) ( 781770 16490 )
NEW met1 ( 781770 16490 ) ( 786370 16490 )
NEW met2 ( 786370 16490 ) ( 786370 51510 )
NEW met2 ( 1422090 1650020 ) ( 1422550 1650020 0 )
NEW met1 ( 786370 51510 ) ( 1422550 51510 )
NEW met2 ( 1422090 1628400 ) ( 1422090 1650020 )
NEW met2 ( 1422090 1628400 ) ( 1422550 1628400 )
NEW met2 ( 1422550 51510 ) ( 1422550 1628400 )
NEW met1 ( 781770 16490 ) M1M2_PR
NEW met1 ( 786370 16490 ) M1M2_PR
NEW met1 ( 786370 51510 ) M1M2_PR
NEW met1 ( 1422550 51510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[90] ( PIN la_data_out[90] )
+ USE SIGNAL ;
- la_data_out[91] ( PIN la_data_out[91] )
+ USE SIGNAL ;
- la_data_out[92] ( PIN la_data_out[92] )
+ USE SIGNAL ;
- la_data_out[93] ( PIN la_data_out[93] )
+ USE SIGNAL ;
- la_data_out[94] ( PIN la_data_out[94] )
+ USE SIGNAL ;
- la_data_out[95] ( PIN la_data_out[95] )
+ USE SIGNAL ;
- la_data_out[96] ( PIN la_data_out[96] ) ( user_proj_top prod[0] )
+ ROUTED met2 ( 1537550 1638970 ) ( 1537550 1650700 )
NEW met2 ( 1536170 1650700 0 ) ( 1537550 1650700 )
NEW met2 ( 2346230 82800 ) ( 2351750 82800 )
NEW met2 ( 2351750 2380 0 ) ( 2351750 82800 )
NEW met2 ( 2346230 82800 ) ( 2346230 1638970 )
NEW met1 ( 1537550 1638970 ) ( 2346230 1638970 )
NEW met1 ( 1537550 1638970 ) M1M2_PR
NEW met1 ( 2346230 1638970 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[97] ( PIN la_data_out[97] ) ( user_proj_top prod[1] )
+ ROUTED met1 ( 1538470 16490 ) ( 1557330 16490 )
NEW li1 ( 1557330 16490 ) ( 1557330 17850 )
NEW met2 ( 1538010 1639140 ) ( 1538470 1639140 )
NEW met2 ( 1538010 1639140 ) ( 1538010 1650020 )
NEW met2 ( 1538010 1650020 ) ( 1538470 1650020 0 )
NEW met2 ( 1538470 16490 ) ( 1538470 1639140 )
NEW met2 ( 2369690 2380 0 ) ( 2369690 17850 )
NEW met1 ( 1557330 17850 ) ( 2369690 17850 )
NEW met1 ( 1538470 16490 ) M1M2_PR
NEW li1 ( 1557330 16490 ) L1M1_PR_MR
NEW li1 ( 1557330 17850 ) L1M1_PR_MR
NEW met1 ( 2369690 17850 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[98] ( PIN la_data_out[98] ) ( user_proj_top prod[2] )
+ ROUTED met2 ( 1541230 1638630 ) ( 1541230 1650700 )
NEW met2 ( 1540310 1650700 0 ) ( 1541230 1650700 )
NEW met1 ( 1541230 1638630 ) ( 2387630 1638630 )
NEW met2 ( 2387630 2380 0 ) ( 2387630 1638630 )
NEW met1 ( 1541230 1638630 ) M1M2_PR
NEW met1 ( 2387630 1638630 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[99] ( PIN la_data_out[99] ) ( user_proj_top prod[3] )
+ ROUTED met1 ( 1543530 1637610 ) ( 1545370 1637610 )
NEW met2 ( 1543530 1637610 ) ( 1543530 1650700 )
NEW met2 ( 1542150 1650700 0 ) ( 1543530 1650700 )
NEW met2 ( 2405570 2380 0 ) ( 2405570 17510 )
NEW met2 ( 1545370 17510 ) ( 1545370 1637610 )
NEW met1 ( 1545370 17510 ) ( 2405570 17510 )
NEW met1 ( 1545370 17510 ) M1M2_PR
NEW met1 ( 1545370 1637610 ) M1M2_PR
NEW met1 ( 1543530 1637610 ) M1M2_PR
NEW met1 ( 2405570 17510 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out[9] ( PIN la_data_out[9] ) ( user_proj_top tie[41] )
+ ROUTED met2 ( 799710 2380 0 ) ( 799710 210290 )
NEW met1 ( 799710 210290 ) ( 1423010 210290 )
NEW met2 ( 1423010 1650020 ) ( 1424390 1650020 0 )
NEW met2 ( 1423010 210290 ) ( 1423010 1650020 )
NEW met1 ( 799710 210290 ) M1M2_PR
NEW met1 ( 1423010 210290 ) M1M2_PR
+ USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] )
+ USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] )
+ USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] )
+ USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] )
+ USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] )
+ USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] )
+ USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] )
+ USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] )
+ USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] )
+ USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] )
+ USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] )
+ USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] )
+ USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] )
+ USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] )
+ USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] )
+ USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] )
+ USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] )
+ USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] )
+ USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] )
+ USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] )
+ USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] )
+ USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] )
+ USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] )
+ USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] )
+ USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] )
+ USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] )
+ USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] )
+ USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] )
+ USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] )
+ USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] )
+ USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] )
+ USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] )
+ USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] )
+ USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] )
+ USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] )
+ USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] )
+ USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] )
+ USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] )
+ USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] )
+ USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] )
+ USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] )
+ USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] )
+ USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] )
+ USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] )
+ USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] )
+ USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] )
+ USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] )
+ USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] )
+ USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] )
+ USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] )
+ USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] )
+ USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] )
+ USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] )
+ USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] )
+ USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] )
+ USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] )
+ USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] )
+ USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] )
+ USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] )
+ USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] )
+ USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] )
+ USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] )
+ USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] )
+ USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] )
+ USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] )
+ USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] )
+ USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] )
+ USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] )
+ USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] )
+ USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] )
+ USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] )
+ USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] )
+ USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] )
+ USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] )
+ USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] )
+ USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] )
+ USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] )
+ USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] )
+ USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] )
+ USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] )
+ USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] )
+ USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] )
+ USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] )
+ USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] )
+ USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] )
+ USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] )
+ USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] )
+ USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] )
+ USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] )
+ USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] )
+ USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] )
+ USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] )
+ USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] )
+ USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] )
+ USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] )
+ USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] )
+ USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] )
+ USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] )
+ USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] )
+ USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] )
+ USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] )
+ USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] )
+ USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] )
+ USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] )
+ USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] )
+ USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] )
+ USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] )
+ USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] )
+ USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] )
+ USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] )
+ USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] )
+ USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] )
+ USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] )
+ USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] )
+ USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] )
+ USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] )
+ USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] )
+ USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] )
+ USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] )
+ USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] )
+ USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] )
+ USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] )
+ USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] )
+ USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] )
+ USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] )
+ USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] )
+ USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] )
+ USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] )
+ USE SIGNAL ;
- user_clock2 ( PIN user_clock2 )
+ USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( user_proj_top clk )
+ ROUTED met2 ( 2990 2380 0 ) ( 2990 16830 )
NEW met1 ( 2990 16830 ) ( 10350 16830 )
NEW met2 ( 10350 16830 ) ( 10350 1410830 )
NEW met1 ( 10350 1410830 ) ( 1201750 1410830 )
NEW met2 ( 1201290 1650700 0 ) ( 1201750 1650700 )
NEW met2 ( 1201750 1410830 ) ( 1201750 1650700 )
NEW met1 ( 2990 16830 ) M1M2_PR
NEW met1 ( 10350 16830 ) M1M2_PR
NEW met1 ( 10350 1410830 ) M1M2_PR
NEW met1 ( 1201750 1410830 ) M1M2_PR
+ USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( user_proj_top rst )
+ ROUTED met2 ( 8510 2380 0 ) ( 8510 17510 )
NEW met1 ( 8510 17510 ) ( 17250 17510 )
NEW met2 ( 17250 17510 ) ( 17250 1404030 )
NEW met1 ( 17250 1404030 ) ( 1202670 1404030 )
NEW met2 ( 1202670 1650020 ) ( 1203130 1650020 0 )
NEW met2 ( 1202670 1404030 ) ( 1202670 1650020 )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 17250 17510 ) M1M2_PR
NEW met1 ( 17250 1404030 ) M1M2_PR
NEW met1 ( 1202670 1404030 ) M1M2_PR
+ USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( user_proj_top tie[32] )
+ ROUTED met2 ( 14490 2380 0 ) ( 14490 17170 )
NEW met1 ( 14490 17170 ) ( 51750 17170 )
NEW met1 ( 51750 1542070 ) ( 1208190 1542070 )
NEW met2 ( 51750 17170 ) ( 51750 1542070 )
NEW met2 ( 1208190 1650020 ) ( 1209110 1650020 0 )
NEW met2 ( 1208190 1542070 ) ( 1208190 1650020 )
NEW met1 ( 14490 17170 ) M1M2_PR
NEW met1 ( 51750 17170 ) M1M2_PR
NEW met1 ( 51750 1542070 ) M1M2_PR
NEW met1 ( 1208190 1542070 ) M1M2_PR
+ USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] )
+ USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] )
+ USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] )
+ USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] )
+ USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] )
+ USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] )
+ USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] )
+ USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] )
+ USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] )
+ USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] )
+ USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] )
+ USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] )
+ USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] )
+ USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] )
+ USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] )
+ USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] )
+ USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] )
+ USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] )
+ USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] )
+ USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] )
+ USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] )
+ USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] )
+ USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] )
+ USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] )
+ USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] )
+ USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] )
+ USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] )
+ USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] )
+ USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] )
+ USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] )
+ USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] )
+ USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] )
+ USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i )
+ USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] )
+ USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] )
+ USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] )
+ USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] )
+ USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] )
+ USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] )
+ USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] )
+ USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] )
+ USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] )
+ USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] )
+ USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] )
+ USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] )
+ USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] )
+ USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] )
+ USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] )
+ USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] )
+ USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] )
+ USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] )
+ USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] )
+ USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] )
+ USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] )
+ USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] )
+ USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] )
+ USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] )
+ USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] )
+ USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] )
+ USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] )
+ USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] )
+ USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] )
+ USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] )
+ USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] )
+ USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] )
+ USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( user_proj_top tie[0] )
+ ROUTED met2 ( 50370 2380 0 ) ( 50370 17510 )
NEW met1 ( 50370 17510 ) ( 72450 17510 )
NEW met2 ( 72450 17510 ) ( 72450 1397230 )
NEW met1 ( 72450 1397230 ) ( 1250050 1397230 )
NEW met2 ( 1250050 1650020 ) ( 1251890 1650020 0 )
NEW met2 ( 1250050 1397230 ) ( 1250050 1650020 )
NEW met1 ( 1250050 1397230 ) M1M2_PR
NEW met1 ( 50370 17510 ) M1M2_PR
NEW met1 ( 72450 17510 ) M1M2_PR
NEW met1 ( 72450 1397230 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( user_proj_top tie[10] )
+ ROUTED met2 ( 252770 2380 0 ) ( 252770 16830 )
NEW met1 ( 252770 16830 ) ( 255070 16830 )
NEW met1 ( 255070 1548870 ) ( 1229810 1548870 )
NEW met2 ( 255070 16830 ) ( 255070 1548870 )
NEW met2 ( 1229810 1650020 ) ( 1231650 1650020 0 )
NEW met2 ( 1229810 1548870 ) ( 1229810 1650020 )
NEW met1 ( 252770 16830 ) M1M2_PR
NEW met1 ( 255070 16830 ) M1M2_PR
NEW met1 ( 255070 1548870 ) M1M2_PR
NEW met1 ( 1229810 1548870 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( user_proj_top tie[11] )
+ ROUTED met2 ( 1148850 65450 ) ( 1148850 1640330 )
NEW met2 ( 270250 2380 0 ) ( 270250 16830 )
NEW met1 ( 270250 16830 ) ( 275770 16830 )
NEW met2 ( 275770 16830 ) ( 275770 65450 )
NEW met1 ( 275770 65450 ) ( 1148850 65450 )
NEW met2 ( 1232110 1640330 ) ( 1232110 1650020 )
NEW met2 ( 1232110 1650020 ) ( 1233490 1650020 0 )
NEW met1 ( 1148850 1640330 ) ( 1232110 1640330 )
NEW met1 ( 1148850 1640330 ) M1M2_PR
NEW met1 ( 1148850 65450 ) M1M2_PR
NEW met1 ( 270250 16830 ) M1M2_PR
NEW met1 ( 275770 16830 ) M1M2_PR
NEW met1 ( 275770 65450 ) M1M2_PR
NEW met1 ( 1232110 1640330 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( user_proj_top tie[12] )
+ ROUTED met2 ( 288190 2380 0 ) ( 288190 34500 )
NEW met2 ( 288190 34500 ) ( 289570 34500 )
NEW met2 ( 289570 34500 ) ( 289570 1555670 )
NEW met1 ( 289570 1555670 ) ( 1236710 1555670 )
NEW met2 ( 1235790 1650700 0 ) ( 1236710 1650700 )
NEW met1 ( 1236710 1628430 ) ( 1236710 1629450 )
NEW met2 ( 1236710 1555670 ) ( 1236710 1628430 )
NEW met2 ( 1236710 1629450 ) ( 1236710 1650700 )
NEW met1 ( 289570 1555670 ) M1M2_PR
NEW met1 ( 1236710 1555670 ) M1M2_PR
NEW met1 ( 1236710 1628430 ) M1M2_PR
NEW met1 ( 1236710 1629450 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( user_proj_top tie[13] )
+ ROUTED met2 ( 306130 2380 0 ) ( 306130 16830 )
NEW met1 ( 306130 16830 ) ( 310270 16830 )
NEW met2 ( 310270 16830 ) ( 310270 1390090 )
NEW met1 ( 310270 1390090 ) ( 1236250 1390090 )
NEW met2 ( 1237170 1650020 ) ( 1237630 1650020 0 )
NEW met1 ( 1236250 1618230 ) ( 1237170 1618230 )
NEW met2 ( 1236250 1390090 ) ( 1236250 1618230 )
NEW met2 ( 1237170 1618230 ) ( 1237170 1650020 )
NEW met1 ( 306130 16830 ) M1M2_PR
NEW met1 ( 310270 16830 ) M1M2_PR
NEW met1 ( 310270 1390090 ) M1M2_PR
NEW met1 ( 1236250 1390090 ) M1M2_PR
NEW met1 ( 1236250 1618230 ) M1M2_PR
NEW met1 ( 1237170 1618230 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( user_proj_top tie[14] )
+ ROUTED met2 ( 324070 2380 0 ) ( 324070 1383290 )
NEW met1 ( 324070 1383290 ) ( 1238550 1383290 )
NEW met2 ( 1238550 1650020 ) ( 1239470 1650020 0 )
NEW met2 ( 1238550 1383290 ) ( 1238550 1650020 )
NEW met1 ( 324070 1383290 ) M1M2_PR
NEW met1 ( 1238550 1383290 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( user_proj_top tie[15] )
+ ROUTED met2 ( 341550 2380 0 ) ( 341550 16830 )
NEW met1 ( 341550 16830 ) ( 344770 16830 )
NEW met1 ( 344770 203490 ) ( 1238090 203490 )
NEW met2 ( 344770 16830 ) ( 344770 203490 )
NEW met1 ( 1238090 1628770 ) ( 1240390 1628770 )
NEW met2 ( 1240390 1628770 ) ( 1240390 1650020 )
NEW met2 ( 1240390 1650020 ) ( 1241770 1650020 0 )
NEW met2 ( 1238090 203490 ) ( 1238090 1628770 )
NEW met1 ( 341550 16830 ) M1M2_PR
NEW met1 ( 344770 16830 ) M1M2_PR
NEW met1 ( 344770 203490 ) M1M2_PR
NEW met1 ( 1238090 203490 ) M1M2_PR
NEW met1 ( 1238090 1628770 ) M1M2_PR
NEW met1 ( 1240390 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( user_proj_top tie[16] )
+ ROUTED met2 ( 359490 2380 0 ) ( 359490 16830 )
NEW met1 ( 359490 16830 ) ( 365470 16830 )
NEW met1 ( 365470 1376490 ) ( 1243150 1376490 )
NEW met2 ( 365470 16830 ) ( 365470 1376490 )
NEW met2 ( 1243150 1650020 ) ( 1243610 1650020 0 )
NEW li1 ( 1243150 1618230 ) ( 1243150 1629450 )
NEW met2 ( 1243150 1376490 ) ( 1243150 1618230 )
NEW met2 ( 1243150 1629450 ) ( 1243150 1650020 )
NEW met1 ( 1243150 1376490 ) M1M2_PR
NEW met1 ( 359490 16830 ) M1M2_PR
NEW met1 ( 365470 16830 ) M1M2_PR
NEW met1 ( 365470 1376490 ) M1M2_PR
NEW li1 ( 1243150 1618230 ) L1M1_PR_MR
NEW met1 ( 1243150 1618230 ) M1M2_PR
NEW li1 ( 1243150 1629450 ) L1M1_PR_MR
NEW met1 ( 1243150 1629450 ) M1M2_PR
NEW met1 ( 1243150 1618230 ) RECT ( -355 -70 0 70 )
NEW met1 ( 1243150 1629450 ) RECT ( -355 -70 0 70 )
+ USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( user_proj_top tie[17] )
+ ROUTED met2 ( 377430 2380 0 ) ( 377430 34500 )
NEW met2 ( 377430 34500 ) ( 379270 34500 )
NEW met2 ( 379270 34500 ) ( 379270 1369690 )
NEW met1 ( 379270 1369690 ) ( 1242690 1369690 )
NEW met2 ( 1244070 1650020 ) ( 1245910 1650020 0 )
NEW met2 ( 1244070 1642200 ) ( 1244070 1650020 )
NEW met2 ( 1242690 1618740 ) ( 1243610 1618740 )
NEW met2 ( 1243610 1618740 ) ( 1243610 1642200 )
NEW met2 ( 1243610 1642200 ) ( 1244070 1642200 )
NEW met2 ( 1242690 1369690 ) ( 1242690 1618740 )
NEW met1 ( 379270 1369690 ) M1M2_PR
NEW met1 ( 1242690 1369690 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( user_proj_top tie[18] )
+ ROUTED met2 ( 395370 2380 0 ) ( 395370 16830 )
NEW met1 ( 395370 16830 ) ( 399970 16830 )
NEW met2 ( 399970 16830 ) ( 399970 224230 )
NEW met1 ( 399970 224230 ) ( 1242230 224230 )
NEW met1 ( 1242230 1628770 ) ( 1246370 1628770 )
NEW met2 ( 1246370 1628770 ) ( 1246370 1650020 )
NEW met2 ( 1246370 1650020 ) ( 1247750 1650020 0 )
NEW met2 ( 1242230 224230 ) ( 1242230 1628770 )
NEW met1 ( 395370 16830 ) M1M2_PR
NEW met1 ( 399970 16830 ) M1M2_PR
NEW met1 ( 399970 224230 ) M1M2_PR
NEW met1 ( 1242230 224230 ) M1M2_PR
NEW met1 ( 1242230 1628770 ) M1M2_PR
NEW met1 ( 1246370 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( user_proj_top tie[19] )
+ ROUTED met2 ( 413310 2380 0 ) ( 413310 34500 )
NEW met2 ( 413310 34500 ) ( 413770 34500 )
NEW met2 ( 413770 34500 ) ( 413770 1362550 )
NEW met1 ( 413770 1362550 ) ( 1249590 1362550 )
NEW met2 ( 1249590 1362550 ) ( 1249590 1607700 )
NEW met2 ( 1249130 1607700 ) ( 1249590 1607700 )
NEW met2 ( 1249130 1607700 ) ( 1249130 1650020 )
NEW met2 ( 1249130 1650020 ) ( 1249590 1650020 0 )
NEW met1 ( 413770 1362550 ) M1M2_PR
NEW met1 ( 1249590 1362550 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( user_proj_top tie[1] )
+ ROUTED met2 ( 1250510 217090 ) ( 1250510 1580100 )
NEW met2 ( 1250510 1580100 ) ( 1252350 1580100 )
NEW met2 ( 74290 2380 0 ) ( 74290 17510 )
NEW met1 ( 74290 17510 ) ( 79350 17510 )
NEW met1 ( 79350 217090 ) ( 1250510 217090 )
NEW met2 ( 79350 17510 ) ( 79350 217090 )
NEW met2 ( 1252350 1650020 ) ( 1253730 1650020 0 )
NEW met2 ( 1252350 1580100 ) ( 1252350 1650020 )
NEW met1 ( 1250510 217090 ) M1M2_PR
NEW met1 ( 74290 17510 ) M1M2_PR
NEW met1 ( 79350 17510 ) M1M2_PR
NEW met1 ( 79350 217090 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( user_proj_top tie[20] )
+ ROUTED met2 ( 430790 2380 0 ) ( 430790 14450 )
NEW met1 ( 430790 14450 ) ( 434470 14450 )
NEW met1 ( 434470 1562810 ) ( 1209570 1562810 )
NEW met2 ( 434470 14450 ) ( 434470 1562810 )
NEW met2 ( 1209570 1650020 ) ( 1211410 1650020 0 )
NEW met2 ( 1209570 1562810 ) ( 1209570 1650020 )
NEW met1 ( 430790 14450 ) M1M2_PR
NEW met1 ( 434470 14450 ) M1M2_PR
NEW met1 ( 434470 1562810 ) M1M2_PR
NEW met1 ( 1209570 1562810 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( user_proj_top tie[21] )
+ ROUTED met2 ( 448730 2380 0 ) ( 448730 16830 )
NEW met1 ( 448730 16830 ) ( 455170 16830 )
NEW met1 ( 455170 1355750 ) ( 1207730 1355750 )
NEW met2 ( 455170 16830 ) ( 455170 1355750 )
NEW met1 ( 1207730 1628770 ) ( 1211870 1628770 )
NEW met2 ( 1211870 1628770 ) ( 1211870 1650020 )
NEW met2 ( 1211870 1650020 ) ( 1213250 1650020 0 )
NEW met2 ( 1207730 1355750 ) ( 1207730 1628770 )
NEW met1 ( 448730 16830 ) M1M2_PR
NEW met1 ( 455170 16830 ) M1M2_PR
NEW met1 ( 455170 1355750 ) M1M2_PR
NEW met1 ( 1207730 1355750 ) M1M2_PR
NEW met1 ( 1207730 1628770 ) M1M2_PR
NEW met1 ( 1211870 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( user_proj_top tie[22] )
+ ROUTED met2 ( 466670 2380 0 ) ( 466670 16830 )
NEW met1 ( 466670 16830 ) ( 468970 16830 )
NEW met1 ( 468970 1445510 ) ( 1215550 1445510 )
NEW met2 ( 468970 16830 ) ( 468970 1445510 )
NEW met2 ( 1215090 1650700 0 ) ( 1215550 1650700 )
NEW met2 ( 1215550 1445510 ) ( 1215550 1650700 )
NEW met1 ( 466670 16830 ) M1M2_PR
NEW met1 ( 468970 16830 ) M1M2_PR
NEW met1 ( 468970 1445510 ) M1M2_PR
NEW met1 ( 1215550 1445510 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( user_proj_top tie[23] )
+ ROUTED met2 ( 484610 2380 0 ) ( 484610 16830 )
NEW met1 ( 484610 16830 ) ( 489670 16830 )
NEW met2 ( 489670 16830 ) ( 489670 1348950 )
NEW met1 ( 489670 1348950 ) ( 1216470 1348950 )
NEW met2 ( 1216930 1650020 ) ( 1217390 1650020 0 )
NEW met1 ( 1216470 1627070 ) ( 1216470 1628090 )
NEW met1 ( 1216470 1628090 ) ( 1216930 1628090 )
NEW met2 ( 1216470 1348950 ) ( 1216470 1627070 )
NEW met2 ( 1216930 1628090 ) ( 1216930 1650020 )
NEW met1 ( 484610 16830 ) M1M2_PR
NEW met1 ( 489670 16830 ) M1M2_PR
NEW met1 ( 489670 1348950 ) M1M2_PR
NEW met1 ( 1216470 1348950 ) M1M2_PR
NEW met1 ( 1216470 1627070 ) M1M2_PR
NEW met1 ( 1216930 1628090 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( user_proj_top tie[24] )
+ ROUTED met2 ( 502550 2380 0 ) ( 502550 34500 )
NEW met2 ( 502550 34500 ) ( 503470 34500 )
NEW met2 ( 503470 34500 ) ( 503470 231030 )
NEW met1 ( 503470 231030 ) ( 1216010 231030 )
NEW met2 ( 1217850 1650020 ) ( 1219230 1650020 0 )
NEW met1 ( 1216010 1618230 ) ( 1217850 1618230 )
NEW met2 ( 1216010 231030 ) ( 1216010 1618230 )
NEW met2 ( 1217850 1618230 ) ( 1217850 1650020 )
NEW met1 ( 503470 231030 ) M1M2_PR
NEW met1 ( 1216010 231030 ) M1M2_PR
NEW met1 ( 1216010 1618230 ) M1M2_PR
NEW met1 ( 1217850 1618230 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( user_proj_top tie[25] )
+ ROUTED met2 ( 520030 2380 0 ) ( 520030 16830 )
NEW met1 ( 520030 16830 ) ( 524170 16830 )
NEW met1 ( 524170 1569610 ) ( 1221530 1569610 )
NEW met2 ( 524170 16830 ) ( 524170 1569610 )
NEW met2 ( 1221530 1569610 ) ( 1221530 1649340 )
NEW met2 ( 1221300 1650020 ) ( 1221530 1650020 0 )
NEW met2 ( 1221300 1649340 ) ( 1221530 1649340 )
NEW met2 ( 1221300 1649340 ) ( 1221300 1650020 )
NEW met1 ( 520030 16830 ) M1M2_PR
NEW met1 ( 524170 16830 ) M1M2_PR
NEW met1 ( 524170 1569610 ) M1M2_PR
NEW met1 ( 1221530 1569610 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( user_proj_top tie[26] )
+ ROUTED met1 ( 537970 1341810 ) ( 1222450 1341810 )
NEW met2 ( 537970 2380 0 ) ( 537970 1341810 )
NEW met2 ( 1222450 1650020 ) ( 1223370 1650020 0 )
NEW met2 ( 1222450 1341810 ) ( 1222450 1650020 )
NEW met1 ( 537970 1341810 ) M1M2_PR
NEW met1 ( 1222450 1341810 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( user_proj_top tie[27] )
+ ROUTED met2 ( 555910 2380 0 ) ( 555910 19890 )
NEW met1 ( 555910 19890 ) ( 1221990 19890 )
NEW met1 ( 1221990 1628770 ) ( 1223830 1628770 )
NEW met2 ( 1223830 1628770 ) ( 1223830 1650020 )
NEW met2 ( 1223830 1650020 ) ( 1225210 1650020 0 )
NEW met2 ( 1221990 19890 ) ( 1221990 1628770 )
NEW met1 ( 555910 19890 ) M1M2_PR
NEW met1 ( 1221990 19890 ) M1M2_PR
NEW met1 ( 1221990 1628770 ) M1M2_PR
NEW met1 ( 1223830 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( user_proj_top tie[28] )
+ ROUTED met2 ( 573850 2380 0 ) ( 573850 20230 )
NEW met1 ( 573850 20230 ) ( 1223830 20230 )
NEW met2 ( 1223830 20230 ) ( 1223830 1580100 )
NEW met2 ( 1223830 1580100 ) ( 1225670 1580100 )
NEW met2 ( 1225670 1650020 ) ( 1227510 1650020 0 )
NEW met2 ( 1225670 1580100 ) ( 1225670 1650020 )
NEW met1 ( 573850 20230 ) M1M2_PR
NEW met1 ( 1223830 20230 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( user_proj_top tie[29] )
+ ROUTED met2 ( 591330 2380 0 ) ( 591330 16830 )
NEW li1 ( 638250 16830 ) ( 638250 20570 )
NEW met1 ( 591330 16830 ) ( 638250 16830 )
NEW met1 ( 638250 20570 ) ( 1229350 20570 )
NEW met2 ( 1229350 20570 ) ( 1229350 1607700 )
NEW met2 ( 1228890 1607700 ) ( 1229350 1607700 )
NEW met2 ( 1228890 1607700 ) ( 1228890 1650020 )
NEW met2 ( 1228890 1650020 ) ( 1229350 1650020 0 )
NEW met1 ( 591330 16830 ) M1M2_PR
NEW li1 ( 638250 16830 ) L1M1_PR_MR
NEW li1 ( 638250 20570 ) L1M1_PR_MR
NEW met1 ( 1229350 20570 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( user_proj_top tie[2] )
+ ROUTED met2 ( 97750 2380 0 ) ( 97750 17170 )
NEW met1 ( 97750 17170 ) ( 1256490 17170 )
NEW met2 ( 1256030 1650700 0 ) ( 1256490 1650700 )
NEW met2 ( 1256490 17170 ) ( 1256490 1650700 )
NEW met1 ( 97750 17170 ) M1M2_PR
NEW met1 ( 1256490 17170 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( user_proj_top tie[30] )
+ ROUTED met2 ( 609270 2380 0 ) ( 609270 16490 )
NEW met1 ( 609270 16490 ) ( 613870 16490 )
NEW met2 ( 613870 16490 ) ( 613870 1638630 )
NEW met2 ( 1203590 1638630 ) ( 1203590 1650020 )
NEW met2 ( 1203590 1650020 ) ( 1204970 1650020 0 )
NEW met1 ( 613870 1638630 ) ( 1203590 1638630 )
NEW met1 ( 609270 16490 ) M1M2_PR
NEW met1 ( 613870 16490 ) M1M2_PR
NEW met1 ( 613870 1638630 ) M1M2_PR
NEW met1 ( 1203590 1638630 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( user_proj_top tie[31] )
+ ROUTED met2 ( 627210 2380 0 ) ( 627210 16490 )
NEW met1 ( 627210 16490 ) ( 655730 16490 )
NEW met1 ( 655730 16490 ) ( 655730 16830 )
NEW met1 ( 655730 16830 ) ( 1202210 16830 )
NEW met1 ( 1202210 1628770 ) ( 1205430 1628770 )
NEW met2 ( 1205430 1628770 ) ( 1205430 1650020 )
NEW met2 ( 1205430 1650020 ) ( 1207270 1650020 0 )
NEW met2 ( 1202210 16830 ) ( 1202210 1628770 )
NEW met1 ( 627210 16490 ) M1M2_PR
NEW met1 ( 1202210 16830 ) M1M2_PR
NEW met1 ( 1202210 1628770 ) M1M2_PR
NEW met1 ( 1205430 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( user_proj_top tie[3] )
+ ROUTED met2 ( 121670 2380 0 ) ( 121670 17510 )
NEW met1 ( 1245450 17510 ) ( 1245450 17850 )
NEW met1 ( 1245450 17850 ) ( 1256950 17850 )
NEW met1 ( 121670 17510 ) ( 1245450 17510 )
NEW met2 ( 1256950 1650020 ) ( 1257870 1650020 0 )
NEW met2 ( 1256950 17850 ) ( 1256950 1650020 )
NEW met1 ( 121670 17510 ) M1M2_PR
NEW met1 ( 1256950 17850 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( user_proj_top tie[4] )
+ ROUTED li1 ( 1244990 17850 ) ( 1244990 18530 )
NEW met1 ( 1244990 18530 ) ( 1258790 18530 )
NEW met2 ( 145590 2380 0 ) ( 145590 17850 )
NEW met1 ( 145590 17850 ) ( 1244990 17850 )
NEW met2 ( 1258790 1650020 ) ( 1260170 1650020 0 )
NEW met2 ( 1258790 18530 ) ( 1258790 1650020 )
NEW li1 ( 1244990 17850 ) L1M1_PR_MR
NEW li1 ( 1244990 18530 ) L1M1_PR_MR
NEW met1 ( 1258790 18530 ) M1M2_PR
NEW met1 ( 145590 17850 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( user_proj_top tie[5] )
+ ROUTED met2 ( 163530 2380 0 ) ( 163530 18190 )
NEW met1 ( 163530 18190 ) ( 1258330 18190 )
NEW met1 ( 1258330 1628770 ) ( 1260630 1628770 )
NEW met2 ( 1260630 1628770 ) ( 1260630 1650020 )
NEW met2 ( 1260630 1650020 ) ( 1262010 1650020 0 )
NEW met2 ( 1258330 18190 ) ( 1258330 1628770 )
NEW met1 ( 1258330 18190 ) M1M2_PR
NEW met1 ( 163530 18190 ) M1M2_PR
NEW met1 ( 1258330 1628770 ) M1M2_PR
NEW met1 ( 1260630 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( user_proj_top tie[6] )
+ ROUTED met2 ( 181010 2380 0 ) ( 181010 18530 )
NEW li1 ( 1244530 18530 ) ( 1244530 19890 )
NEW met1 ( 1244530 19890 ) ( 1263850 19890 )
NEW met2 ( 1263390 82800 ) ( 1263850 82800 )
NEW met2 ( 1263850 19890 ) ( 1263850 82800 )
NEW met1 ( 181010 18530 ) ( 1244530 18530 )
NEW met2 ( 1263390 1650020 ) ( 1263850 1650020 0 )
NEW met2 ( 1263390 82800 ) ( 1263390 1650020 )
NEW met1 ( 181010 18530 ) M1M2_PR
NEW li1 ( 1244530 18530 ) L1M1_PR_MR
NEW li1 ( 1244530 19890 ) L1M1_PR_MR
NEW met1 ( 1263850 19890 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( user_proj_top tie[7] )
+ ROUTED met2 ( 198950 2380 0 ) ( 198950 18870 )
NEW met1 ( 198950 18870 ) ( 1264310 18870 )
NEW met2 ( 1264310 1650020 ) ( 1266150 1650020 0 )
NEW met2 ( 1264310 18870 ) ( 1264310 1650020 )
NEW met1 ( 198950 18870 ) M1M2_PR
NEW met1 ( 1264310 18870 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( user_proj_top tie[8] )
+ ROUTED met2 ( 216890 2380 0 ) ( 216890 19210 )
NEW met1 ( 216890 19210 ) ( 1262930 19210 )
NEW met1 ( 1262930 1628770 ) ( 1266610 1628770 )
NEW met2 ( 1266610 1628770 ) ( 1266610 1650020 )
NEW met2 ( 1266610 1650020 ) ( 1267990 1650020 0 )
NEW met2 ( 1262930 19210 ) ( 1262930 1628770 )
NEW met1 ( 216890 19210 ) M1M2_PR
NEW met1 ( 1262930 19210 ) M1M2_PR
NEW met1 ( 1262930 1628770 ) M1M2_PR
NEW met1 ( 1266610 1628770 ) M1M2_PR
+ USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( user_proj_top tie[9] )
+ ROUTED met2 ( 234830 2380 0 ) ( 234830 19550 )
NEW met1 ( 234830 19550 ) ( 1269830 19550 )
NEW met2 ( 1269830 1650020 ) ( 1270290 1650020 0 )
NEW met2 ( 1269830 19550 ) ( 1269830 1650020 )
NEW met1 ( 1269830 19550 ) M1M2_PR
NEW met1 ( 234830 19550 ) M1M2_PR
+ USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] )
+ USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] )
+ USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] )
+ USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] )
+ USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i )
+ USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i )
+ USE SIGNAL ;
END NETS
END DESIGN