final gds & drc results
diff --git a/gds/caravel_00010017.gds.gz b/gds/caravel_00010017.gds.gz
new file mode 100644
index 0000000..f1faeae
--- /dev/null
+++ b/gds/caravel_00010017.gds.gz
Binary files differ
diff --git a/info.yaml b/info.yaml
index 685ee5e..511f5f7 100644
--- a/info.yaml
+++ b/info.yaml
@@ -1,18 +1,19 @@
---- 
-project: 
-  description: "A template SoC for Google sponsored Open MPW shuttles for SKY130."
-  foundry: "SkyWater"
-  git_url: "https://github.com/efabless/caravel.git"
-  organization: "Efabless"
-  organization_url: "http://efabless.com"
-  owner: "Tim Edwards"
-  process: "SKY130"
-  project_name: "Caravel"
-  tags: 
-    - "Open MPW"
-    - "Test Harness"
-  category: "Test Harness"
-  top_level_netlist: "verilog/gl/caravel.v"
-  user_level_netlist: "verilog/gl/user_project_wrapper.v"
-  version: "1.00"
-  cover_image: "doc/ciic_harness.png"
+project:
+  category: Test Harness
+  cover_image: doc/ciic_harness.png
+  description: A template SoC for Google sponsored Open MPW shuttles for SKY130.
+  foundry: SkyWater
+  git_url: https://github.com/efabless/caravel.git
+  organization: Efabless
+  organization_url: http://efabless.com
+  owner: Tim Edwards
+  process: SKY130
+  project_id: '00010017'
+  project_name: Caravel
+  shuttle_url: https://foss-eda-tools.googlesource.com/third_party/shuttle/mpw-one/slot-023
+  tags:
+  - Open MPW
+  - Test Harness
+  top_level_netlist: verilog/gl/caravel.v
+  user_level_netlist: verilog/gl/user_project_wrapper.v
+  version: '1.00'
diff --git a/signoff/.gitignore b/signoff/.gitignore
new file mode 100644
index 0000000..6407046
--- /dev/null
+++ b/signoff/.gitignore
@@ -0,0 +1 @@
+cdrcpost/*
diff --git a/signoff/cdrc.log b/signoff/cdrc.log
new file mode 100644
index 0000000..1f7ec8d
--- /dev/null
+++ b/signoff/cdrc.log
@@ -0,0 +1,2 @@
+caldrc-put: caravel_00010017.gds 29c30240e74f707bc7a172bce3cebaac8045abe4 2021-02-05.19:05:06.UTC md5=a63af699b171028e17a735ba653df7ed /mnt/share/open_mpw/shuttle/slot-023/caravel_analog_rf/gds/caravel_00010017.gds.gz [no-git-push]
+caldrc-post: caravel_00010017.gds put=29c3024 2021-02-05.19:13:08.UTC md5=(no-gds-file) output525_pdk79-ge1e7d3aa3_drc446-g29c3024_prj446-g29c3024_caravel_00010017
diff --git a/signoff/cdrcpost/caravel_00010017_merged.csv b/signoff/cdrcpost/caravel_00010017_merged.csv
new file mode 100644
index 0000000..f47149c
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00010017_merged.csv
@@ -0,0 +1,2639 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+MR_dnwell.2,no,M,drcmr,0,0
+MR_nwell.1,no,M,drcmr,0,0
+MR_nwell.2a,no,M,drcmr,0,0
+MR_hvtp.1,no,M,drcmr,0,0
+MR_hvtp.2,no,M,drcmr,0,0
+MR_hvtr.1,no,M,drcmr,0,0
+MR_hvtr.2,no,M,drcmr,0,0
+MR_hvtr.2_a,no,M,drcmr,0,0
+MR_lvtn.1a,no,M,drcmr,0,0
+MR_lvtn.2,no,M,drcmr,0,0
+MR_ncm.1,no,M,drcmr,0,0
+MR_ncm.2a,no,M,drcmr,0,0
+MR_difftap.1,no,M,drcmr,0,0
+MR_difftap.1_a,no,M,drcmr,0,0
+MR_difftap.1_b,no,M,drcmr,0,0
+MR_difftap.1_c,no,M,drcmr,0,0
+MR_difftap.3,no,M,drcmr,0,0
+MR_tunm.1,no,M,drcmr,0,0
+MR_tunm.2,no,M,drcmr,0,0
+MR_poly.1a,no,M,drcmr,0,0
+MR_poly.2,no,M,drcmr,0,0
+MR_rpm.1a,no,M,drcmr,0,0
+MR_rpm.2,no,M,drcmr,0,0
+MR_urpm.1a,no,M,drcmr,0,0
+MR_urpm.2,no,M,drcmr,0,0
+MR_npc.1,no,M,drcmr,0,0
+MR_npc.2,no,M,drcmr,0,0
+MR_licon.1,no,M,drcmr,0,0
+MR_licon.1_a,no,M,drcmr,0,0
+MR_licon.1_b,no,M,drcmr,0,0
+MR_licon.13,no,M,drcmr,0,0
+MR_licon.13_a,no,M,drcmr,0,0
+MR_licon.17,no,M,drcmr,0,0
+MR_li.1,no,M,drcmr,0,0
+MR_li.3,no,M,drcmr,0,0
+MR_li.5,no,M,drcmr,0,0
+MR_li.6,no,M,drcmr,0,0
+MR_ct.1,no,M,drcmr,0,0
+MR_ct.1_a,no,M,drcmr,0,0
+MR_ct.1_b,no,M,drcmr,0,0
+MR_ct.2,no,M,drcmr,0,0
+MR_ct.3,no,M,drcmr,0,0
+MR_ct.3_a,no,M,drcmr,0,0
+MR_ct.3_b,no,M,drcmr,0,0
+MR_ct.4,no,M,drcmr,0,0
+MR_capm.1,no,M,drcmr,0,0
+MR_capm.2a,no,M,drcmr,0,0
+MR_capm.2b,no,M,drcmr,0,0
+MR_capm.2b_a,no,M,drcmr,0,0
+MR_capm.3,no,M,drcmr,0,0
+MR_capm.4,no,M,drcmr,0,0
+MR_capm.5,no,M,drcmr,0,0
+MR_cap2m.1,no,M,drcmr,0,0
+MR_cap2m.2a,no,M,drcmr,0,0
+MR_cap2m.2b,no,M,drcmr,0,0
+MR_cap2m.2b_a,no,M,drcmr,0,0
+MR_cap2m.3,no,M,drcmr,0,0
+MR_cap2m.4,no,M,drcmr,0,0
+MR_cap2m.5,no,M,drcmr,0,0
+MR_m1.1,no,M,drcmr,0,0
+MR_m1.2,no,M,drcmr,0,0
+MR_m1.3b,no,M,drcmr,0,0
+MR_m1.3a,no,M,drcmr,0,0
+MR_791_m1.4,no,M,drcmr,0,0
+MR_m1.4,no,M,drcmr,0,0
+MR_m1.4a,no,M,drcmr,0,0
+MR_m1.4a_a,no,M,drcmr,0,0
+MR_m1.5,no,M,drcmr,0,0
+MR_m1.6,no,M,drcmr,0,0
+MR_m1.7,no,M,drcmr,0,0
+MR_m1.7_a,no,M,drcmr,0,0
+MR_via.1a,no,M,drcmr,0,0
+MR_via.1a_a,no,M,drcmr,0,0
+MR_via.1a_b,no,M,drcmr,0,0
+MR_via.2,no,M,drcmr,0,0
+MR_via.3,no,M,drcmr,0,0
+MR_via.3_a,no,M,drcmr,0,0
+MR_via.3_b,no,M,drcmr,0,0
+MR_via.4a,no,M,drcmr,0,0
+MR_via.4a_a,no,M,drcmr,0,0
+MR_via.5a,no,M,drcmr,0,0
+MR_m2.1,no,M,drcmr,0,0
+MR_m2.2,no,M,drcmr,0,0
+MR_m2.3b,no,M,drcmr,0,0
+MR_m2.3a,no,M,drcmr,0,0
+MR_m2.4,no,M,drcmr,0,0
+MR_m2.4_a,no,M,drcmr,0,0
+MR_m2.5,no,M,drcmr,0,0
+MR_m2.6,no,M,drcmr,0,0
+MR_m2.7,no,M,drcmr,0,0
+MR_m2.7_a,no,M,drcmr,0,0
+MR_via2.1a,no,M,drcmr,0,0
+MR_via2.1a_a,no,M,drcmr,0,0
+MR_via2.1a_b,no,M,drcmr,0,0
+MR_via2.2,no,M,drcmr,0,0
+MR_via2.3,no,M,drcmr,0,0
+MR_via2.3_a,no,M,drcmr,0,0
+MR_via2.3_b,no,M,drcmr,0,0
+MR_via2.4,no,M,drcmr,0,0
+MR_via2.4_a,no,M,drcmr,0,0
+MR_via2.5,no,M,drcmr,0,0
+MR_m3.1,no,M,drcmr,0,0
+MR_m3.2,no,M,drcmr,0,0
+MR_m3.4,no,M,drcmr,0,0
+MR_m3.4_a,no,M,drcmr,0,0
+MR_m3.3d,no,M,drcmr,0,0
+MR_m3.3c,no,M,drcmr,0,0
+MR_via3.1,no,M,drcmr,0,0
+MR_via3.1_a,no,M,drcmr,0,0
+MR_via3.1_b,no,M,drcmr,0,0
+MR_via3.2,no,M,drcmr,0,0
+MR_via3.4,no,M,drcmr,0,0
+MR_via3.4_a,no,M,drcmr,0,0
+MR_via3.5,no,M,drcmr,0,0
+MR_m4.1,no,M,drcmr,0,0
+MR_m4.2,no,M,drcmr,0,0
+MR_m4.3,no,M,drcmr,0,0
+MR_m4.3_a,no,M,drcmr,0,0
+MR_m4.4a,no,M,drcmr,0,0
+MR_m4.5b,no,M,drcmr,0,0
+MR_m4.5a,no,M,drcmr,0,0
+MR_via4.1,no,M,drcmr,0,0
+MR_via4.1_a,no,M,drcmr,0,0
+MR_via4.1_b,no,M,drcmr,0,0
+MR_via4.2,no,M,drcmr,0,0
+MR_via4.3,no,M,drcmr,0,0
+MR_via4.3_a,no,M,drcmr,0,0
+MR_via4.3_b,no,M,drcmr,0,0
+MR_via4.4,no,M,drcmr,0,0
+MR_via4.4_a,no,M,drcmr,0,0
+MR_m5.1,no,M,drcmr,0,0
+MR_m5.2,no,M,drcmr,0,0
+MR_m5.3,no,M,drcmr,0,0
+MR_m5.3_a,no,M,drcmr,0,0
+MR_m5.4,no,M,drcmr,0,0
+MR_pad.2,no,M,drcmr,0,0
+MR_hvi.1,no,M,drcmr,0,0
+MR_hvi.2a,no,M,drcmr,0,0
+MR_hvntm.1,no,M,drcmr,0,0
+MR_hvntm.2,no,M,drcmr,0,0
+MR_cfom.pd.1d,no,M,drcmr,0,0
+MR_cfom.pd.1e,no,M,drcmr,0,0
+MR_cfom.waffle.1,no,M,drcmr,0,0
+MR_cfom.waffle.2,no,M,drcmr,0,0
+MR_cfom.waffle.2a,no,M,drcmr,0,0
+MR_cp1m.waffle.1,no,M,drcmr,0,0
+MR_cp1m.waffle.2a,no,M,drcmr,0,0
+MR_cli1m.4,no,M,drcmr,0,0
+MR_cli1m.5,no,M,drcmr,0,0
+MR_li1m.waffle.1,no,M,drcmr,0,0
+MR_li1m.waffle.2a,no,M,drcmr,0,0
+MR_cmm1.pd.3,no,M,drcmr,0,0
+MR_cmm1.pd.4,no,M,drcmr,0,0
+MR_cmm1.waffle.1,no,M,drcmr,0,0
+MR_cmm1.waffle.2,no,M,drcmr,0,0
+MR_cmm2.pd.3,no,M,drcmr,0,0
+MR_cmm2.pd.4,no,M,drcmr,0,0
+MR_cmm2.waffle.1,no,M,drcmr,0,0
+MR_cmm2.waffle.2,no,M,drcmr,0,0
+MR_cmm3.pd.3,no,M,drcmr,0,0
+MR_cmm3.pd.4,no,M,drcmr,0,0
+MR_cmm3.waffle.1,no,M,drcmr,0,0
+MR_cmm3.waffle.2,no,M,drcmr,0,0
+MR_cmm4.pd.3,no,M,drcmr,0,0
+MR_cmm4.pd.4,no,M,drcmr,0,0
+MR_cmm4.waffle.1,no,M,drcmr,0,0
+MR_cmm4.waffle.2,no,M,drcmr,0,0
+MR_cmm5.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.5,no,M,drcmr,0,0
+MR_cmm5.waffle.1,no,M,drcmr,0,0
+MR_cmm5.waffle.2,no,M,drcmr,0,0
+k_0_met1slotCutPad,no,k,stress,0,0
+k_1_met1slot,no,k,stress,0,0
+k_2_met1OverCA,no,k,stress,6991,183472
+k_3_met2slotCutPad,no,k,stress,0,0
+k_4_met2slot,no,k,stress,0,0
+k_5_met2OverCA,no,k,stress,3279,53175
+k_6_met3slotCutPad,no,k,stress,0,0
+k_7_met3slot,no,k,stress,0,0
+k_8_met3OverCA,no,k,stress,1264,14045
+k_9_met4slotCutPad,no,k,stress,0,0
+k_10_met4slot,no,k,stress,6,43
+k_11_met4OverCA,no,k,stress,924,35243
+k_12_met5slotCutPad,no,k,stress,0,0
+k_13_met5slot,no,k,stress,0,0
+k_14_met5OverCA,no,k,stress,456,21115
+r_0_stress.5,no,r,stress,0,0
+r_1_stress.5,no,r,stress,0,0
+r_2_stress.6,no,r,stress,0,0
+r_3_stress.7,no,r,stress,0,0
+r_4_stress.7,no,r,stress,0,0
+r_5_stress.7,no,r,stress,20,28
+r_6_stress.7,no,r,stress,0,0
+r_7_stress.7,no,r,stress,3,3
+r_8_stress.7,no,r,stress,0,0
+r_9_stress.7,no,r,stress,0,0
+r_10_stress.7,no,r,stress,0,0
+r_11_stress.7,no,r,stress,0,0
+r_12_stress.7,no,r,stress,0,0
+r_13_stress.9,no,r,stress,0,0
+r_14_stress.9,no,r,stress,0,0
+r_15_stress.9,no,r,stress,0,0
+r_16_stress.9,no,r,stress,0,0
+r_17_stress.9,no,r,stress,0,0
+r_18_stress.10,no,r,stress,0,0
+r_19_stress.11,no,r,stress,0,0
+r_20_stress.10,no,r,stress,105,166
+r_21_stress.11,no,r,stress,0,0
+r_22_stress.10,no,r,stress,146,172
+r_23_stress.11,no,r,stress,0,0
+r_24_stress.10,no,r,stress,30,30
+r_25_stress.11,no,r,stress,0,0
+r_26_stress.10,no,r,stress,129,185
+r_27_stress.11,no,r,stress,0,0
+r_28_stress.12,no,r,stress,0,0
+r_29_stress.13,no,r,stress,0,0
+r_30_stress.14,no,r,stress,0,0
+r_31_stress.12,no,r,stress,0,0
+r_32_stress.13,no,r,stress,0,0
+r_33_stress.14,no,r,stress,0,0
+r_34_stress.12,no,r,stress,0,0
+r_35_stress.13,no,r,stress,0,0
+r_36_stress.14,no,r,stress,0,0
+r_37_stress.12,no,r,stress,3,3
+r_38_stress.13,no,r,stress,0,0
+r_39_stress.14,no,r,stress,0,0
+r_40_stress.12,no,r,stress,3,3
+r_41_stress.13,no,r,stress,0,0
+r_42_stress.14,no,r,stress,0,0
+r_43_stress.15,no,r,stress,0,0
+r_44_stress.15,no,r,stress,0,0
+r_45_stress.18,no,r,stress,0,0
+r_46_stress.18,no,r,stress,0,0
+r_47_slot.13,no,r,stress,0,0
+r_48_slot.14_w,no,r,stress,0,0
+r_49_slot.14_l,no,r,stress,0,0
+r_50_slot.13,no,r,stress,0,0
+r_51_slot.14_w,no,r,stress,0,0
+r_52_slot.14_l,no,r,stress,0,0
+r_53_slot.13,no,r,stress,0,0
+r_54_slot.14_w,no,r,stress,0,0
+r_55_slot.14_l,no,r,stress,0,0
+r_56_slot.13,no,r,stress,0,0
+r_57_slot.14_w,no,r,stress,6,43
+r_58_slot.14_l,no,r,stress,0,0
+r_59_slot.13,no,r,stress,0,0
+r_60_slot.14_w,no,r,stress,0,0
+r_61_slot.14_l,no,r,stress,0,0
+r_62_slot.11,no,r,stress,0,0
+r_63_slot.12,no,r,stress,0,0
+r_64_slot.6/slot.8,no,r,stress,0,0
+r_65_slot.4,no,r,stress,0,0
+r_66_slot.11,no,r,stress,0,0
+r_67_slot.12,no,r,stress,0,0
+r_68_slot.6/slot.8,no,r,stress,0,0
+r_69_slot.4,no,r,stress,0,0
+r_70_slot.11,no,r,stress,0,0
+r_71_slot.12,no,r,stress,0,0
+r_72_slot.6/slot.8,no,r,stress,12,17
+r_73_slot.4,no,r,stress,0,0
+r_74_slot.11,no,r,stress,6,43
+r_75_slot.12,no,r,stress,0,0
+r_76_slot.6/slot.8,no,r,stress,10,10
+r_77_slot.4,no,r,stress,0,0
+r_78_slot.15,no,r,stress,0,0
+r_79_slot.15,no,r,stress,0,0
+r_80_slot.15,no,r,stress,0,0
+r_81_slot.15,no,r,stress,0,0
+r_82_slot.15,no,r,stress,0,0
+r_83_slot.9/16,no,r,stress,0,0
+r_84_slot.17,no,r,stress,0,0
+r_85_slot.9/16,no,r,stress,0,0
+r_86_slot.17,no,r,stress,0,0
+r_87_slot.9/16,no,r,stress,7,12
+r_88_slot.17,no,r,stress,0,0
+r_89_slot.9/16,no,r,stress,1,6
+r_90_slot.17,no,r,stress,0,0
+r_91_slot.9/16,no,r,stress,27,69
+r_92_slot.17,no,r,stress,0,0
+r_93_slot.18,no,r,stress,0,0
+r_94_slot.18,no,r,stress,0,0
+r_95_slot.18,no,r,stress,0,0
+r_96_slot.18,no,r,stress,0,0
+r_97_slot.18,no,r,stress,0,0
+r_98_anchor.1,no,r,stress,0,0
+r_99_anchor.4,no,r,stress,0,0
+r_100_anchor.4,no,r,stress,0,0
+r_101_anchor.4,no,r,stress,0,0
+r_102_anchor.4,no,r,stress,0,0
+r_103_anchor.4,no,r,stress,0,0
+r_104_anchor.4,no,r,stress,0,0
+r_105_anchor.5,no,r,stress,0,0
+r_106_anchor.6,no,r,stress,0,0
+r_107_anchor.6,no,r,stress,0,0
+r_108_anchor.6,no,r,stress,0,0
+r_109_anchor.6,no,r,stress,0,0
+r_110_anchor.6,no,r,stress,0,0
+r_111_anchor.6,no,r,stress,0,0
+r_112_anchor.6,no,r,stress,0,0
+r_113_anchor.6,no,r,stress,0,0
+r_114_anchor.6,no,r,stress,0,0
+r_115_anchor.6,no,r,stress,0,0
+r_116_anchor.6,no,r,stress,0,0
+r_117_anchor.6,no,r,stress,0,0
+r_118_anchor.6,no,r,stress,0,0
+r_119_anchor.6,no,r,stress,0,0
+r_120_anchor.6,no,r,stress,0,0
+r_121_anchor.3,no,r,stress,0,0
+r_122_anchor.3,no,r,stress,0,0
+r_123_anchor.3,no,r,stress,0,0
+r_124_anchor.3,no,r,stress,0,0
+r_125_anchor.3,no,r,stress,0,0
+r_0_soft_majority_diff_tap,no,r,soft,0,0
+r_1_soft_majority_Nwell_cond,no,r,soft,0,0
+r_2_soft_float_Nwell_cond,no,r,soft,0,0
+r_3_soft_float_substrate,no,r,soft,0,0
+r_4_soft_majority_substrate,no,r,soft,1000,4422
+r_5_soft_multinet_Poly_check,no,r,soft,0,0
+r_6_soft_majority_dnwell,no,r,soft,0,0
+r_0_lu.5.7a,no,r,latchup,0,0
+r_1_lu.5.7b,no,r,latchup,0,0
+r_2_lu1.2.1a,no,r,latchup,0,0
+r_3_lu1.2.1b,no,r,latchup,0,0
+r_4_lu1.2.2a,no,r,latchup,0,0
+r_5_lu1.2.2b,no,r,latchup,0,0
+r_6_lu1.2.3a,no,r,latchup,0,0
+r_7_lu1.2.3b,no,r,latchup,0,0
+r_8_lu1.2.1a,no,r,latchup,0,0
+r_9_lu1.2.1b,no,r,latchup,0,0
+r_10_lu1.2.2a,no,r,latchup,0,0
+r_11_lu1.2.2b,no,r,latchup,0,0
+r_12_lu1.2.3a,no,r,latchup,0,0
+r_13_lu1.2.3b,no,r,latchup,0,0
+r_14_lu1.3.1a,no,r,latchup,0,0
+r_15_lu1.3.1b,no,r,latchup,0,0
+r_16_lu1.3.2a,no,r,latchup,0,0
+r_17_lu1.3.2b,no,r,latchup,0,0
+r_18_lu1.3.3a,no,r,latchup,0,0
+r_19_lu1.3.3b,no,r,latchup,0,0
+r_20_lu1.3.1a,no,r,latchup,0,0
+r_21_lu1.3.1b,no,r,latchup,0,0
+r_22_lu1.3.2a,no,r,latchup,0,0
+r_23_lu1.3.2b,no,r,latchup,0,0
+r_24_lu1.3.3a,no,r,latchup,0,0
+r_25_lu1.3.3b,no,r,latchup,0,0
+r_26_lu1.4,no,r,latchup,0,0
+r_27_lu1.4,no,r,latchup,0,0
+k_0_s8_esd_xmt,no,k,latchup,0,0
+r_28_lu1.5,no,r,latchup,0,0
+r_29_lu1.5,no,r,latchup,0,0
+r_30_lu1.5,no,r,latchup,0,0
+r_31_lu1.5,no,r,latchup,0,0
+r_32_lu1.5,no,r,latchup,0,0
+r_33_lu1.5,no,r,latchup,0,0
+r_34_lu1.5,no,r,latchup,0,0
+r_35_lu1.5,no,r,latchup,0,0
+r_36_lu1.5,no,r,latchup,0,0
+r_37_lu1.5,no,r,latchup,0,0
+r_38_lu1.5,no,r,latchup,0,0
+r_39_lu1.5,no,r,latchup,0,0
+r_40_lu1.5,no,r,latchup,0,0
+r_41_lu1.5,no,r,latchup,0,0
+r_42_lu1.5,no,r,latchup,0,0
+r_43_lu1.5,no,r,latchup,0,0
+r_44_lu1.5,no,r,latchup,0,0
+r_45_lu1.5,no,r,latchup,0,0
+r_46_lu1.5,no,r,latchup,0,0
+r_47_lu1.5,no,r,latchup,0,0
+r_48_lu1.5,no,r,latchup,0,0
+r_49_lu1.5,no,r,latchup,0,0
+r_50_lu1.5,no,r,latchup,0,0
+r_51_lu1.5,no,r,latchup,0,0
+r_52_lu1.5,no,r,latchup,0,0
+r_53_lu1.5,no,r,latchup,0,0
+r_54_lu1.5,no,r,latchup,0,0
+r_55_lu1.5,no,r,latchup,0,0
+r_56_lu1.5,no,r,latchup,0,0
+r_57_lu1.5,no,r,latchup,0,0
+r_58_lu1.5,no,r,latchup,0,0
+r_59_lu1.5,no,r,latchup,0,0
+r_60_lu1.5,no,r,latchup,0,0
+r_61_lu1.5,no,r,latchup,0,0
+r_62_lu1.5,no,r,latchup,0,0
+r_63_lu1.5,no,r,latchup,0,0
+r_64_lu1.5,no,r,latchup,0,0
+r_65_lu1.5,no,r,latchup,0,0
+r_66_lu1.5,no,r,latchup,0,0
+r_67_lu1.5,no,r,latchup,0,0
+r_68_lu1.5,no,r,latchup,0,0
+r_69_lu1.5,no,r,latchup,0,0
+r_70_lu1.5,no,r,latchup,0,0
+r_71_lu1.5,no,r,latchup,0,0
+r_72_lu1.5,no,r,latchup,0,0
+r_73_lu1.5,no,r,latchup,0,0
+r_74_lu1.5,no,r,latchup,0,0
+r_75_lu1.5,no,r,latchup,0,0
+r_76_lu1.5,no,r,latchup,0,0
+r_77_lu1.5,no,r,latchup,0,0
+r_78_lu1.5,no,r,latchup,0,0
+k_1_ptap_SGR,no,k,latchup,33,412
+k_2_hole_ptap_SGR,no,k,latchup,439,1533
+k_3_ntap_SGR,no,k,latchup,29,359
+k_4_hole_ntap_SGR,no,k,latchup,53,667
+k_5_inner_ptap_DGR,no,k,latchup,20,360
+k_6_inner_hole_ptap_DGR,no,k,latchup,415,1440
+k_7_PinnerToSecondReg_DGR,no,k,latchup,170,1440
+k_8_second_ntap_DGR,no,k,latchup,11,111
+k_9_inner_ntap_DGR,no,k,latchup,11,216
+k_10_inner_hole_ntap_DGR,no,k,latchup,24,369
+k_11_NinnerToSecondReg_DGR,no,k,latchup,50,1174
+k_12_second_ptap_DGR,no,k,latchup,4,39
+k_13_inner_ptap_TGR,no,k,latchup,0,0
+k_14_second_ntap_TGR,no,k,latchup,0,0
+k_15_inner_hole_ptap_TGR,no,k,latchup,0,0
+k_16_NinnerToSecondReg_TGR,no,k,latchup,0,0
+k_17_NsecondToThirdReg_TGR,no,k,latchup,0,0
+k_18_third_ptap_TGR,no,k,latchup,0,0
+k_19_inner_ntap_TGR,no,k,latchup,0,0
+k_20_second_ptap_TGR,no,k,latchup,0,0
+k_21_inner_hole_ntap_TGR,no,k,latchup,0,0
+k_22_PinnerToSecondReg_TGR,no,k,latchup,0,0
+k_23_PsecondToThirdReg_TGR,no,k,latchup,0,0
+k_24_third_ntap_TGR,no,k,latchup,0,0
+r_79_lu.11.4,no,r,latchup,0,0
+r_80_lu.11.4,no,r,latchup,0,0
+r_81_lu.4.12a,no,r,latchup,0,0
+r_82_lu.4.12a,no,r,latchup,0,0
+r_83_lu.4.12a,no,r,latchup,0,0
+r_84_lu.4.12b,no,r,latchup,0,0
+r_85_lu.4.12c,no,r,latchup,0,0
+r_86_lu.4.12d,no,r,latchup,0,0
+r_87_lu.4.12e,no,r,latchup,0,0
+r_88_lu.4.12f,no,r,latchup,0,0
+r_89_lu.4.12g,no,r,latchup,0,0
+r_90_lu.4.12h,no,r,latchup,0,0
+r_91_lu.4.12i,no,r,latchup,0,0
+r_92_lu.4.12j,no,r,latchup,0,0
+r_93_lu.4.12k,no,r,latchup,0,0
+r_94_lu.4.12l,no,r,latchup,0,0
+r_95_lu.4.12b,no,r,latchup,0,0
+r_96_lu.4.12k,no,r,latchup,0,0
+r_97_lu.4.1.1a,no,r,latchup,0,0
+r_98_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_99_lu.4.1.1a,no,r,latchup,0,0
+r_100_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_101_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_102_lu.4.1.1b,no,r,latchup,0,0
+r_103_lu.4.1.1b,no,r,latchup,0,0
+r_104_lu.4.1.1b,no,r,latchup,0,0
+r_105_lu.4.1.1g,no,r,latchup,0,0
+r_106_lu.4.1.1g/c/e,no,r,latchup,0,0
+r_107_lu.4.1.1g,no,r,latchup,0,0
+r_108_lu.4.1.1g/c/e,no,r,latchup,0,0
+r_109_lu.4.1.1g,no,r,latchup,0,0
+r_110_lu.4.1.1h,no,r,latchup,0,0
+r_111_lu.4.1.1h,no,r,latchup,0,0
+r_112_lu.4.2.1b,no,r,latchup,0,0
+r_113_lu.11.3,no,r,latchup,21,63
+k_25_LU5_pDiffVccOrIo_conn_nwellNonVcc,no,k,latchup,0,0
+k_26_LU5_nonExemptPdiff,no,k,latchup,0,0
+k_27_LU5_nonExemptARNonVccNwell,no,k,latchup,0,0
+k_28_LU5_ExemptARNonVccNwell_1,no,k,latchup,0,0
+k_29_LU5_ioNSDOrNwellSz,no,k,latchup,104,1403
+k_30_LU5_ExemptARNonVccNwell_3,no,k,latchup,0,0
+k_31_LU5_ExemptARNonVccNwell_4,no,k,latchup,0,0
+k_32_LU5_ExemptARNonVccNwell_2,no,k,latchup,0,0
+k_33_LU5_AtRiskNonVccNwellNonExempt,no,k,latchup,0,0
+k_34_bad_pDiffVcc_and_nWellNonVcc_Norm,no,k,latchup,0,0
+k_35_bad_pDiffVcc_and_nWellNonVcc_3p3V,no,k,latchup,0,0
+r_114_lu.5.1a/b,no,r,latchup,0,0
+r_115_lu.5.6,no,r,latchup,0,0
+r_116_lu.5.1a/b,no,r,latchup,0,0
+k_36_q0lu5_1_innerPLicon1,no,k,latchup,0,0
+r_117_lu.5.1a/b,no,r,latchup,0,0
+r_118_lu.5.1a/b,no,r,latchup,0,0
+k_37_q0lu5_1_secondNLicon1,no,k,latchup,0,0
+r_119_lu.5.1a/b,no,r,latchup,0,0
+r_120_lu.5.1a,no,r,latchup,0,0
+r_121_lu.5.1b,no,r,latchup,0,0
+r_122_lu.5.6,no,r,latchup,0,0
+r_123_lu.5.6,no,r,latchup,0,0
+r_124_lu.5.6,no,r,latchup,0,0
+r_125_lu.5.6,no,r,latchup,0,0
+r_126_lu.5.2,no,r,latchup,0,0
+r_127_lu.5.2,no,r,latchup,0,0
+k_38_q0lu5_2_nonPnpPTapLicon1,no,k,latchup,0,0
+r_128_lu.5.2,no,r,latchup,0,0
+r_129_lu.5.2,no,r,latchup,0,0
+k_39_q0lu5_2_nonPnpNTapLicon1,no,k,latchup,0,0
+r_130_lu.5.2,no,r,latchup,0,0
+r_131_lu.5.2,no,r,latchup,0,0
+r_132_lu.5.2,no,r,latchup,0,0
+r_133_lu.4.12m,no,r,latchup,0,0
+r_134_lu.4.12n,no,r,latchup,0,0
+r_135_lu.4.12m,no,r,latchup,0,0
+r_136_lu.4.12n,no,r,latchup,0,0
+r_137_lu.4.12m,no,r,latchup,0,0
+r_138_lu.4.12n,no,r,latchup,0,0
+r_139_lu.4.12m,no,r,latchup,0,0
+r_140_lu.4.12n,no,r,latchup,0,0
+r_141_lu.4.12m,no,r,latchup,0,0
+r_142_lu.4.12n,no,r,latchup,0,0
+r_143_lu.4.12m,no,r,latchup,0,0
+r_144_lu.4.12n,no,r,latchup,0,0
+r_145_lu.4.12m,no,r,latchup,0,0
+r_146_lu.4.12n,no,r,latchup,0,0
+r_147_lu.4.12m,no,r,latchup,0,0
+r_148_lu.4.12n,no,r,latchup,0,0
+k_40_reg_mtdr_io_reg_mockup_met2,no,k,latchup,0,0
+k_41_reg_s8tee_reg_top_met2,no,k,latchup,0,0
+r_149_lu.4.2,no,r,latchup,0,0
+r_150_lu.4.2,no,r,latchup,0,0
+r_151_lu.4.2,no,r,latchup,0,0
+r_152_lu.4.3,no,r,latchup,0,0
+r_153_lu.4.3,no,r,latchup,0,0
+r_154_lu.4.3.1,no,r,latchup,0,0
+r_155_lu.4.3.1,no,r,latchup,0,0
+r_156_lu.4.3.1,no,r,latchup,2,30
+r_157_lu.4.3.1,no,r,latchup,0,0
+r_158_lu.4.3.1,no,r,latchup,0,0
+r_159_lu.4.2.1,no,r,latchup,0,0
+r_160_lu.4.2.1,no,r,latchup,0,0
+r_161_lu.4.2.1,no,r,latchup,0,0
+r_162_lu.4.2.1,no,r,latchup,0,0
+r_163_lu.4.2.1,no,r,latchup,0,0
+r_164_lu.4.2.1,no,r,latchup,4,32
+r_165_lu.4.2.1,no,r,latchup,0,0
+r_166_lu.4.2.1,no,r,latchup,0,0
+r_167_lu.4.2.1,no,r,latchup,2,30
+r_168_lu.4.2.1,no,r,latchup,3,59
+r_169_lu.4.2.1a,no,r,latchup,0,0
+r_170_lu.4.4,no,r,latchup,0,0
+r_171_lu.4.4,no,r,latchup,0,0
+r_172_lu.4.4,no,r,latchup,0,0
+r_173_lu.4.4,no,r,latchup,0,0
+r_174_lu.4.4,no,r,latchup,0,0
+r_175_lu.4.4,no,r,latchup,0,0
+r_176_lu.4.4,no,r,latchup,0,0
+r_177_lu.4.4,no,r,latchup,0,0
+r_178_lu.4.6,no,r,latchup,0,0
+r_179_lu.4.6,no,r,latchup,0,0
+r_180_lu.4.6,no,r,latchup,0,0
+r_181_lu.4.6,no,r,latchup,0,0
+r_182_lu.4.6,no,r,latchup,0,0
+r_183_lu.4.6,no,r,latchup,0,0
+r_184_lu.4.6,no,r,latchup,0,0
+r_185_lu.4.6,no,r,latchup,0,0
+r_186_lu.4.6.1,no,r,latchup,0,0
+r_187_lu.4.6.1,no,r,latchup,0,0
+r_188_lu.4.6.1,no,r,latchup,0,0
+r_189_lu.4.6.1,no,r,latchup,0,0
+r_190_lu.4.6.1,no,r,latchup,0,0
+r_191_lu.4.6.1,no,r,latchup,0,0
+r_192_lu.4.6.1,no,r,latchup,0,0
+r_193_lu.4.6.1,no,r,latchup,0,0
+r_194_lu.4.7/8.ptap,no,r,latchup,0,0
+r_195_lu.4.7/8.ntap,no,r,latchup,0,0
+r_196_lu.4.9,no,r,latchup,0,0
+r_197_lu.4.9,no,r,latchup,0,0
+r_198_lu.4.13,no,r,latchup,0,0
+r_199_lu.4.14,no,r,latchup,0,0
+k_42_ioNsrcDrnShrtRes,no,k,latchup,155,3428
+k_43_ioPsrcDrnShrtRes,no,k,latchup,155,3428
+k_44_esdIpRes_blocks_res,no,k,latchup,0,0
+k_45_poly_resNoEsd,no,k,latchup,54,735
+s_0_res.1a,no,s,latchup,0,0
+r_200_X.25,no,r,latchup,0,0
+r_201_X.25,no,r,latchup,0,0
+r_202_lu.12.1a,no,r,latchup,0,0
+r_203_lu.12.1a,no,r,latchup,0,0
+r_204_lu.12.1a,no,r,latchup,0,0
+r_205_lu.12.1a,no,r,latchup,0,0
+r_206_lu.12.1b,no,r,latchup,0,0
+r_207_lu.12.1c,no,r,latchup,0,0
+r_208_lu.12.1c,no,r,latchup,0,0
+r_209_lu.12.1c,no,r,latchup,0,0
+r_210_lu.12.1c,no,r,latchup,0,0
+r_211_lu.12.1c,no,r,latchup,0,0
+s_1_lu.12.2a,no,s,latchup,0,0
+s_2_lu.12.2a,no,s,latchup,0,0
+s_3_lu.12.2a,no,s,latchup,0,0
+s_4_lu.12.2a,no,s,latchup,0,0
+s_5_lu.12.2b,no,s,latchup,0,0
+r_212_lu.13.1,no,r,latchup,0,0
+r_213_lu.13.2,no,r,latchup,0,0
+r_214_lu.13.2,no,r,latchup,0,0
+r_215_lu.13.2,no,r,latchup,0,0
+r_216_lu.13.3a,no,r,latchup,0,0
+r_217_lu.13.3b,no,r,latchup,0,0
+r_218_lu.13.4,no,r,latchup,0,0
+r_219_lu.13.4,no,r,latchup,0,0
+r_220_lu.13.4,no,r,latchup,0,0
+r_221_lu.13.4,no,r,latchup,0,0
+r_222_lu.4.12o,no,r,latchup,0,0
+r_223_lu.4.12p,no,r,latchup,0,0
+r_224_subiso.2,no,r,latchup,0,0
+r_225_subiso.3,no,r,latchup,0,0
+r_226_subiso.4,no,r,latchup,0,0
+r_227_subiso.4,no,r,latchup,0,0
+r_228_subiso.5,no,r,latchup,0,0
+r_229_subiso.6,no,r,latchup,0,0
+r_230_subiso.7,no,r,latchup,0,0
+r_231_subiso.7,no,r,latchup,0,0
+r_232_subiso.8,no,r,latchup,0,0
+r_233_subiso.8,no,r,latchup,0,0
+r_234_subiso.9,no,r,latchup,0,0
+k_46_q0licon1_ring,no,k,latchup,0,0
+r_235_subiso.10/11,no,r,latchup,0,0
+k_47_q0mcon_ring,no,k,latchup,0,0
+r_236_subiso.10/11,no,r,latchup,0,0
+r_237_subiso.12,no,r,latchup,0,0
+r_238_subiso.13,no,r,latchup,0,0
+r_239_subiso.14,no,r,latchup,0,0
+r_240_subiso.15,no,r,latchup,0,0
+r_241_subiso.16,no,r,latchup,0,0
+r_242_ar.poly.1,no,r,latchup,0,0
+r_243_ar.licon.1,no,r,latchup,0,0
+r_244_ar_Li1.1,no,r,latchup,0,0
+r_245_ar.mcon.1,no,r,latchup,0,0
+r_246_ar.met1.1,no,r,latchup,0,0
+r_247_ar.via.1,no,r,latchup,0,0
+r_248_ar.met2.1,no,r,latchup,0,0
+r_249_ar.via2.1,no,r,latchup,0,0
+r_250_ar.met3.1,no,r,latchup,0,0
+r_251_ar.via3.1,no,r,latchup,0,0
+r_252_ar.met4.1,no,r,latchup,2,2
+r_253_ar.via4.1,no,r,latchup,0,0
+r_254_ar.met5.1,no,r,latchup,0,0
+k_0_anchor,no,k,drc,0,0
+r_0_X.1b,no,r,drc,16,13668
+r_1_X.1b,no,r,drc,48,48
+r_2_X.1b,no,r,drc,0,0
+r_3_X.1b,no,r,drc,96,96
+r_4_X.1b,no,r,drc,0,0
+r_5_X.1b,no,r,drc,0,0
+r_6_X.1b,no,r,drc,0,0
+r_7_X.1b,no,r,drc,0,0
+r_8_X.1b,no,r,drc,324,324
+r_9_X.1b,no,r,drc,48,48
+r_10_X.1b,no,r,drc,64,13716
+r_11_X.1b,no,r,drc,88,13740
+r_12_X.1b,no,r,drc,1000,1000
+r_13_X.1b,no,r,drc,528,528
+r_14_X.1b,no,r,drc,1000,1000
+r_15_X.1b,no,r,drc,684,684
+r_16_X.1b,no,r,drc,1000,1000
+r_17_X.1b,no,r,drc,516,516
+r_18_X.1b,no,r,drc,0,0
+r_19_X.1b,no,r,drc,1000,1000
+r_20_X.1b,no,r,drc,84,84
+r_21_X.1b,no,r,drc,1000,1000
+r_22_X.1b,no,r,drc,183,183
+r_23_X.1b,no,r,drc,1000,1000
+r_24_X.1b,no,r,drc,568,568
+r_25_X.1b,no,r,drc,0,0
+r_26_X.1b,no,r,drc,0,0
+r_27_X.1b,no,r,drc,0,0
+r_28_X.1b,no,r,drc,0,0
+r_29_X.1b,no,r,drc,0,0
+r_30_X.1b,no,r,drc,0,0
+r_31_X.1b,no,r,drc,0,0
+r_32_X.1b,no,r,drc,0,0
+r_33_X.1b,no,r,drc,0,0
+r_34_X.1b,no,r,drc,0,0
+r_35_X.1b,no,r,drc,0,0
+r_36_X.1b,no,r,drc,0,0
+r_37_X.1b,no,r,drc,0,0
+r_38_X.1b,no,r,drc,0,0
+r_39_X.1b,no,r,drc,0,0
+r_40_X.1b,no,r,drc,0,0
+r_41_X.1b,no,r,drc,0,0
+r_42_X.1b,no,r,drc,0,0
+r_43_X.1b,no,r,drc,0,0
+r_44_X.1b,no,r,drc,0,0
+r_45_X.1b,no,r,drc,0,0
+r_46_X.1b,no,r,drc,0,0
+r_47_X.1b,no,r,drc,0,0
+r_48_X.1b,no,r,drc,0,0
+r_49_X.1b,no,r,drc,0,0
+r_50_X.1b,no,r,drc,0,0
+r_51_X.1b,no,r,drc,0,0
+r_52_X.1b,no,r,drc,0,0
+r_53_X.1b,no,r,drc,0,0
+r_54_X.1b,no,r,drc,0,0
+r_55_X.1b,no,r,drc,0,0
+r_56_X.1b,no,r,drc,0,0
+r_57_X.1b,no,r,drc,0,0
+r_58_X.1b,no,r,drc,0,0
+r_59_X.1b,no,r,drc,0,0
+r_60_X.1b,no,r,drc,0,0
+r_61_X.1b,no,r,drc,0,0
+r_62_X.1b,no,r,drc,0,0
+r_63_X.1b,no,r,drc,0,0
+r_64_X.1b,no,r,drc,0,0
+r_65_X.1b,no,r,drc,0,0
+r_66_X.1b,no,r,drc,0,0
+r_67_X.1b,no,r,drc,0,0
+r_68_X.1b,no,r,drc,0,0
+r_69_X.1b,no,r,drc,0,0
+r_70_X.1b,no,r,drc,0,0
+r_71_X.1b,no,r,drc,0,0
+r_72_X.1b,no,r,drc,0,0
+r_73_X.1b,no,r,drc,0,0
+r_74_X.1b,no,r,drc,0,0
+r_75_X.1b,no,r,drc,0,0
+r_76_X.1b,no,r,drc,0,0
+r_77_X.1b,no,r,drc,0,0
+r_78_X.1b,no,r,drc,0,0
+r_79_X.1b,no,r,drc,0,0
+r_80_X.1b,no,r,drc,0,0
+r_81_X.1b,no,r,drc,0,0
+r_82_X.1b,no,r,drc,0,0
+r_83_X.1b,no,r,drc,0,0
+r_84_X.1b,no,r,drc,0,0
+r_85_X.1b,no,r,drc,0,0
+r_86_X.1b,no,r,drc,0,0
+r_87_X.1b,no,r,drc,0,0
+r_88_X.1b,no,r,drc,0,0
+r_89_X.1b,no,r,drc,0,0
+r_90_X.1b,no,r,drc,0,0
+r_91_X.1b,no,r,drc,0,0
+r_92_X.1b,no,r,drc,0,0
+r_93_X.1b,no,r,drc,0,0
+r_94_X.1b,no,r,drc,0,0
+r_95_X.1b,no,r,drc,0,0
+r_96_X.1b,no,r,drc,0,0
+r_97_X.1b,no,r,drc,0,0
+r_98_X.1b,no,r,drc,0,0
+r_99_X.1b,no,r,drc,0,0
+r_100_X.1b,no,r,drc,0,0
+r_101_X.1b,no,r,drc,0,0
+r_102_X.1a,no,r,drc,0,0
+r_103_X.1a,no,r,drc,0,0
+r_104_X.1a,no,r,drc,0,0
+r_105_X.1a,no,r,drc,0,0
+r_106_X.1a,no,r,drc,0,0
+r_107_X.1a,no,r,drc,0,0
+r_108_X.1a,no,r,drc,0,0
+r_109_X.1a,no,r,drc,0,0
+r_110_X.1a,no,r,drc,0,0
+r_111_X.1a,no,r,drc,0,0
+r_112_X.1a,no,r,drc,0,0
+r_113_X.1a,no,r,drc,0,0
+r_114_X.1a,no,r,drc,0,0
+r_113_X.2,no,r,drc,0,0
+r_114_X.2,no,r,drc,0,0
+r_115_X.2,no,r,drc,0,0
+r_116_X.2,no,r,drc,0,0
+r_117_X.2,no,r,drc,0,0
+r_118_X.2,no,r,drc,0,0
+r_119_X.2,no,r,drc,0,0
+r_120_X.2,no,r,drc,0,0
+r_121_X.2,no,r,drc,0,0
+r_122_X.2,no,r,drc,0,0
+r_123_X.2a,no,r,drc,0,0
+r_124_X.3a,no,r,drc,0,0
+r_125_X.3a,no,r,drc,0,0
+r_126_X.3a,no,r,drc,0,0
+r_127_X.3a,no,r,drc,0,0
+r_128_X.3a,no,r,drc,0,0
+r_129_X.3a,no,r,drc,0,0
+r_130_X.3a,no,r,drc,0,0
+r_131_X.3a,no,r,drc,0,0
+r_132_X.3a,no,r,drc,0,0
+r_133_X.3a,no,r,drc,0,0
+r_134_X.3a,no,r,drc,0,0
+r_135_X.3a,no,r,drc,0,0
+r_136_X.3a,no,r,drc,0,0
+r_137_X.3a,no,r,drc,0,0
+r_138_X.3a,no,r,drc,48,48
+r_139_X.3a,no,r,drc,48,48
+r_140_X.3a,no,r,drc,0,0
+r_141_X.3a,no,r,drc,0,0
+r_142_X.3a,no,r,drc,0,0
+r_143_X.3a,no,r,drc,0,0
+r_144_X.3a,no,r,drc,0,0
+r_145_X.3a,no,r,drc,0,0
+r_146_X.3a,no,r,drc,0,0
+r_147_X.3a,no,r,drc,0,0
+r_148_X.3a,no,r,drc,0,0
+r_149_X.3a,no,r,drc,0,0
+r_150_X.3a,no,r,drc,0,0
+r_151_X.3a,no,r,drc,0,0
+r_152_X.3a,no,r,drc,0,0
+r_153_X.3a,no,r,drc,0,0
+r_154_X.3a,no,r,drc,0,0
+r_155_X.3a,no,r,drc,0,0
+r_156_X.3a,no,r,drc,0,0
+r_157_X.3a,no,r,drc,0,0
+r_158_X.3a,no,r,drc,0,0
+r_159_X.3a,no,r,drc,0,0
+r_160_X.3a,no,r,drc,0,0
+r_161_X.3a,no,r,drc,0,0
+r_162_X.3a,no,r,drc,0,0
+r_163_X.3a,no,r,drc,0,0
+r_164_X.3a,no,r,drc,0,0
+r_165_X.3a,no,r,drc,0,0
+r_166_X.3a,no,r,drc,0,0
+r_167_X.3a,no,r,drc,0,0
+r_168_X.3a,no,r,drc,0,0
+r_169_X.3a,no,r,drc,0,0
+r_170_X.3a,no,r,drc,0,0
+r_171_X.3a,no,r,drc,0,0
+r_172_X.3a,no,r,drc,0,0
+r_173_X.3a,no,r,drc,0,0
+r_174_X.3a,no,r,drc,0,0
+r_175_X.3a,no,r,drc,0,0
+r_176_X.3a,no,r,drc,0,0
+r_177_X.3a,no,r,drc,0,0
+r_178_X.3a,no,r,drc,0,0
+r_179_X.3a,no,r,drc,0,0
+r_180_X.3a,no,r,drc,0,0
+r_181_X.3a,no,r,drc,0,0
+r_182_X.3a,no,r,drc,0,0
+r_183_X.3a,no,r,drc,0,0
+r_184_X.3a,no,r,drc,0,0
+r_185_X.3a,no,r,drc,0,0
+r_186_X.3a,no,r,drc,0,0
+r_187_X.3a,no,r,drc,0,0
+r_188_X.3a,no,r,drc,0,0
+r_189_X.3a,no,r,drc,0,0
+r_190_X.3a,no,r,drc,0,0
+r_191_X.3a,no,r,drc,0,0
+r_192_X.3a,no,r,drc,0,0
+r_193_X.3a,no,r,drc,0,0
+r_194_X.3a,no,r,drc,0,0
+r_195_X.3a,no,r,drc,0,0
+r_196_X.3a,no,r,drc,0,0
+r_197_X.3a,no,r,drc,0,0
+r_198_X.3a,no,r,drc,0,0
+r_199_X.3a,no,r,drc,0,0
+r_200_X.3a,no,r,drc,0,0
+r_201_X.3a,no,r,drc,0,0
+r_202_X.3a,no,r,drc,0,0
+r_203_X.3a,no,r,drc,0,0
+r_204_X.3a,no,r,drc,0,0
+r_205_X.3a,no,r,drc,0,0
+r_206_X.3a,no,r,drc,0,0
+r_207_X.3a,no,r,drc,0,0
+r_208_X.3a,no,r,drc,0,0
+r_209_X.3a,no,r,drc,0,0
+r_210_X.3a,no,r,drc,0,0
+r_211_X.3a,no,r,drc,0,0
+r_212_X.3a,no,r,drc,0,0
+r_213_X.3a,no,r,drc,0,0
+r_214_X.3a,no,r,drc,0,0
+r_215_X.3a,no,r,drc,0,0
+r_216_X.3a,no,r,drc,0,0
+r_217_X.3a,no,r,drc,0,0
+r_218_X.3a,no,r,drc,0,0
+r_219_X.3a,no,r,drc,0,0
+r_220_X.3a,no,r,drc,0,0
+r_221_X.3a,no,r,drc,0,0
+r_222_X.3a,no,r,drc,0,0
+r_223_X.3a,no,r,drc,0,0
+r_224_X.3a,no,r,drc,0,0
+r_225_X.3a,no,r,drc,0,0
+r_226_X.3a,no,r,drc,0,0
+r_227_X.3a,no,r,drc,0,0
+r_228_X.3a,no,r,drc,0,0
+r_229_X.3a,no,r,drc,0,0
+r_230_X.3a,no,r,drc,0,0
+r_231_X.3a,no,r,drc,0,0
+r_232_X.3a,no,r,drc,0,0
+r_233_X.3a,no,r,drc,0,0
+r_234_X.3a,no,r,drc,0,0
+r_233_X.5,no,r,drc,1,1
+r_234_X.5,no,r,drc,0,0
+r_235_X.5,no,r,drc,1,2
+r_236_X.5,no,r,drc,1,3
+r_237_X.5,no,r,drc,0,0
+r_238_X.5,no,r,drc,0,0
+r_239_X.5,no,r,drc,0,0
+r_240_X.5,no,r,drc,0,0
+r_241_X.9,no,r,drc,0,0
+r_242_X.9,no,r,drc,0,0
+r_243_X.9,no,r,drc,0,0
+r_244_X.9,no,r,drc,0,0
+r_245_X.9,no,r,drc,0,0
+r_246_X.9,no,r,drc,0,0
+r_247_X.9,no,r,drc,0,0
+r_248_X.9,no,r,drc,0,0
+r_249_X.9,no,r,drc,0,0
+r_250_X.9,no,r,drc,0,0
+r_251_X.9,no,r,drc,0,0
+r_252_X.9,no,r,drc,0,0
+r_253_X.9,no,r,drc,0,0
+r_254_X.9,no,r,drc,0,0
+r_255_X.9,no,r,drc,0,0
+r_256_X.9,no,r,drc,0,0
+r_257_X.9,no,r,drc,0,0
+r_258_X.9,no,r,drc,0,0
+r_259_X.10,no,r,drc,0,0
+r_260_X.10,no,r,drc,0,0
+v_0_q0_mcon_NOTAreaidStdCellCore_added_vias,yes,v,drc,0,0
+v_1_q0_mcon_NOTAreaidStdCellCore_added_below,yes,v,drc,0,0
+v_2_q0_mcon_NOTAreaidStdCellCore_added_above,yes,v,drc,0,0
+s_0_X.18,yes,s,drc,0,0
+v_3_q0_via_NOTAreaidStdCellCore_added_vias,yes,v,drc,1317,3361
+v_4_q0_via_NOTAreaidStdCellCore_added_below,yes,v,drc,3362,3362
+v_5_q0_via_NOTAreaidStdCellCore_added_above,yes,v,drc,3362,3362
+s_1_X.18,yes,s,drc,476,1176
+v_6_q0_via2_NOTAreaidStdCellCore_added_vias,yes,v,drc,310,842
+v_7_q0_via2_NOTAreaidStdCellCore_added_below,yes,v,drc,755,755
+v_8_q0_via2_NOTAreaidStdCellCore_added_above,yes,v,drc,755,755
+s_2_X.18,yes,s,drc,84,224
+v_9_q0_via3_NOTAreaidStdCellCore_added_vias,yes,v,drc,8,8
+v_10_q0_via3_NOTAreaidStdCellCore_added_below,yes,v,drc,8,8
+v_11_q0_via3_NOTAreaidStdCellCore_added_above,yes,v,drc,8,8
+s_3_X.18,yes,s,drc,2,2
+v_12_q0_via4_NOTAreaidStdCellCore_added_vias,yes,v,drc,3,9
+v_13_q0_via4_NOTAreaidStdCellCore_added_below,yes,v,drc,3,9
+v_14_q0_via4_NOTAreaidStdCellCore_added_above,yes,v,drc,3,9
+s_4_X.18,yes,s,drc,1,3
+r_261_X.12a,no,r,drc,0,0
+r_262_X.12b,no,r,drc,0,0
+r_263_X.12a,no,r,drc,0,0
+r_264_X.12b,no,r,drc,0,0
+r_265_X.12a,no,r,drc,0,0
+r_266_X.12b,no,r,drc,0,0
+r_267_X.12a,no,r,drc,0,0
+r_268_X.12b,no,r,drc,0,0
+r_269_X.12a,no,r,drc,0,0
+r_270_X.12b,no,r,drc,0,0
+r_271_X.12a,no,r,drc,0,0
+r_272_X.12b,no,r,drc,0,0
+r_273_X.12a,no,r,drc,0,0
+r_274_X.12b,no,r,drc,0,0
+r_275_X.12a,no,r,drc,0,0
+r_276_X.12b,no,r,drc,0,0
+r_277_X.12a,no,r,drc,0,0
+r_278_X.12b,no,r,drc,0,0
+r_279_X.12a,no,r,drc,0,0
+r_280_X.12b,no,r,drc,0,0
+r_281_X.12a,no,r,drc,0,0
+r_282_X.12b,no,r,drc,0,0
+r_283_X.12a,no,r,drc,0,0
+r_284_X.12b,no,r,drc,0,0
+r_285_X.12a,no,r,drc,0,0
+r_286_X.12b,no,r,drc,0,0
+r_287_X.12a,no,r,drc,0,0
+r_288_X.12b,no,r,drc,0,0
+r_289_X.12a,no,r,drc,0,0
+r_290_X.12b,no,r,drc,0,0
+r_291_X.12a,no,r,drc,0,0
+r_292_X.12b,no,r,drc,0,0
+r_293_X.12a,no,r,drc,0,0
+r_294_X.12b,no,r,drc,0,0
+r_295_X.12a,no,r,drc,0,0
+r_296_X.12b,no,r,drc,0,0
+r_297_X.12a,no,r,drc,0,0
+r_298_X.12b,no,r,drc,0,0
+r_299_X.12a,no,r,drc,0,0
+r_300_X.12b,no,r,drc,0,0
+r_301_X.12a,no,r,drc,0,0
+r_302_X.12b,no,r,drc,0,0
+r_303_X.12a,no,r,drc,0,0
+r_304_X.12b,no,r,drc,0,0
+r_305_X.12a,no,r,drc,0,0
+r_306_X.12b,no,r,drc,0,0
+r_307_X.12a,no,r,drc,0,0
+r_308_X.12b,no,r,drc,0,0
+r_309_X.12a,no,r,drc,0,0
+r_310_X.12b,no,r,drc,0,0
+r_311_X.12a,no,r,drc,0,0
+r_312_X.12b,no,r,drc,0,0
+r_313_X.12a,no,r,drc,0,0
+r_314_X.12b,no,r,drc,0,0
+r_315_X.12a,no,r,drc,0,0
+r_316_X.12b,no,r,drc,0,0
+r_317_X.12a,no,r,drc,0,0
+r_318_X.12b,no,r,drc,0,0
+r_319_X.12a,no,r,drc,0,0
+r_320_X.12b,no,r,drc,0,0
+r_321_X.12a,no,r,drc,0,0
+r_322_X.12b,no,r,drc,0,0
+r_323_X.12d,no,r,drc,0,0
+r_324_X.12e,no,r,drc,0,0
+r_325_X.12d,no,r,drc,0,0
+r_326_X.12e,no,r,drc,0,0
+r_327_X.12d,no,r,drc,0,0
+r_328_X.12e,no,r,drc,0,0
+r_329_X.12d,no,r,drc,0,0
+r_330_X.12e,no,r,drc,0,0
+r_331_X.12e,no,r,drc,0,0
+r_326_X.18b,no,r,drc,0,0
+r_327_X.18a,no,r,drc,0,0
+r_328_X.18b,no,r,drc,0,0
+r_331_X.15a,no,r,drc,0,0
+r_332_X.15a,no,r,drc,0,0
+r_333_X.15a,no,r,drc,0,0
+r_334_X.15a,no,r,drc,0,0
+r_335_X.15a,no,r,drc,0,0
+r_336_X.15a,no,r,drc,0,0
+r_337_X.15a,no,r,drc,0,0
+r_338_X.15a,no,r,drc,0,0
+r_339_X.15a,no,r,drc,0,0
+r_340_X.15a,no,r,drc,0,0
+r_341_X.15a,no,r,drc,0,0
+r_342_X.15a,no,r,drc,0,0
+r_343_X.15a,no,r,drc,0,0
+r_344_X.15a,no,r,drc,0,0
+r_345_X.15a,no,r,drc,0,0
+r_346_X.15a,no,r,drc,0,0
+r_347_X.15a,no,r,drc,0,0
+r_348_X.15a,no,r,drc,0,0
+r_349_X.15a,no,r,drc,0,0
+r_350_X.15a,no,r,drc,0,0
+r_351_X.15a,no,r,drc,0,0
+r_352_X.15a,no,r,drc,0,0
+r_353_X.15a,no,r,drc,0,0
+r_354_X.15a,no,r,drc,0,0
+r_355_X.15a,no,r,drc,0,0
+r_356_X.15a,no,r,drc,0,0
+r_357_X.15a,no,r,drc,0,0
+r_358_X.15a,no,r,drc,0,0
+r_359_X.15a,no,r,drc,0,0
+r_360_X.15a,no,r,drc,0,0
+r_361_X.15a,no,r,drc,0,0
+r_362_X.15a,no,r,drc,0,0
+r_363_X.15a,no,r,drc,0,0
+r_364_X.15a,no,r,drc,0,0
+r_365_X.15a,no,r,drc,0,0
+r_366_X.15a,no,r,drc,0,0
+r_367_X.15a,no,r,drc,0,0
+r_368_X.15a,no,r,drc,0,0
+r_369_X.15a,no,r,drc,0,0
+r_370_X.15a,no,r,drc,0,0
+r_371_X.15a,no,r,drc,0,0
+r_372_X.15a,no,r,drc,0,0
+r_373_X.15a,no,r,drc,0,0
+r_374_X.15a,no,r,drc,0,0
+r_375_X.15a,no,r,drc,0,0
+r_376_X.15a,no,r,drc,0,0
+r_377_X.15a,no,r,drc,0,0
+r_378_X.16,no,r,drc,0,0
+r_379_X.19,no,r,drc,0,0
+r_380_X.21,no,r,drc,0,0
+r_381_X.23b,no,r,drc,0,0
+r_382_X.23c,no,r,drc,0,0
+r_383_X.23c,no,r,drc,0,0
+r_384_X.23c,no,r,drc,0,0
+r_385_X.23c,no,r,drc,0,0
+r_386_X.23c,no,r,drc,0,0
+r_387_X.23c,no,r,drc,0,0
+r_388_X.23c,no,r,drc,0,0
+r_389_X.23c,no,r,drc,0,0
+r_390_X.26,no,r,drc,0,0
+s_5_X.23f,no,s,drc,0,0
+r_391_X.25,no,r,drc,0,0
+s_6_X.27,yes,s,drc,0,0
+s_7_X.27,no,s,drc,0,0
+r_392_X.28,no,r,drc,0,0
+r_393_dnwell.2,no,r,drc,0,0
+r_394_dnwell.3,no,r,drc,0,0
+r_395_dnwell.4,no,r,drc,0,0
+r_396_dnwell.5,no,r,drc,0,0
+r_397_dnwell.7,no,r,drc,0,0
+r_398_nwell.1,no,r,drc,0,0
+r_399_nwell.2a,no,r,drc,0,0
+r_400_nwell.4,no,r,drc,0,0
+r_401_nwell.5,no,r,drc,0,0
+r_402_nwell.5,no,r,drc,0,0
+r_403_nwell.6,no,r,drc,0,0
+r_404_nwell.7,no,r,drc,0,0
+r_405_hvtp.1,no,r,drc,0,0
+r_406_hvtp.2,no,r,drc,0,0
+r_407_hvtp.3,no,r,drc,0,0
+r_408_hvtp.4,no,r,drc,0,0
+r_409_hvtp.5,no,r,drc,0,0
+r_410_hvtp.6,no,r,drc,0,0
+r_411_hvtp.c1,no,r,drc,0,0
+r_412_lvtn.1a,no,r,drc,0,0
+r_413_lvtn.2,no,r,drc,0,0
+r_414_lvtn.3a,no,r,drc,0,0
+r_415_lvtn.3b,no,r,drc,0,0
+r_416_lvtn.4b,no,r,drc,0,0
+r_417_lvtn.9,no,r,drc,0,0
+r_418_lvtn.9,no,r,drc,0,0
+r_419_lvtn.10,no,r,drc,0,0
+r_420_lvtn.12,no,r,drc,0,0
+r_421_lvtn.13,no,r,drc,0,0
+r_422_lvtn.14,no,r,drc,0,0
+r_423_hvtr.1,no,r,drc,0,0
+r_424_hvtr.2,no,r,drc,0,0
+r_425_hvtr.2,no,r,drc,0,0
+r_426_hvtr.3,no,r,drc,0,0
+r_427_difftap.1,no,r,drc,0,0
+r_428_difftap.1,no,r,drc,0,0
+r_429_difftap.c1,no,r,drc,0,0
+r_430_difftap.1,no,r,drc,0,0
+r_431_difftap.1,no,r,drc,0,0
+r_432_difftap.c1,no,r,drc,0,0
+r_433_difftap.2,no,r,drc,0,0
+r_434_difftap.2b,no,r,drc,0,0
+r_435_difftap.3,no,r,drc,0,0
+r_436_difftap.4,no,r,drc,0,0
+r_437_difftap.5,no,r,drc,0,0
+r_438_difftap.6,no,r,drc,0,0
+r_439_difftap.7,no,r,drc,0,0
+r_440_difftap.8,no,r,drc,0,0
+r_441_difftap.9,no,r,drc,0,0
+r_442_difftap.10,no,r,drc,4,13656
+r_443_difftap.11,no,r,drc,0,0
+r_444_difftap.c1,no,r,drc,0,0
+r_445_difftap.c5,no,r,drc,0,0
+r_446_difftap.c8,no,r,drc,0,0
+r_447_difftap.c10,no,r,drc,0,0
+r_448_difftap.c12,no,r,drc,0,0
+r_449_difftap.c13,no,r,drc,0,0
+r_450_difftap.c14,no,r,drc,0,0
+r_451_tunm.1,no,r,drc,0,0
+r_452_tunm.2,no,r,drc,0,0
+r_453_tunm.3,no,r,drc,0,0
+r_454_tunm.4,no,r,drc,0,0
+r_455_tunm.5,no,r,drc,0,0
+r_456_tunm.6a,no,r,drc,0,0
+r_457_tunm.7,no,r,drc,0,0
+r_458_tunm.8,no,r,drc,0,0
+r_459_nsd.1,no,r,drc,0,0
+r_460_nsd.1,no,r,drc,0,0
+r_461_nsd.c1b,no,r,drc,0,0
+r_462_nsd.2,no,r,drc,0,0
+r_463_nsd.2,no,r,drc,0,0
+r_464_nsd.5a,no,r,drc,0,0
+r_465_nsd.5b,no,r,drc,4,13656
+r_466_nsd.7,no,r,drc,0,0
+r_467_nsd.8,no,r,drc,0,0
+r_468_nsd.9,no,r,drc,0,0
+r_469_nsd.10a,no,r,drc,0,0
+r_470_nsd.11,no,r,drc,0,0
+r_471_nsd.c1a,no,r,drc,0,0
+r_472_nsd.c2a,no,r,drc,0,0
+r_473_nsd.c2b,no,r,drc,0,0
+r_474_nsd.c5a,no,r,drc,0,0
+r_475_psd.1,no,r,drc,0,0
+r_476_psd.1,no,r,drc,0,0
+r_477_psd.c1b,no,r,drc,0,0
+r_478_psd.2,no,r,drc,0,0
+r_479_psd.2,no,r,drc,0,0
+r_480_psd.5a,no,r,drc,0,0
+r_481_psd.5b,no,r,drc,4,13656
+r_482_psd.7,no,r,drc,0,0
+r_483_psd.8,no,r,drc,0,0
+r_484_psd.9,no,r,drc,0,0
+r_485_psd.10b,no,r,drc,0,0
+r_486_psd.11,no,r,drc,0,0
+r_487_psd.c1a,no,r,drc,0,0
+r_488_psd.c2a,no,r,drc,0,0
+r_489_psd.c2b,no,r,drc,0,0
+r_490_psd.c5b,no,r,drc,0,0
+r_491_hvi.1,no,r,drc,0,0
+r_492_hvi.2a,no,r,drc,0,0
+r_493_hvi.4,no,r,drc,0,0
+r_494_hvi.5,no,r,drc,0,0
+r_495_nwell.8,no,r,drc,0,0
+r_496_hv.nwell.1,no,r,drc,0,0
+r_497_nwell.9,no,r,drc,0,0
+r_498_nwell.10,no,r,drc,417,23764
+r_499_difftap.14,no,r,drc,0,0
+r_500_difftap.14a,no,r,drc,0,0
+r_501_difftap.15a,no,r,drc,0,0
+r_502_difftap.15b,no,r,drc,0,0
+r_503_difftap.16,no,r,drc,0,0
+r_504_difftap.16,no,r,drc,0,0
+r_505_difftap.17,no,r,drc,0,0
+r_506_difftap.18,no,r,drc,0,0
+r_507_difftap.19,no,r,drc,0,0
+r_508_difftap.20,no,r,drc,0,0
+r_509_difftap.21,no,r,drc,0,0
+r_510_difftap.22,no,r,drc,0,0
+r_511_difftap.23,no,r,drc,0,0
+r_512_difftap.24,no,r,drc,0,0
+r_513_difftap.c11,no,r,drc,0,0
+r_514_poly.13,no,r,drc,0,0
+r_515_poly.14,no,r,drc,0,0
+r_516_poly.1a,no,r,drc,0,0
+r_517_poly.1b,no,r,drc,0,0
+r_518_poly.2,no,r,drc,0,0
+r_519_poly.2,no,r,drc,0,0
+r_520_poly.c3,no,r,drc,0,0
+r_521_poly.c2,no,r,drc,0,0
+r_522_poly.3,no,r,drc,0,0
+r_523_poly.4,no,r,drc,0,0
+r_524_poly.5,no,r,drc,0,0
+r_525_poly.6,no,r,drc,0,0
+r_526_poly.7,no,r,drc,0,0
+r_527_poly.8,no,r,drc,0,0
+r_528_poly.9,no,r,drc,0,0
+r_529_poly.9,no,r,drc,0,0
+r_530_poly.9,no,r,drc,0,0
+r_531_poly.10,no,r,drc,0,0
+r_532_poly.11,no,r,drc,0,0
+r_533_poly.12,no,r,drc,0,0
+r_534_poly.15,no,r,drc,0,0
+r_535_poly.c1,no,r,drc,0,0
+r_536_poly.c1,no,r,drc,0,0
+k_1_rfGate,no,k,drc,0,0
+r_537_dnwell.6,no,r,drc,0,0
+r_538_poly.X.1a,no,r,drc,0,0
+r_539_poly.X.1a,no,r,drc,0,0
+r_540_poly.X.1a,no,r,drc,0,0
+r_541_poly.X.1a,no,r,drc,0,0
+r_542_poly.X.1a,no,r,drc,0,0
+r_543_POLY.X.1,no,r,drc,0,0
+r_544_POLY.X.1,no,r,drc,0,0
+r_545_POLY.X.1,no,r,drc,0,0
+k_2_s8rf_pmedlvt_W0p84_L0p15_2F,no,k,drc,0,0
+k_3_s8rf_pmedlvt_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_4_s8rf_pmedlvt_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_546_Poly.X.1,no,r,drc,0,0
+k_5_s8rf_pshort_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_6_s8rf_pshort_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_7_s8rf_pshort_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_547_Poly.X.1,no,r,drc,0,0
+k_8_s8rf_pshort_W5p0_L0p15_2F,no,k,drc,0,0
+k_9_s8rf_pshort_W5p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_10_s8rf_pshort_W5p0_L0p15_2F_valid,no,k,drc,0,0
+r_548_Poly.X.1,no,r,drc,0,0
+k_11_s8rf_pshort_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_12_s8rf_pshort_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_13_s8rf_pshort_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_549_Poly.X.1,no,r,drc,0,0
+k_14_phvesd_L_0_55,yes,k,drc,0,0
+k_15_phvesd_valid,yes,k,drc,0,0
+r_550_Poly.X.1,no,r,drc,0,0
+k_16_s8rf_pshort_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_17_s8rf_pshort_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_18_s8rf_pshort_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_551_Poly.X.1,no,r,drc,0,0
+k_19_s8rf_pshort_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_20_s8rf_pshort_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_21_s8rf_pshort_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_552_Poly.X.1,no,r,drc,0,0
+k_22_s8rf_pshort_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_23_s8rf_pshort_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_24_s8rf_pshort_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_553_Poly.X.1,no,r,drc,0,0
+k_25_plowvt_L_0_35,no,k,drc,0,0
+k_26_plowvt_L_0_50,no,k,drc,0,0
+k_27_plowvt_L_1_00,no,k,drc,0,0
+k_28_plowvt_L_1_50,no,k,drc,0,0
+k_29_plowvt_L_2_00,no,k,drc,0,0
+k_30_plowvt_L_4_00,no,k,drc,0,0
+k_31_plowvt_L_8_00,no,k,drc,0,0
+k_32_plowvt_L_20_0,no,k,drc,0,0
+k_33_plowvt_valid,no,k,drc,0,0
+r_554_Poly.X.1,no,r,drc,0,0
+k_34_s8rf_pshort_W0p84_L0p15_2F,no,k,drc,0,0
+k_35_s8rf_pshort_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_36_s8rf_pshort_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_555_Poly.X.1,no,r,drc,0,0
+k_37_s8rf_pshort_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_38_s8rf_pshort_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_39_s8rf_pshort_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_556_Poly.X.1,no,r,drc,0,0
+k_40_phv_L_0_50,yes,k,drc,390,14348
+k_41_phv_L_0_60,yes,k,drc,205,24378
+k_42_phv_L_0_80,yes,k,drc,16,758
+k_43_phv_L_1_00,yes,k,drc,24,644
+k_44_phv_L_2_00,yes,k,drc,6,290
+k_45_phv_L_4_00,yes,k,drc,30,430
+k_46_phv_L_8_00,yes,k,drc,4,116
+k_47_phv_L_20_0,no,k,drc,0,0
+k_48_phv_valid,yes,k,drc,338,20482
+r_557_Poly.X.1,yes,r,drc,0,0
+k_49_s8rf_pshort_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_50_s8rf_pshort_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_51_s8rf_pshort_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_558_Poly.X.1,no,r,drc,0,0
+k_52_s8rf_pshort_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_53_s8rf_pshort_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_54_s8rf_pshort_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_559_Poly.X.1,no,r,drc,0,0
+k_55_s8rf_pshort_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_56_s8rf_pshort_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_57_s8rf_pshort_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_560_Poly.X.1,no,r,drc,0,0
+k_58_s8rf_pshort_W3p0_L0p15_2F,no,k,drc,0,0
+k_59_s8rf_pshort_W3p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_60_s8rf_pshort_W3p0_L0p15_2F_valid,no,k,drc,0,0
+r_561_Poly.X.1,no,r,drc,0,0
+k_61_s8rf_pshort_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_62_s8rf_pshort_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_63_s8rf_pshort_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_562_Poly.X.1,no,r,drc,0,0
+k_64_s8rf_pshort_W1p68_L0p15_4F,no,k,drc,0,0
+k_65_s8rf_pshort_W1p68_L0p15_4F_L_0_15,no,k,drc,0,0
+k_66_s8rf_pshort_W1p68_L0p15_4F_valid,no,k,drc,0,0
+r_563_Poly.X.1,no,r,drc,0,0
+k_67_s8rf_pshort_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_68_s8rf_pshort_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_69_s8rf_pshort_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_564_Poly.X.1,no,r,drc,0,0
+k_70_s8rf_pshort_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_71_s8rf_pshort_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_72_s8rf_pshort_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_565_Poly.X.1,no,r,drc,0,0
+k_73_s8rf_pshort_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_74_s8rf_pshort_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_75_s8rf_pshort_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_566_Poly.X.1,no,r,drc,0,0
+k_76_s8rf_pshort_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_77_s8rf_pshort_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_78_s8rf_pshort_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_567_Poly.X.1,no,r,drc,0,0
+k_79_pshort_L_0_15,yes,k,drc,0,0
+k_80_pshort_L_0_17,no,k,drc,0,0
+k_81_pshort_L_0_18,yes,k,drc,20,320
+k_82_pshort_L_0_25,yes,k,drc,26,1450
+k_83_pshort_L_0_50,no,k,drc,24,72
+k_84_pshort_L_1_00,no,k,drc,220,440
+k_85_pshort_L_2_00,no,k,drc,0,0
+k_86_pshort_L_4_00,no,k,drc,0,0
+k_87_pshort_L_8_00,no,k,drc,0,0
+k_88_pshort_L_20_0,no,k,drc,0,0
+k_89_pshort_valid,yes,k,drc,145,1141
+r_568_Poly.X.1,no,r,drc,0,0
+k_90_ppu_L_0_15,yes,k,drc,0,0
+k_91_ppu_valid,yes,k,drc,0,0
+r_569_Poly.X.1,no,r,drc,0,0
+k_92_s8rf_pshort_W1p68_L0p15_2F,no,k,drc,0,0
+k_93_s8rf_pshort_W1p68_L0p15_2F_L_0_15,no,k,drc,0,0
+k_94_s8rf_pshort_W1p68_L0p15_2F_valid,no,k,drc,0,0
+r_570_Poly.X.1,no,r,drc,0,0
+k_95_s8rf_pshort_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_96_s8rf_pshort_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_97_s8rf_pshort_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_571_Poly.X.1,no,r,drc,0,0
+k_98_s8rf_pmedlvt_W1p68_L0p15_4F,no,k,drc,0,0
+k_99_s8rf_pmedlvt_W1p68_L0p15_4F_L_0_15,no,k,drc,0,0
+k_100_s8rf_pmedlvt_W1p68_L0p15_4F_valid,no,k,drc,0,0
+r_572_Poly.X.1,no,r,drc,0,0
+k_101_s8rf_pshort_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_102_s8rf_pshort_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_103_s8rf_pshort_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_573_Poly.X.1,no,r,drc,0,0
+k_104_s8rf_pshort_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_105_s8rf_pshort_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_106_s8rf_pshort_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_574_Poly.X.1,no,r,drc,0,0
+k_107_phighvt_L_0_15,yes,k,drc,20,2090
+k_108_phighvt_L_0_18,no,k,drc,0,0
+k_109_phighvt_L_0_25,yes,k,drc,30,1392
+k_110_phighvt_L_0_50,no,k,drc,0,0
+k_111_phighvt_L_1_00,no,k,drc,0,0
+k_112_phighvt_L_2_00,no,k,drc,0,0
+k_113_phighvt_L_4_00,no,k,drc,0,0
+k_114_phighvt_L_8_00,no,k,drc,0,0
+k_115_phighvt_L_20_0,no,k,drc,0,0
+k_116_phighvt_valid,yes,k,drc,25,1741
+r_575_Poly.X.1,yes,r,drc,0,0
+k_117_s8rf_pshort_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_118_s8rf_pshort_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_119_s8rf_pshort_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_576_Poly.X.1,no,r,drc,0,0
+k_120_s8rf_pmedlvt_W1p68_L0p15_2F,no,k,drc,0,0
+k_121_s8rf_pmedlvt_W1p68_L0p15_2F_L_0_15,no,k,drc,0,0
+k_122_s8rf_pmedlvt_W1p68_L0p15_2F_valid,no,k,drc,0,0
+r_577_Poly.X.1,no,r,drc,0,0
+k_123_s8rf_nlowvt_W0p42_L0p15_2F,no,k,drc,0,0
+k_124_s8rf_nlowvt_W0p42_L0p15_2F_L_0_15,no,k,drc,0,0
+k_125_s8rf_nlowvt_W0p42_L0p15_2F_valid,no,k,drc,0,0
+r_578_Poly.X.1,no,r,drc,0,0
+k_126_s8rf_nlowvt_W0p84_L0p15_2F,no,k,drc,0,0
+k_127_s8rf_nlowvt_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_128_s8rf_nlowvt_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_579_Poly.X.1,no,r,drc,0,0
+k_129_s8rf_nhv_W7p0_L0p5_M10_b,no,k,drc,0,0
+k_130_s8rf_nhv_W7p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_131_s8rf_nhv_W7p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_580_Poly.X.1,no,r,drc,0,0
+k_132_nhvnative_L_0_90,yes,k,drc,152,7432
+k_133_nhvnative_L_1_00,no,k,drc,0,0
+k_134_nhvnative_L_2_00,no,k,drc,0,0
+k_135_nhvnative_L_4_00,no,k,drc,0,0
+k_136_nhvnative_L_8_00,no,k,drc,0,0
+k_137_nhvnative_L_25_00,no,k,drc,0,0
+k_138_nhvnative_valid,yes,k,drc,76,3716
+r_581_Poly.X.1,no,r,drc,0,0
+k_139_s8rf_nshort_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_140_s8rf_nshort_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_141_s8rf_nshort_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_582_Poly.X.1,no,r,drc,0,0
+k_142_npass_L_0_15,no,k,drc,0,0
+k_143_npass_valid,no,k,drc,0,0
+r_583_Poly.X.1,no,r,drc,0,0
+k_144_s8rf_nlowvt_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_145_s8rf_nlowvt_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_146_s8rf_nlowvt_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_584_Poly.X.1,no,r,drc,0,0
+k_147_s8rf_nhv_W3p0_L0p5_M10_b,no,k,drc,0,0
+k_148_s8rf_nhv_W3p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_149_s8rf_nhv_W3p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_585_Poly.X.1,no,r,drc,0,0
+k_150_sonos_p_L_0_22,no,k,drc,0,0
+k_151_sonos_p_L_0_50,no,k,drc,0,0
+k_152_sonos_p_valid,no,k,drc,0,0
+r_586_Poly.X.1,no,r,drc,0,0
+k_153_nhvnativeesd_L_0_90,no,k,drc,0,0
+k_154_nhvnativeesd_L_2_00,no,k,drc,0,0
+k_155_nhvnativeesd_L_4_00,no,k,drc,0,0
+k_156_nhvnativeesd_valid,no,k,drc,0,0
+r_587_Poly.X.1,no,r,drc,0,0
+k_157_npd_L_0_15,yes,k,drc,0,0
+k_158_npd_valid,yes,k,drc,0,0
+r_588_Poly.X.1,no,r,drc,0,0
+k_159_ntvnative_L_0_50,no,k,drc,0,0
+k_160_ntvnative_L_0_60,no,k,drc,0,0
+k_161_ntvnative_L_0_80,no,k,drc,0,0
+k_162_ntvnative_valid,no,k,drc,0,0
+r_589_Poly.X.1,no,r,drc,0,0
+k_163_s8rf_nhv_W5p0_L0p5_M4_b,no,k,drc,0,0
+k_164_s8rf_nhv_W5p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_165_s8rf_nhv_W5p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_590_Poly.X.1,no,r,drc,0,0
+k_166_s8rf_nshort_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_167_s8rf_nshort_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_168_s8rf_nshort_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_591_Poly.X.1,no,r,drc,0,0
+k_169_s8rf_nlowvt_W3p0_L0p15_4F,no,k,drc,0,0
+k_170_s8rf_nlowvt_W3p0_L0p15_4F_L_0_15,no,k,drc,0,0
+k_171_s8rf_nlowvt_W3p0_L0p15_4F_valid,no,k,drc,0,0
+r_592_Poly.X.1,no,r,drc,0,0
+k_172_fnpass_L_0_15,no,k,drc,0,0
+k_173_fnpass_valid,no,k,drc,0,0
+r_593_Poly.X.1,no,r,drc,0,0
+k_174_s8rf_nlowvt_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_175_s8rf_nlowvt_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_176_s8rf_nlowvt_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_594_Poly.X.1,no,r,drc,0,0
+k_177_s8rf_nlowvt_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_178_s8rf_nlowvt_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_179_s8rf_nlowvt_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_595_Poly.X.1,no,r,drc,0,0
+k_180_s8rf_nlowvt_W3p0_L0p15_8F,no,k,drc,0,0
+k_181_s8rf_nlowvt_W3p0_L0p15_8F_L_0_15,no,k,drc,0,0
+k_182_s8rf_nlowvt_W3p0_L0p15_8F_valid,no,k,drc,0,0
+r_596_Poly.X.1,no,r,drc,0,0
+k_183_s8rf_nlowvt_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_184_s8rf_nlowvt_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_185_s8rf_nlowvt_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_597_Poly.X.1,no,r,drc,0,0
+k_186_s8rf_nlowvt_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_187_s8rf_nlowvt_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_188_s8rf_nlowvt_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_598_Poly.X.1,no,r,drc,0,0
+k_189_s8rf_nlowvt_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_190_s8rf_nlowvt_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_191_s8rf_nlowvt_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_599_Poly.X.1,no,r,drc,0,0
+k_192_s8rf_nlowvt_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_193_s8rf_nlowvt_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_194_s8rf_nlowvt_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_600_Poly.X.1,no,r,drc,0,0
+k_195_s8rf_nlowvt_W0p84_L0p15_4F,no,k,drc,0,0
+k_196_s8rf_nlowvt_W0p84_L0p15_4F_L_0_15,no,k,drc,0,0
+k_197_s8rf_nlowvt_W0p84_L0p15_4F_valid,no,k,drc,0,0
+r_601_Poly.X.1,no,r,drc,0,0
+k_198_s8rf_nshort_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_199_s8rf_nshort_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_200_s8rf_nshort_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_602_Poly.X.1,no,r,drc,0,0
+k_201_s8rf_nshort_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_202_s8rf_nshort_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_203_s8rf_nshort_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_603_Poly.X.1,no,r,drc,0,0
+k_204_s8rf_nshort_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_205_s8rf_nshort_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_206_s8rf_nshort_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_604_Poly.X.1,no,r,drc,0,0
+k_207_nlowvt_L_0_15,yes,k,drc,156,7424
+k_208_nlowvt_L_0_18,no,k,drc,0,0
+k_209_nlowvt_L_0_25,no,k,drc,0,0
+k_210_nlowvt_L_0_50,no,k,drc,0,0
+k_211_nlowvt_L_1_00,no,k,drc,0,0
+k_212_nlowvt_L_2_00,no,k,drc,0,0
+k_213_nlowvt_L_4_00,no,k,drc,0,0
+k_214_nlowvt_L_6_00,no,k,drc,0,0
+k_215_nlowvt_L_8_00,no,k,drc,0,0
+k_216_nlowvt_valid,yes,k,drc,78,3712
+r_605_Poly.X.1,no,r,drc,0,0
+k_217_s8rf_nshort_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_218_s8rf_nshort_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_219_s8rf_nshort_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_606_Poly.X.1,no,r,drc,0,0
+k_220_sonos_e_L_0_22,no,k,drc,0,0
+k_221_sonos_e_L_0_50,no,k,drc,0,0
+k_222_sonos_e_valid,no,k,drc,0,0
+r_607_Poly.X.1,no,r,drc,0,0
+k_223_s8rf_nshort_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_224_s8rf_nshort_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_225_s8rf_nshort_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_608_Poly.X.1,no,r,drc,0,0
+k_226_s8rf_nhv_W3p0_L0p5_M4_b,no,k,drc,0,0
+k_227_s8rf_nhv_W3p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_228_s8rf_nhv_W3p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_609_Poly.X.1,no,r,drc,0,0
+k_229_s8rf_nlowvt_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_230_s8rf_nlowvt_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_231_s8rf_nlowvt_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_610_Poly.X.1,no,r,drc,0,0
+k_232_s8rf_nshort_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_233_s8rf_nshort_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_234_s8rf_nshort_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_611_Poly.X.1,no,r,drc,0,0
+k_235_s8rf_nshort_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_236_s8rf_nshort_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_237_s8rf_nshort_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_612_Poly.X.1,no,r,drc,0,0
+k_238_nhv_L_0_50,yes,k,drc,428,18512
+k_239_nhv_L_0_60,yes,k,drc,354,20278
+k_240_nhv_L_0_80,yes,k,drc,58,1816
+k_241_nhv_L_1_00,yes,k,drc,56,1748
+k_242_nhv_L_2_00,no,k,drc,0,0
+k_243_nhv_L_4_00,yes,k,drc,38,520
+k_244_nhv_L_8_00,yes,k,drc,4,328
+k_245_nhv_L_20_0,no,k,drc,0,0
+k_246_nhv_valid,yes,k,drc,471,21601
+r_613_Poly.X.1,yes,r,drc,0,0
+k_247_s8rf_nshort_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_248_s8rf_nshort_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_249_s8rf_nshort_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_614_Poly.X.1,no,r,drc,0,0
+k_250_s8rf_nhv_W5p0_L0p5_M2_b,no,k,drc,0,0
+k_251_s8rf_nhv_W5p0_L0p5_M2_b_L_0_50,no,k,drc,0,0
+k_252_s8rf_nhv_W5p0_L0p5_M2_b_valid,no,k,drc,0,0
+r_615_Poly.X.1,no,r,drc,0,0
+k_253_s8rf_nlowvt_W0p84_L0p15_8F,no,k,drc,0,0
+k_254_s8rf_nlowvt_W0p84_L0p15_8F_L_0_15,no,k,drc,0,0
+k_255_s8rf_nlowvt_W0p84_L0p15_8F_valid,no,k,drc,0,0
+r_616_Poly.X.1,no,r,drc,0,0
+k_256_s8rf_nshort_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_257_s8rf_nshort_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_258_s8rf_nshort_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_617_Poly.X.1,no,r,drc,0,0
+k_259_s8rf_nlowvt_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_260_s8rf_nlowvt_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_261_s8rf_nlowvt_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_618_Poly.X.1,no,r,drc,0,0
+k_262_s8rf_nlowvt_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_263_s8rf_nlowvt_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_264_s8rf_nlowvt_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_619_Poly.X.1,no,r,drc,0,0
+k_265_nshortesd_L_0_165,no,k,drc,0,0
+k_266_nshortesd_L_0_18,no,k,drc,0,0
+k_267_nshortesd_valid,no,k,drc,0,0
+r_620_Poly.X.1,no,r,drc,0,0
+k_268_s8rf_nlowvt_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_269_s8rf_nlowvt_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_270_s8rf_nlowvt_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_621_Poly.X.1,no,r,drc,0,0
+k_271_s8rf_nshort_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_272_s8rf_nshort_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_273_s8rf_nshort_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_622_Poly.X.1,no,r,drc,0,0
+k_274_nshort_L_0_15,yes,k,drc,22,2206
+k_275_nshort_L_0_18,yes,k,drc,354,3048
+k_276_nshort_L_0_25,yes,k,drc,40,2668
+k_277_nshort_L_0_50,no,k,drc,16,48
+k_278_nshort_L_1_00,no,k,drc,54,122
+k_279_nshort_L_2_00,no,k,drc,2,27312
+k_280_nshort_L_4_00,yes,k,drc,2,8
+k_281_nshort_L_8_00,yes,k,drc,40,248
+k_282_nshort_L_20_0,no,k,drc,0,0
+k_283_nshort_valid,yes,k,drc,260,4150
+r_623_Poly.X.1,yes,r,drc,9,13680
+k_284_s8rf_nshort_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_285_s8rf_nshort_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_286_s8rf_nshort_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_624_Poly.X.1,no,r,drc,0,0
+k_287_s8rf_nlowvt_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_288_s8rf_nlowvt_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_289_s8rf_nlowvt_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_625_Poly.X.1,no,r,drc,0,0
+k_290_s8rf_nhv_W5p0_L0p5_M10_b,no,k,drc,0,0
+k_291_s8rf_nhv_W5p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_292_s8rf_nhv_W5p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_626_Poly.X.1,no,r,drc,0,0
+k_293_s8rf_nshort_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_294_s8rf_nshort_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_295_s8rf_nshort_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_627_Poly.X.1,no,r,drc,0,0
+k_296_s8rf_nhv_W3p0_L0p5_M2_b,no,k,drc,0,0
+k_297_s8rf_nhv_W3p0_L0p5_M2_b_L_0_50,no,k,drc,0,0
+k_298_s8rf_nhv_W3p0_L0p5_M2_b_valid,no,k,drc,0,0
+r_628_Poly.X.1,no,r,drc,0,0
+k_299_s8rf_nlowvt_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_300_s8rf_nlowvt_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_301_s8rf_nlowvt_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_629_Poly.X.1,no,r,drc,0,0
+k_302_nlvtpass_L_0_15,no,k,drc,0,0
+k_303_nlvtpass_valid,no,k,drc,0,0
+r_630_Poly.X.1,no,r,drc,0,0
+k_304_s8rf_nshort_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_305_s8rf_nshort_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_306_s8rf_nshort_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_631_Poly.X.1,no,r,drc,0,0
+k_307_s8rf_nlowvt_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_308_s8rf_nlowvt_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_309_s8rf_nlowvt_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_632_Poly.X.1,no,r,drc,0,0
+k_310_s8rf_nlowvt_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_311_s8rf_nlowvt_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_312_s8rf_nlowvt_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_633_Poly.X.1,no,r,drc,0,0
+k_313_s8rf_nlowvt_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_314_s8rf_nlowvt_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_315_s8rf_nlowvt_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_634_Poly.X.1,no,r,drc,0,0
+k_316_s8rf_nlowvt_W3p0_L0p15_2F,no,k,drc,0,0
+k_317_s8rf_nlowvt_W3p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_318_s8rf_nlowvt_W3p0_L0p15_2F_valid,no,k,drc,0,0
+r_635_Poly.X.1,no,r,drc,0,0
+k_319_s8rf_nshort_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_320_s8rf_nshort_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_321_s8rf_nshort_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_636_Poly.X.1,no,r,drc,0,0
+k_322_s8rf_nlowvt_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_323_s8rf_nlowvt_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_324_s8rf_nlowvt_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_637_Poly.X.1,no,r,drc,0,0
+k_325_s8rf_nhv_W7p0_L0p5_M4_b,no,k,drc,0,0
+k_326_s8rf_nhv_W7p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_327_s8rf_nhv_W7p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_638_Poly.X.1,no,r,drc,0,0
+k_328_nhvesd_L_0_55,no,k,drc,0,0
+k_329_nhvesd_L_0_60,yes,k,drc,2,124
+k_330_nhvesd_L_1_00,no,k,drc,0,0
+k_331_nhvesd_valid,yes,k,drc,1,62
+r_639_Poly.X.1,no,r,drc,0,0
+k_332_s8rf_nshort_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_333_s8rf_nshort_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_334_s8rf_nshort_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_640_Poly.X.1,no,r,drc,0,0
+k_335_s8rf_nlowvt_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_336_s8rf_nlowvt_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_337_s8rf_nlowvt_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_641_Poly.X.1,no,r,drc,0,0
+k_338_s8rf_nshort_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_339_s8rf_nshort_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_340_s8rf_nshort_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_642_Poly.X.1,no,r,drc,0,0
+k_341_pvhv_L_0_66,no,k,drc,0,0
+k_342_pvhv_L_2_16,no,k,drc,0,0
+k_343_pvhv_valid,no,k,drc,0,0
+r_643_Poly.X.1,no,r,drc,0,0
+k_344_nvhv_L_0_70,no,k,drc,0,0
+k_345_nvhv_L_2_20,no,k,drc,0,0
+k_346_nvhv_valid,no,k,drc,0,0
+r_644_Poly.X.1,no,r,drc,0,0
+r_645_diff.13,no,r,drc,0,0
+r_646_diff.13,no,r,drc,0,0
+r_647_diff.13,no,r,drc,0,0
+r_648_diff.13,no,r,drc,0,0
+r_649_diff.13,no,r,drc,0,0
+r_650_diff.13,no,r,drc,0,0
+r_651_diff.13,no,r,drc,0,0
+r_652_diff.13,no,r,drc,0,0
+r_653_diff.13,no,r,drc,0,0
+r_654_diff.13,no,r,drc,0,0
+r_655_diff.13,no,r,drc,0,0
+r_656_diff.13,no,r,drc,0,0
+r_657_diff.13,no,r,drc,0,0
+r_658_diff.13,no,r,drc,0,0
+r_659_diff.13,no,r,drc,0,0
+r_660_diff.13,no,r,drc,0,0
+r_661_diff.13,no,r,drc,0,0
+r_662_diff.13,no,r,drc,0,0
+r_663_diff.13,no,r,drc,0,0
+r_664_diff.13,no,r,drc,0,0
+r_665_diff.13,no,r,drc,0,0
+r_666_diff.13,no,r,drc,0,0
+r_667_diff.13,no,r,drc,0,0
+r_668_diff.13,no,r,drc,0,0
+r_669_diff.13,no,r,drc,0,0
+r_670_diff.13,no,r,drc,0,0
+r_671_diff.13,no,r,drc,0,0
+r_672_diff.13,no,r,drc,0,0
+r_673_diff.13,no,r,drc,0,0
+r_674_diff.13,no,r,drc,0,0
+r_675_diff.13,no,r,drc,0,0
+r_676_diff.13,no,r,drc,0,0
+r_677_diff.13,no,r,drc,0,0
+r_678_diff.13,no,r,drc,0,0
+r_679_diff.13,no,r,drc,0,0
+r_680_diff.13,no,r,drc,0,0
+r_681_diff.13,no,r,drc,0,0
+r_682_diff.13,no,r,drc,0,0
+r_683_diff.13,no,r,drc,0,0
+r_684_diff.13,no,r,drc,0,0
+r_685_diff.13,no,r,drc,0,0
+r_686_diff.13,no,r,drc,0,0
+r_687_diff.13,no,r,drc,0,0
+r_688_diff.13,no,r,drc,0,0
+r_689_diff.13,no,r,drc,0,0
+r_690_diff.13,no,r,drc,0,0
+r_691_diff.13,no,r,drc,0,0
+r_692_diff.13,no,r,drc,0,0
+r_693_diff.13,no,r,drc,0,0
+r_694_diff.13,no,r,drc,0,0
+r_695_diff.13,no,r,drc,0,0
+r_696_diff.13,no,r,drc,0,0
+r_697_diff.13,no,r,drc,0,0
+r_698_diff.13,no,r,drc,0,0
+r_699_diff.13,no,r,drc,0,0
+r_700_diff.13,no,r,drc,0,0
+r_701_diff.13,no,r,drc,0,0
+r_702_diff.13,no,r,drc,0,0
+r_703_diff.13,no,r,drc,0,0
+r_704_diff.13,no,r,drc,0,0
+r_705_poly.16,no,r,drc,0,0
+r_706_npc.1,no,r,drc,0,0
+r_707_npc.2,no,r,drc,0,0
+r_708_npc.4,no,r,drc,0,0
+r_709_npc.4,no,r,drc,0,0
+r_710_npc.5,no,r,drc,0,0
+r_711_licon.2,no,r,drc,0,0
+r_712_licon.2,no,r,drc,0,0
+r_713_licon.3,no,r,drc,0,0
+r_714_licon.3,no,r,drc,0,0
+r_715_licon.3,no,r,drc,0,0
+r_716_licon.4,no,r,drc,0,0
+r_717_licon.5a,no,r,drc,0,0
+r_718_licon.5b,no,r,drc,0,0
+r_719_licon.5c,no,r,drc,0,0
+r_720_licon.6,no,r,drc,0,0
+r_721_licon.7,no,r,drc,0,0
+r_722_licon.8,no,r,drc,0,0
+r_723_licon.8a,no,r,drc,0,0
+r_724_licon.10,no,r,drc,0,0
+r_725_licon.11,no,r,drc,0,0
+r_726_licon.11,no,r,drc,0,0
+r_727_licon.11a,no,r,drc,0,0
+r_728_licon.11a,no,r,drc,0,0
+r_729_licon.11b,no,r,drc,0,0
+r_730_licon.11b,no,r,drc,0,0
+r_731_licon.11c,no,r,drc,0,0
+r_732_licon.11c,no,r,drc,0,0
+r_733_licon.11d,no,r,drc,0,0
+r_734_licon.11d,no,r,drc,0,0
+r_735_licon.1,no,r,drc,0,0
+r_736_licon.1,no,r,drc,0,0
+r_737_licon.1,no,r,drc,0,0
+r_738_licon.1b/c,no,r,drc,0,0
+r_739_licon.1b/c,no,r,drc,0,0
+r_740_licon.1b/c,no,r,drc,0,0
+r_741_licon.1b/c,no,r,drc,0,0
+r_742_licon.1b/c,no,r,drc,0,0
+r_743_licon.2b,no,r,drc,0,0
+r_744_licon.2c,no,r,drc,0,0
+r_745_licon.2d,no,r,drc,0,0
+r_746_licon.9,no,r,drc,0,0
+r_747_licon.9,no,r,drc,0,0
+r_748_licon.13,no,r,drc,0,0
+r_749_licon.13,no,r,drc,0,0
+r_750_licon.14,no,r,drc,0,0
+r_751_licon.15,no,r,drc,0,0
+r_752_licon.15,no,r,drc,0,0
+r_753_npcon.c6,no,r,drc,0,0
+r_754_npcon.c6,no,r,drc,0,0
+r_755_licon.16,no,r,drc,0,0
+r_756_licon.16,no,r,drc,0,0
+r_757_licon.17,no,r,drc,0,0
+r_758_licon.18,no,r,drc,0,0
+r_759_licon.19,no,r,drc,0,0
+r_760_licon.c1,no,r,drc,0,0
+r_761_licon.c3,no,r,drc,0,0
+r_762_licon.c4,no,r,drc,0,0
+r_763_li.1,no,r,drc,0,0
+r_764_li.1,no,r,drc,0,0
+r_765_li.c1,no,r,drc,0,0
+r_766_li.1a,no,r,drc,0,0
+r_767_li.3,no,r,drc,0,0
+r_768_li.3,no,r,drc,0,0
+r_769_li.3a,no,r,drc,0,0
+r_770_li.4,no,r,drc,0,0
+r_771_li.5,no,r,drc,0,0
+r_772_li.6,no,r,drc,0,0
+r_773_li.6,no,r,drc,0,0
+r_774_li.7,no,r,drc,0,0
+r_775_li.c1,no,r,drc,0,0
+r_776_li.c2,no,r,drc,0,0
+r_777_ct.1,no,r,drc,0,0
+r_778_ct.1,no,r,drc,0,0
+r_779_ct.1,no,r,drc,0,0
+r_780_ct.2,no,r,drc,0,0
+r_781_ct.3,no,r,drc,0,0
+r_782_ct.3,no,r,drc,0,0
+r_783_ct.3,no,r,drc,0,0
+r_784_ct.4,no,r,drc,0,0
+r_785_ct.c1,no,r,drc,0,0
+r_786_ct.c2,no,r,drc,0,0
+r_787_m1.1,no,r,drc,0,0
+r_788_m1.2,no,r,drc,0,0
+r_789_m1.3b,no,r,drc,0,0
+r_790_m1.3a,no,r,drc,0,0
+r_791_m1.4,no,r,drc,0,0
+r_792_m1.4,no,r,drc,0,0
+r_793_m1.4a,no,r,drc,0,0
+r_794_m1.4a,no,r,drc,0,0
+r_795_m1.5,no,r,drc,0,0
+r_796_m1.6,no,r,drc,0,0
+r_797_m1.7,no,r,drc,0,0
+r_798_m1.7,no,r,drc,0,0
+r_799_m1.c1,no,r,drc,0,0
+r_800_via.1a,no,r,drc,0,0
+r_801_via.1a,no,r,drc,0,0
+r_802_via.1a,no,r,drc,0,0
+r_803_via.1b,no,r,drc,0,0
+r_804_via.2,no,r,drc,0,0
+r_805_via.3,no,r,drc,0,0
+r_806_via.3,no,r,drc,0,0
+r_807_via.3,no,r,drc,0,0
+r_808_via.4a,no,r,drc,0,0
+r_809_via.4a,no,r,drc,0,0
+r_810_via.4b,no,r,drc,0,0
+r_811_via.4b,no,r,drc,0,0
+r_812_via.4c,no,r,drc,0,0
+r_813_via.4c,no,r,drc,0,0
+r_814_via.5a,no,r,drc,0,0
+r_815_via.5b,no,r,drc,0,0
+r_816_via.5c,no,r,drc,0,0
+s_8_m2.3c,no,s,drc,0,0
+r_817_m2.1,no,r,drc,0,0
+r_818_m2.2,no,r,drc,0,0
+r_819_m2.3b,no,r,drc,0,0
+r_820_m2.3a,no,r,drc,0,0
+r_821_m2.4,no,r,drc,0,0
+r_822_m2.4,no,r,drc,0,0
+r_823_m2.5,no,r,drc,0,0
+r_824_m2.6,no,r,drc,0,0
+r_825_m2.7,no,r,drc,0,0
+r_826_m2.7,no,r,drc,0,0
+r_827_m2.c4,no,r,drc,0,0
+r_828_m2.c4,no,r,drc,0,0
+r_829_varac.1,no,r,drc,0,0
+r_830_varac.2,no,r,drc,0,0
+r_831_varac.3,no,r,drc,0,0
+r_832_varac.4,no,r,drc,0,0
+r_833_varac.5,no,r,drc,0,0
+r_834_varac.6,no,r,drc,0,0
+r_835_varac.7,no,r,drc,0,0
+r_836_varac.8,no,r,drc,0,0
+r_837_photo.2,no,r,drc,0,0
+r_838_photo.3,no,r,drc,0,0
+r_839_photo.4,no,r,drc,0,0
+r_840_photo.5,no,r,drc,0,0
+r_841_photo.6,no,r,drc,0,0
+r_842_photo.7,no,r,drc,0,0
+r_843_photo.8,no,r,drc,0,0
+r_844_photo.9,no,r,drc,0,0
+r_845_photo.10,no,r,drc,0,0
+r_846_photo.11,no,r,drc,0,0
+r_847_via2.1d,no,r,drc,0,0
+r_848_via2.1a,no,r,drc,0,0
+r_849_via2.1a,no,r,drc,0,0
+r_850_via2.1a,no,r,drc,0,0
+r_851_via2.2,no,r,drc,0,0
+r_852_via2.3,no,r,drc,0,0
+r_853_via2.3,no,r,drc,0,0
+r_854_via2.3,no,r,drc,0,0
+r_855_via2.4,no,r,drc,0,0
+r_856_via2.4,no,r,drc,0,0
+r_857_via2.4a,no,r,drc,0,0
+r_858_via2.4a,no,r,drc,0,0
+r_859_via2.5,no,r,drc,0,0
+r_860_m3.1,no,r,drc,0,0
+r_861_m3.2,no,r,drc,0,0
+r_862_m3.4,no,r,drc,0,0
+r_863_m3.4,no,r,drc,0,0
+r_864_m3.6,no,r,drc,0,0
+r_865_m3.3d,no,r,drc,0,0
+r_866_m3.3c,no,r,drc,0,0
+r_867_via3.1,no,r,drc,0,0
+r_868_via3.1,no,r,drc,0,0
+r_869_via3.1,no,r,drc,0,0
+r_870_via3.1a,no,r,drc,0,0
+r_871_via3.2,no,r,drc,0,0
+r_872_via3.3,no,r,drc,0,0
+r_873_via3.3,no,r,drc,0,0
+r_874_via3.3,no,r,drc,0,0
+r_875_via3.4,no,r,drc,0,0
+r_876_via3.4,no,r,drc,0,0
+r_877_via3.5,no,r,drc,0,0
+r_878_m4.1,no,r,drc,0,0
+r_879_m4.2,no,r,drc,0,0
+r_880_m4.3,no,r,drc,0,0
+r_881_m4.3,no,r,drc,0,0
+r_882_m4.4a,no,r,drc,0,0
+r_883_m4.5b,no,r,drc,0,0
+r_884_m4.5a,no,r,drc,0,0
+r_885_via4.1,no,r,drc,0,0
+r_886_via4.1,no,r,drc,0,0
+r_887_via4.1,no,r,drc,0,0
+r_888_via4.2,no,r,drc,0,0
+r_889_via4.3,no,r,drc,0,0
+r_890_via4.3,no,r,drc,0,0
+r_891_via4.3,no,r,drc,0,0
+r_892_via4.4,no,r,drc,0,0
+r_893_via4.4,no,r,drc,0,0
+r_894_m5.1,no,r,drc,0,0
+r_895_m5.2,no,r,drc,0,0
+r_896_m5.3,no,r,drc,0,0
+r_897_m5.3,no,r,drc,0,0
+r_898_m5.4,no,r,drc,0,0
+r_899_pad.2,no,r,drc,0,0
+r_900_pad.3,no,r,drc,0,0
+r_901_denmos.1,no,r,drc,0,0
+r_902_denmos.2,no,r,drc,0,0
+r_903_denmos.3,no,r,drc,0,0
+r_904_denmos.4,no,r,drc,0,0
+r_905_denmos.5,no,r,drc,0,0
+r_906_denmos.6,no,r,drc,0,0
+r_907_denmos.7,no,r,drc,0,0
+r_908_denmos.8,no,r,drc,0,0
+r_909_denmos.10,no,r,drc,0,0
+r_910_denmos.11,no,r,drc,0,0
+r_911_denmos.12,no,r,drc,0,0
+r_912_denmos.13,no,r,drc,0,0
+r_913_denmos.13,no,r,drc,0,0
+r_915_depmos.1,no,r,drc,0,0
+r_916_depmos.2,no,r,drc,0,0
+r_917_depmos.3,no,r,drc,0,0
+r_918_depmos.4,no,r,drc,0,0
+r_919_depmos.5,no,r,drc,0,0
+r_920_depmos.6,no,r,drc,0,0
+r_921_depmos.7,no,r,drc,0,0
+r_922_depmos.8,no,r,drc,0,0
+r_923_depmos.10,no,r,drc,0,0
+r_924_depmos.11,no,r,drc,0,0
+r_925_depmos.12,no,r,drc,0,0
+r_926_depmos.12,no,r,drc,0,0
+r_927_depmos.13,no,r,drc,0,0
+r_928_extd.1,no,r,drc,0,0
+r_929_extd.2,no,r,drc,0,0
+r_930_extd.3,no,r,drc,0,0
+r_928_uhvi.1,no,r,drc,0,0
+r_929_uhvi.2,no,r,drc,0,0
+r_931_uhvi.3,no,r,drc,0,0
+r_928_uhvi.4,no,r,drc,0,0
+r_928_uhvi.5,no,r,drc,0,0
+r_928_uhvi.6,no,r,drc,0,0
+r_928_pwbm.1,no,r,drc,0,0
+r_929_pwbm.2,no,r,drc,0,0
+r_923_pwbm.3,no,r,drc,0,0
+r_923_pwbm.4,no,r,drc,0,0
+r_924_pwbm.5,no,r,drc,0,0
+r_928_pwde.1,no,r,drc,0,0
+r_929_pwde.2,no,r,drc,0,0
+r_923_pwde.3,no,r,drc,0,0
+r_923_pwde.4,no,r,drc,0,0
+r_923_pwde.5,yes,r,drc,272,1038
+r_923_pwde.6,no,r,drc,0,0
+r_923_dnwell.3a,no,r,drc,0,0
+r_923_dnwell.3d,no,r,drc,0,0
+r_924_dnwell.3b,no,r,drc,0,0
+r_924_dnwell.3c,no,r,drc,0,0
+r_923_nwell.5a,no,r,drc,0,0
+r_923_nwell.5b,no,r,drc,0,0
+r_924_difftap.26,no,r,drc,0,0
+r_396_ultv.3,no,r,drc,0,0
+r_931_pwres.1,no,r,drc,0,0
+r_932_pwres.2,no,r,drc,0,0
+r_933_pwres.2,no,r,drc,0,0
+r_934_pwres.2,no,r,drc,0,0
+r_935_pwres.2,no,r,drc,0,0
+r_936_pwres.2,no,r,drc,0,0
+r_937_pwres.5,no,r,drc,0,0
+r_938_pwres.6,no,r,drc,0,0
+r_939_pwres.6,no,r,drc,0,0
+r_940_pwres.7a,no,r,drc,0,0
+r_941_pwres.7b,no,r,drc,0,0
+r_942_pwres.8a,no,r,drc,0,0
+r_943_pwres.8b,no,r,drc,0,0
+r_944_pwres.9,no,r,drc,0,0
+r_945_pwres.11,no,r,drc,0,0
+r_946_pwres.10,no,r,drc,0,0
+r_947_rfdiode.1,no,r,drc,0,0
+r_948_rfdiode.2,no,r,drc,0,0
+r_949_rfdiode.3,no,r,drc,0,0
+r_950_nsm.1,no,r,drc,0,0
+r_951_nsm.2,no,r,drc,0,0
+r_952_nsm.3,no,r,drc,0,0
+r_953_nsm.3,no,r,drc,0,0
+r_954_nsm.3,no,r,drc,0,0
+r_955_nsm.3,no,r,drc,0,0
+r_956_nsm.3,no,r,drc,0,0
+r_957_nsm.3,no,r,drc,0,0
+r_958_nsm.3,no,r,drc,0,0
+r_959_nsm.3,no,r,drc,0,0
+r_960_nsm.3,no,r,drc,0,0
+r_961_nsm.3,no,r,drc,0,0
+r_962_nsm.3,no,r,drc,0,0
+r_963_nsm.3,no,r,drc,0,0
+r_964_nsm.3,no,r,drc,0,0
+r_965_nsm.3,no,r,drc,0,0
+r_966_nsm.3,no,r,drc,0,0
+r_967_nsm.3,no,r,drc,0,0
+r_968_nsm.3,no,r,drc,0,0
+r_969_nsm.3,no,r,drc,0,0
+r_970_nsm.3,no,r,drc,0,0
+r_971_nsm.3,no,r,drc,0,0
+r_972_nsm.3,no,r,drc,0,0
+r_973_nsm.3,no,r,drc,0,0
+r_974_nsm.3,no,r,drc,0,0
+r_975_nsm.3,no,r,drc,0,0
+r_976_nsm.3,no,r,drc,0,0
+r_977_nsm.3,no,r,drc,0,0
+r_978_nsm.3,no,r,drc,0,0
+r_979_nsm.3,no,r,drc,0,0
+r_980_nsm.3,no,r,drc,0,0
+r_981_nsm.3,no,r,drc,0,0
+r_982_nsm.3,no,r,drc,0,0
+r_983_nsm.3,no,r,drc,0,0
+r_984_nsm.3,no,r,drc,0,0
+r_985_nsm.3,no,r,drc,0,0
+r_986_nsm.3,no,r,drc,0,0
+r_987_nsm.3,no,r,drc,0,0
+r_988_nsm.3a,no,r,drc,0,0
+r_989_nsm.3a,no,r,drc,0,0
+r_990_nsm.3a,no,r,drc,0,0
+r_991_nsm.3a,no,r,drc,0,0
+r_992_nsm.3a,no,r,drc,0,0
+r_993_nsm.3a,no,r,drc,0,0
+r_994_nsm.3a,no,r,drc,0,0
+r_995_nsm.3a,no,r,drc,0,0
+r_996_nsm.3a,no,r,drc,0,0
+r_997_nsm.3a,no,r,drc,0,0
+r_998_nsm.3a,no,r,drc,0,0
+r_999_nsm.3a,no,r,drc,0,0
+r_1000_nsm.3a,no,r,drc,0,0
+r_1001_nsm.3a,no,r,drc,0,0
+r_1002_nsm.3a,no,r,drc,0,0
+r_1003_nsm.3a,no,r,drc,0,0
+r_1004_nsm.3a,no,r,drc,0,0
+r_1005_nsm.3a,no,r,drc,0,0
+r_1006_nsm.3b,no,r,drc,0,0
+r_1007_nsm.3b,no,r,drc,0,0
+r_1008_nsm.3b,no,r,drc,0,0
+r_1009_nsm.3b,no,r,drc,0,0
+r_1010_nsm.3b,no,r,drc,0,0
+r_1011_nsm.3b,no,r,drc,0,0
+r_1012_nsm.3b,no,r,drc,0,0
+r_1013_nsm.3b,no,r,drc,0,0
+r_1014_nsm.3b,no,r,drc,0,0
+r_1015_nsm.3b,no,r,drc,0,0
+r_1016_nsm.3b,no,r,drc,0,0
+r_1017_nsm.3b,no,r,drc,0,0
+r_1018_nsm.3b,no,r,drc,0,0
+r_1019_nsm.3b,no,r,drc,0,0
+r_1020_nsm.3b,no,r,drc,0,0
+r_1021_nsm.3b,no,r,drc,0,0
+r_1022_nsm.3b,no,r,drc,0,0
+r_1023_nsm.3b,no,r,drc,0,0
+r_1024_ncm.X.3,no,r,drc,0,0
+r_1025_ncm.1,no,r,drc,0,0
+r_1026_ncm.2a,no,r,drc,0,0
+r_1027_ncm.7,no,r,drc,0,0
+r_1028_ncm.8,no,r,drc,0,0
+r_1029_ncm.c8,no,r,drc,0,0
+r_1030_ncm.c9,no,r,drc,0,0
+r_1031_ncm.c9,no,r,drc,0,0
+r_1032_ncm.c10,no,r,drc,0,0
+r_1033_ldntm.c1,no,r,drc,0,0
+r_1034_ldntm.c2,no,r,drc,0,0
+r_1035_ldntm.c3,no,r,drc,0,0
+r_1036_ldntm.c4,no,r,drc,0,0
+r_1037_ldntm.c5,no,r,drc,0,0
+r_1038_ldntm.c6,no,r,drc,0,0
+r_1000_rpmNotSupport,no,r,drc,0,0
+r_1039_rpm.1a,no,r,drc,0,0
+r_1040_rpm.1b/c/d/e/f,no,r,drc,0,0
+r_1041_rpm.1g,no,r,drc,0,0
+r_1042_rpm.1h,no,r,drc,0,0
+r_1043_rpm.1i,no,r,drc,0,0
+r_1044_rpm.1j,no,r,drc,0,0
+r_1045_rpm.1k,no,r,drc,0,0
+r_1046_rpm.2,no,r,drc,0,0
+r_1047_rpm.3,no,r,drc,0,0
+r_1048_rpm.3,no,r,drc,0,0
+r_1049_rpm.4,no,r,drc,0,0
+r_1050_rpm.4,no,r,drc,0,0
+r_1051_rpm.5,no,r,drc,0,0
+r_1052_rpm.5,no,r,drc,0,0
+r_1053_rpm.6,no,r,drc,0,0
+r_1054_rpm.6,no,r,drc,0,0
+r_1055_rpm.7,no,r,drc,0,0
+r_1056_rpm.8,no,r,drc,0,0
+r_1057_rpm.9,no,r,drc,0,0
+r_1058_rpm.9,no,r,drc,0,0
+r_1059_rpm.10,no,r,drc,0,0
+r_1060_rpm.10,no,r,drc,0,0
+r_1061_hvntm.X.1,no,r,drc,0,0
+r_1062_hvntm.1,no,r,drc,0,0
+r_1063_hvntm.2,no,r,drc,0,0
+r_1064_hvntm.3,no,r,drc,0,0
+r_1065_hvntm.4,no,r,drc,0,0
+r_1066_hvntm.4,no,r,drc,0,0
+r_1067_hvntm.5,no,r,drc,0,0
+r_1068_hvntm.5,no,r,drc,0,0
+r_1069_hvntm.6a,no,r,drc,0,0
+r_1070_hvntm.6a,no,r,drc,0,0
+r_1071_hvntm.6b,no,r,drc,0,0
+r_1072_hvntm.7,no,r,drc,0,0
+r_1073_hvntm.7,no,r,drc,0,0
+r_1074_hvntm.9,no,r,drc,0,0
+r_1075_hvntm.10,no,r,drc,0,0
+r_1076_cfom_nikon,no,r,drc,0,0
+r_1077_cfom_nikon,no,r,drc,0,0
+r_1078_cdnm_nikon,no,r,drc,0,0
+r_1079_cdnm_nikon,no,r,drc,0,0
+r_1080_cnwm_nikon,no,r,drc,0,0
+r_1081_cnwm_nikon,no,r,drc,0,0
+r_1082_chvtpm_nikon,no,r,drc,0,0
+r_1083_chvtpm_nikon,no,r,drc,0,0
+r_1084_clvtnm_nikon,no,r,drc,0,0
+r_1085_clvtnm_nikon,no,r,drc,0,0
+r_1086_clvom_nikon,no,r,drc,0,0
+r_1087_clvom_nikon,no,r,drc,0,0
+r_1088_cp1m_nikon,no,r,drc,0,0
+r_1089_cp1m_nikon,no,r,drc,0,0
+r_1090_cntm_nikon,no,r,drc,0,0
+r_1091_cntm_nikon,no,r,drc,0,0
+r_1092_chvntm_nikon,no,r,drc,0,0
+r_1093_chvntm_nikon,no,r,drc,0,0
+r_1094_cldntm_nikon,no,r,drc,0,0
+r_1095_cldntm_nikon,no,r,drc,0,0
+r_1096_cnpc_nikon,no,r,drc,0,0
+r_1097_cnpc_nikon,no,r,drc,0,0
+r_1098_cnsdm_nikon,no,r,drc,0,0
+r_1099_cnsdm_nikon,no,r,drc,0,0
+r_1100_cpsdm_nikon,no,r,drc,0,0
+r_1101_cpsdm_nikon,no,r,drc,0,0
+r_1102_clicm1_nikon,no,r,drc,0,0
+r_1103_clicm1_nikon,no,r,drc,0,0
+r_1104_cli1m_nikon,no,r,drc,0,0
+r_1105_cli1m_nikon,no,r,drc,0,0
+r_1106_cctm1_nikon,no,r,drc,0,0
+r_1107_cctm1_nikon,no,r,drc,0,0
+r_1108_cmm1_nikon,no,r,drc,0,0
+r_1109_cmm1_nikon,no,r,drc,0,0
+r_1110_cviam_nikon,no,r,drc,0,0
+r_1111_cviam_nikon,no,r,drc,0,0
+r_1112_cmm2_nikon,no,r,drc,0,0
+r_1113_cmm2_nikon,no,r,drc,0,0
+r_1114_cnsm_nikon,no,r,drc,0,0
+r_1115_cnsm_nikon,no,r,drc,0,0
+r_1116_cpdm_nikon,no,r,drc,0,0
+r_1117_cpdm_nikon,no,r,drc,0,0
+r_1118_cviam2_nikon,no,r,drc,0,0
+r_1119_cviam2_nikon,no,r,drc,0,0
+r_1120_cmm3_nikon,no,r,drc,0,0
+r_1121_cmm3_nikon,no,r,drc,0,0
+r_1122_cviam3_nikon,no,r,drc,0,0
+r_1123_cviam3_nikon,no,r,drc,0,0
+r_1124_cmm4_nikon,no,r,drc,0,0
+r_1125_cmm4_nikon,no,r,drc,0,0
+r_1126_cviam4_nikon,no,r,drc,0,0
+r_1127_cviam4_nikon,no,r,drc,0,0
+r_1128_cmm5_nikon,no,r,drc,0,0
+r_1129_cmm5_nikon,no,r,drc,0,0
+r_1130_crpm_nikon,no,r,drc,0,0
+r_1131_crpm_nikon,no,r,drc,0,0
+r_1132_areaid.1,yes,r,drc,0,0
+r_1133_vpp.5,no,r,drc,0,0
+r_1134_vpp.5,no,r,drc,0,0
+r_1135_vpp.5,no,r,drc,0,0
+r_1136_vpp.5,no,r,drc,0,0
+r_1137_vpp.5a,no,r,drc,0,0
+r_1138_vpp.5b,no,r,drc,0,0
+r_1139_vpp.5c,no,r,drc,0,0
+r_1140_vpp.10,no,r,drc,0,0
+r_1141_vpp.11,no,r,drc,0,0
+r_1142_vpp.12a,no,r,drc,0,0
+r_1143_vpp.12b,no,r,drc,0,0
+r_1144_vpp.12c,no,r,drc,0,0
+r_1145_vpp.1,no,r,drc,0,0
+r_1146_vpp.1b,no,r,drc,0,0
+r_1147_vpp.1c,no,r,drc,0,0
+r_1148_vpp.1c,no,r,drc,0,0
+r_1149_vpp.1c,no,r,drc,0,0
+r_1150_vpp.3,no,r,drc,0,0
+r_1151_vpp.4,no,r,drc,0,0
+r_1152_vpp.4,no,r,drc,0,0
+r_1153_vpp.8,no,r,drc,0,0
+r_1154_vpp.9,no,r,drc,0,0
+r_1155_vhvi.vhv.5,no,r,drc,0,0
+r_1156_vhvi.1,no,r,drc,0,0
+r_1157_vhvi.2,no,r,drc,0,0
+r_1158_vhvi.3,no,r,drc,0,0
+r_1159_vhvi.4,no,r,drc,0,0
+r_1160_vhvi.5,no,r,drc,0,0
+r_1161_vhvi.6,no,r,drc,0,0
+r_1162_vhvi.7,no,r,drc,0,0
+r_1163_vhvi.8,no,r,drc,0,0
+r_1164_hv.diff.1a,no,r,drc,0,0
+r_1165_hv.diff.1b,no,r,drc,0,0
+r_1166_hv.diff.1b,no,r,drc,0,0
+r_1167_hv.diff.2,no,r,drc,0,0
+r_1168_hv.diff.3a,no,r,drc,0,0
+r_1169_hv.diff.3b,no,r,drc,0,0
+r_1170_hv.diff.3b,no,r,drc,0,0
+r_1171_hv.poly.1,no,r,drc,0,0
+r_1172_hv.poly.1,no,r,drc,0,0
+r_1173_hv.poly.1,no,r,drc,0,0
+r_1174_hv.poly.2,no,r,drc,0,0
+r_1175_hv.poly.3,no,r,drc,0,0
+r_1176_hv.poly.4,no,r,drc,0,0
+r_1177_hv.poly.6a,no,r,drc,0,0
+r_1178_hv.poly.6b,no,r,drc,0,0
+s_9_X.22,no,s,drc,0,0
+s_10_X.22,no,s,drc,0,0
+s_11_X.22,no,s,drc,0,0
+s_12_X.22,no,s,drc,0,0
+s_13_X.22,no,s,drc,0,0
+r_1179_X.22,no,r,drc,0,0
+r_1180_X.22,no,r,drc,0,0
+r_1181_X.22,no,r,drc,0,0
+r_1182_X.22,no,r,drc,0,0
+s_14_X.22,no,s,drc,0,0
+s_15_X.22,no,s,drc,0,0
+s_16_X.22,no,s,drc,0,0
+s_17_X.22,no,s,drc,0,0
+s_18_X.22,no,s,drc,0,0
+r_1183_X.22,no,r,drc,0,0
+r_1184_X.22,no,r,drc,0,0
+r_1185_X.22,no,r,drc,0,0
+r_1186_X.22,no,r,drc,0,0
+s_19_X.22,no,s,drc,0,0
+s_20_X.22,no,s,drc,0,0
+s_21_X.22,no,s,drc,0,0
+s_22_X.22,no,s,drc,0,0
+s_23_X.22,no,s,drc,0,0
+r_1187_X.22,no,r,drc,0,0
+r_1188_X.22,no,r,drc,0,0
+r_1189_X.22,yes,r,drc,1000,1000
+r_1190_X.22,no,r,drc,0,0
+s_24_X.22,no,s,drc,0,0
+s_25_X.22,no,s,drc,0,0
+s_26_X.22,yes,s,drc,0,0
+s_27_X.22,no,s,drc,0,0
+s_28_X.22,no,s,drc,0,0
+r_1191_X.22,no,r,drc,0,0
+r_1192_X.22,no,r,drc,0,0
+r_1193_X.22,yes,r,drc,2,2
+r_1194_X.22,no,r,drc,0,0
+s_29_X.22,no,s,drc,0,0
+s_30_X.22,no,s,drc,0,0
+s_31_X.22,yes,s,drc,0,0
+s_32_X.22,no,s,drc,0,0
+s_33_X.22,no,s,drc,0,0
+r_1195_X.22,no,r,drc,0,0
+r_1196_X.22,no,r,drc,0,0
+r_1197_X.22,yes,r,drc,28,33
+r_1198_X.22,no,r,drc,0,0
+s_34_X.22,no,s,drc,0,0
+s_35_X.22,no,s,drc,0,0
+s_36_X.22,yes,s,drc,0,0
+s_37_X.22,no,s,drc,0,0
+s_38_X.22,no,s,drc,0,0
+r_1199_X.22,no,r,drc,0,0
+r_1200_X.22,no,r,drc,0,0
+r_1201_X.22,yes,r,drc,31,36
+r_1202_X.22,no,r,drc,0,0
+s_39_X.22,no,s,drc,0,0
+s_40_X.22,no,s,drc,0,0
+s_41_X.22,yes,s,drc,0,0
+s_42_X.22,no,s,drc,0,0
+s_43_X.22,no,s,drc,0,0
+r_1203_X.22,no,r,drc,0,0
+r_1204_X.22,no,r,drc,0,0
+r_1205_X.22,yes,r,drc,47,52
+r_1206_X.22,no,r,drc,0,0
+r_1207_X.24,no,r,drc,0,0
+r_1208_pad.20,no,r,drc,0,0
+r_1209_pad.20,no,r,drc,0,0
+r_1210_m1.x.1,no,r,drc,0,0
+s_44_m1.x.1,no,s,drc,0,0
+r_1211_m1.x.1,no,r,drc,0,0
+s_45_m1.x.1,no,s,drc,0,0
+r_1212_m1.x.1,no,r,drc,0,0
+s_46_m1.x.1,no,s,drc,0,0
+r_1213_m1.x.1,no,r,drc,0,0
+s_47_m1.x.1,no,s,drc,0,0
+r_1214_m1.x.1,no,r,drc,0,0
+s_48_m1.x.1,no,s,drc,0,0
+r_1215_m1.x.1,no,r,drc,0,0
+s_49_m1.x.1,no,s,drc,0,0
+r_1216_m2.x.1,no,r,drc,0,0
+s_50_m2.x.1,no,s,drc,0,0
+r_1217_m2.x.1,no,r,drc,0,0
+s_51_m2.x.1,no,s,drc,0,0
+r_1218_m2.x.1,no,r,drc,0,0
+s_52_m2.x.1,no,s,drc,0,0
+r_1219_m2.x.1,no,r,drc,0,0
+s_53_m2.x.1,no,s,drc,0,0
+r_1220_m2.x.1,no,r,drc,0,0
+s_54_m2.x.1,no,s,drc,0,0
+r_1221_m2.x.1,no,r,drc,0,0
+s_55_m2.x.1,no,s,drc,0,0
+r_1222_m3.x.1,no,r,drc,0,0
+s_56_m3.x.1,no,s,drc,0,0
+r_1223_m3.x.1,no,r,drc,0,0
+s_57_m3.x.1,no,s,drc,0,0
+r_1224_m3.x.1,no,r,drc,0,0
+s_58_m3.x.1,no,s,drc,0,0
+r_1225_m3.x.1,no,r,drc,0,0
+s_59_m3.x.1,no,s,drc,0,0
+r_1226_m3.x.1,no,r,drc,0,0
+s_60_m3.x.1,no,s,drc,0,0
+r_1227_m3.x.1,no,r,drc,0,0
+s_61_m3.x.1,no,s,drc,0,0
+r_1228_m4.x.1,no,r,drc,0,0
+s_62_m4.x.1,no,s,drc,0,0
+r_1229_m4.x.1,no,r,drc,0,0
+s_63_m4.x.1,no,s,drc,0,0
+r_1230_m4.x.1,no,r,drc,0,0
+s_64_m4.x.1,no,s,drc,0,0
+r_1231_m4.x.1,no,r,drc,0,0
+s_65_m4.x.1,no,s,drc,0,0
+r_1232_m4.x.1,no,r,drc,0,0
+s_66_m4.x.1,no,s,drc,0,0
+r_1233_m4.x.1,no,r,drc,0,0
+s_67_m4.x.1,no,s,drc,0,0
+r_1234_metblk.1,no,r,drc,0,0
+r_1235_metblk.1,no,r,drc,1000,1220
+r_1236_metblk.3,no,r,drc,0,0
+r_1237_metblk.1,no,r,drc,0,0
+r_1238_metblk.1,no,r,drc,504,609
+r_1239_metblk.3,no,r,drc,0,0
+r_1240_metblk.1,no,r,drc,0,0
+r_1241_metblk.1,no,r,drc,463,512
+r_1242_metblk.3,no,r,drc,0,0
+r_1243_metblk.1,no,r,drc,0,0
+r_1244_metblk.1,no,r,drc,490,514
+r_1245_metblk.3,no,r,drc,0,0
+r_1246_metblk.1,no,r,drc,0,0
+r_1247_metblk.1,no,r,drc,473,484
+r_1248_metblk.3,no,r,drc,0,0
+r_1249_metblk.2,no,r,drc,0,0
+r_1250_metblk.2,no,r,drc,1000,1279
+r_1251_metblk.4,no,r,drc,0,0
+r_1252_metblk.6,yes,r,drc,1,1
+s_68_metblk.6,yes,s,drc,0,0
+r_1253_metblk.7,no,r,drc,0,0
+s_69_metblk.7,no,s,drc,0,0
+r_1254_metblk.7,no,r,drc,0,0
+s_70_metblk.7,no,s,drc,0,0
+r_1255_metblk.7,no,r,drc,0,0
+s_71_metblk.7,no,s,drc,0,0
+s_72_chipint.1,no,s,drc,0,0
+s_73_chipint.2,no,s,drc,0,0
+r_1256_fomdmy.1,no,r,drc,0,0
+r_1257_fomdmy_1a,no,r,drc,0,0
+r_1258_fomdmy.2,no,r,drc,0,0
+r_1259_fomdmy.4,no,r,drc,0,0
+r_1260_fomdmy.4,no,r,drc,0,0
+r_1261_fomdmy.6,no,r,drc,0,0
+r_1262_fomdmy.6,no,r,drc,0,0
+r_1263_fomdmy.7,no,r,drc,0,0
+r_1264_fomdmy.7,no,r,drc,0,0
+r_1265_fomdmy.7,no,r,drc,0,0
+r_1266_fomdmy.7,no,r,drc,0,0
+r_1267_fomdmy.8,no,r,drc,0,0
+r_1268_fomdmy.9,no,r,drc,0,0
+r_1269_fomdmy.10,no,r,drc,0,0
+r_1270_fomdmy.11,no,r,drc,0,0
+r_1271_fomdmy.12,no,r,drc,0,0
+r_1272_fomdmy.13,no,r,drc,0,0
+r_1273_rdl.1,no,r,drc,0,0
+r_1274_rdl.2,no,r,drc,0,0
+r_1275_rdl.2,no,r,drc,0,0
+r_1276_rdl.3,no,r,drc,0,0
+r_1277_rdl.4,no,r,drc,0,0
+r_1278_rdl.5,no,r,drc,0,0
+r_1279_rdl.6,no,r,drc,0,0
+r_1280_mf.1&2,no,r,drc,0,0
+r_1281_mf.1&2,no,r,drc,0,0
+r_1282_mf.1&2,no,r,drc,0,0
+r_1283_mf.1&2,no,r,drc,0,0
+r_1284_mf.1&2,no,r,drc,0,0
+r_1285_mf.3,no,r,drc,0,0
+r_1286_mf.5,no,r,drc,0,0
+r_1287_mf.22,no,r,drc,0,0
+r_1288_mf.6,no,r,drc,0,0
+r_1289_mf.6,no,r,drc,0,0
+r_1290_mf.7,no,r,drc,0,0
+r_1291_mf.7,no,r,drc,0,0
+r_1292_mf.8,no,r,drc,0,0
+r_1293_mf.8,no,r,drc,0,0
+r_1294_mf.9,no,r,drc,0,0
+r_1295_mf.9,no,r,drc,0,0
+r_1296_mf.10,no,r,drc,0,0
+r_1297_mf.10,no,r,drc,0,0
+r_1298_mf.11,no,r,drc,0,0
+r_1299_mf.11,no,r,drc,0,0
+r_1300_mf.19,no,r,drc,0,0
+r_1301_mf.19,no,r,drc,0,0
+r_1302_mf.12,no,r,drc,0,0
+r_1303_mf.4,no,r,drc,0,0
+r_1304_mf.13,no,r,drc,0,0
+r_1305_mf.14,no,r,drc,0,0
+r_1306_mf.15a,no,r,drc,0,0
+r_1307_mf.15b,no,r,drc,0,0
+r_1308_mf.18,no,r,drc,0,0
+r_1309_mf.20,no,r,drc,0,0
+r_1310_mf.24,no,r,drc,0,0
+r_1311_mf.24,no,r,drc,0,0
+r_1312_pad.1,yes,r,drc,21,63
+r_1313_pad.1,no,r,drc,0,0
+r_1314_pad.16,no,r,drc,0,0
+r_1315_pad.4/4a,no,r,drc,0,0
+r_1316_pad.5,no,r,drc,0,0
+r_1317_pad.6,no,r,drc,0,0
+r_1318_pad.7,no,r,drc,0,0
+r_1319_pad.6,no,r,drc,0,0
+r_1320_pad.7,no,r,drc,0,0
+r_1321_pad.6,yes,r,drc,0,0
+r_1322_pad.7,no,r,drc,0,0
+r_1323_pad.6,yes,r,drc,0,0
+r_1324_pad.7,no,r,drc,0,0
+r_1325_pad.10,no,r,drc,0,0
+r_1326_pad.11,no,r,drc,0,0
+r_1327_pad.11,no,r,drc,0,0
+r_1328_pad.11,no,r,drc,0,0
+r_1329_pad.11,no,r,drc,0,0
+r_1330_pad.12,no,r,drc,0,0
+r_1331_pad.13,no,r,drc,0,0
+r_1332_pad.14,no,r,drc,0,0
+r_1333_pad.15,yes,r,drc,0,0
+r_1334_pad.17,no,r,drc,0,0
+r_1335_pad.18,no,r,drc,0,0
+r_1336_pad.17,no,r,drc,0,0
+r_1337_pad.18,no,r,drc,0,0
+r_1338_pad.17,no,r,drc,0,0
+r_1339_pad.18,no,r,drc,0,0
+r_1340_pad.19,no,r,drc,0,0
+r_1341_pad.19,no,r,drc,0,0
+r_1342_pad.19,no,r,drc,0,0
+r_1343_pad.2.1,no,r,drc,0,0
+r_1344_pad.3.1,no,r,drc,0,0
+r_1345_pad.4.1,no,r,drc,0,0
+r_1346_pad.5.1,no,r,drc,0,0
+r_1347_pad.6.1,no,r,drc,0,0
+r_1348_pad.6.1,no,r,drc,0,0
+r_1349_pad.6.1a,no,r,drc,0,0
+r_1350_pad.6.1b,no,r,drc,0,0
+r_1351_pad.6.1a/b,no,r,drc,0,0
+r_1352_pad.7.1,no,r,drc,0,0
+r_1353_pad.8.1,no,r,drc,0,0
+r_1354_pad_2/3.1,no,r,drc,0,0
+r_1355_pad_2/3.1,no,r,drc,0,0
+r_1356_pad_2/3.1,no,r,drc,0,0
+r_1357_pad.1.2,no,r,drc,0,0
+r_1358_pad.2.2,no,r,drc,0,0
+r_1359_pad.2.2a,no,r,drc,0,0
+r_1360_pad.3.2,no,r,drc,0,0
+r_1361_pad.4.2,no,r,drc,0,0
+r_1362_pad.9.1,no,r,drc,0,0
+r_1363_pad_6_2,no,r,drc,0,0
+r_1364_pad_6_2,no,r,drc,0,0
+r_1365_pad_5_2_4,no,r,drc,0,0
+r_1366_pad.7.2.1,no,r,drc,0,0
+r_1367_pad.7.2.2,no,r,drc,0,0
+r_1368_pad.7.2.2,no,r,drc,0,0
+r_1369_cupad.1,no,r,drc,0,0
+r_1370_cupad.2,no,r,drc,0,0
+r_1371_cupad.2,no,r,drc,0,0
+r_1372_cupad.3,no,r,drc,0,0
+r_1373_cupad.3,no,r,drc,0,0
+r_1374_cupad.4,no,r,drc,0,0
+r_1375_cupad.4,no,r,drc,0,0
+r_1376_scribe.5,no,r,drc,0,0
+r_1377_scribe.5,no,r,drc,0,0
+r_1378_scribe.5,no,r,drc,0,0
+r_1379_scribe.5,no,r,drc,0,0
+r_1380_scribe.5,no,r,drc,0,0
+r_1381_scribe.5,no,r,drc,0,0
+r_1382_scribe.5,no,r,drc,0,0
+r_1383_scribe.5,no,r,drc,0,0
+r_1384_scribe.5,no,r,drc,0,0
+r_1385_scribe.5,no,r,drc,0,0
+r_1386_scribe.5,no,r,drc,0,0
+r_1387_scribe.5,no,r,drc,0,0
+r_1388_scribe.5,no,r,drc,0,0
+r_1389_scribe.5,no,r,drc,0,0
+r_1390_scribe.5,no,r,drc,0,0
+r_1391_scribe.5,no,r,drc,0,0
+r_1392_scribe.5,no,r,drc,0,0
+r_1393_scribe.5,no,r,drc,0,0
+r_1394_scribe.5,no,r,drc,0,0
+r_1395_scribe.5,no,r,drc,0,0
+r_1396_scribe.5,no,r,drc,0,0
+r_1397_scribe.5,no,r,drc,0,0
+r_1398_scribe.5,no,r,drc,0,0
+r_1399_scribe.5,no,r,drc,0,0
+r_1400_scribe.5,no,r,drc,0,0
+r_1401_scribe.5,no,r,drc,0,0
+r_1402_scribe.5,no,r,drc,0,0
+r_1403_scribe.5,no,r,drc,0,0
+r_1404_scribe.6a,no,r,drc,0,0
+r_1405_scribe.6d,no,r,drc,0,0
+r_1406_scribe.6e,no,r,drc,0,0
+r_1407_scribe.7,no,r,drc,0,0
+r_1408_scribe.7,no,r,drc,0,0
+r_1409_scribe.7,no,r,drc,0,0
+r_1410_scribe.7,no,r,drc,0,0
+r_1411_scribe.7,no,r,drc,0,0
+r_1412_scribe.7,no,r,drc,0,0
+r_1413_scribe.7,no,r,drc,0,0
+r_1414_scribe.7,no,r,drc,0,0
+r_1415_scribe.7,no,r,drc,0,0
+r_1416_scribe.7,no,r,drc,0,0
+r_1417_scribe.7,no,r,drc,0,0
+r_1418_scribe.7,no,r,drc,0,0
+r_1419_scribe.7,no,r,drc,0,0
+r_1420_scribe.7,no,r,drc,0,0
+r_1421_scribe.7,no,r,drc,0,0
+r_1422_scribe.7,no,r,drc,0,0
+r_1423_scribe.7,no,r,drc,0,0
+r_1424_scribe.7,no,r,drc,0,0
+r_1425_scribe.7,no,r,drc,0,0
+r_1426_scribe.7,no,r,drc,0,0
+r_1427_scribe.7,no,r,drc,0,0
+r_1428_scribe.7,no,r,drc,0,0
+r_1429_scribe.7,no,r,drc,0,0
+r_1430_scribe.7,no,r,drc,0,0
+r_1431_scribe.7,no,r,drc,0,0
+r_1432_scribe.7,no,r,drc,0,0
+r_1433_scribe.7,no,r,drc,0,0
+r_1434_scribe.7,no,r,drc,0,0
+r_1435_scribe.7,no,r,drc,0,0
+r_1436_scribe.7,no,r,drc,0,0
+r_1437_scribe.7,no,r,drc,0,0
+r_1438_scribe.7,no,r,drc,0,0
+r_1439_scribe.7,no,r,drc,0,0
+r_1440_scribe.7,no,r,drc,0,0
+r_1441_scribe.7,no,r,drc,0,0
+r_1442_scribe.7,no,r,drc,0,0
+r_1443_scribe.7,no,r,drc,0,0
+r_1444_scribe.7,no,r,drc,0,0
+r_1445_scribe.7,no,r,drc,0,0
+r_1446_scribe.7,no,r,drc,0,0
+r_1447_scribe.8,no,r,drc,0,0
+r_1448_scribe.9,no,r,drc,0,0
+r_1449_scribe.10,no,r,drc,0,0
+r_1450_scribe.10,no,r,drc,0,0
+r_1451_scribe.11,no,r,drc,0,0
+r_1452_scribe.11,no,r,drc,0,0
+r_1453_scribe.12,no,r,drc,0,0
+r_1454_scribe.13,no,r,drc,0,0
+r_1455_scribe.13,no,r,drc,0,0
+r_1456_scribe.13,no,r,drc,0,0
+r_1457_scribe.13,no,r,drc,0,0
+r_1458_scribe.13,no,r,drc,0,0
+r_1459_scribe.13,no,r,drc,0,0
+r_1460_scribe.13,no,r,drc,0,0
+r_1461_scribe.13,no,r,drc,0,0
+r_1462_scribe.13,no,r,drc,0,0
+r_1463_scribe.13,no,r,drc,0,0
+r_1464_scribe.14,no,r,drc,0,0
+r_1465_scribe.15,no,r,drc,0,0
+r_1466_scribe.16,no,r,drc,0,0
+r_1467_scribe.17,no,r,drc,0,0
+r_1468_scribe.18,no,r,drc,0,0
+r_1469_scribe.18,no,r,drc,0,0
+r_1470_scribe.19,no,r,drc,0,0
+r_1471_scribe.20,no,r,drc,0,0
+r_1472_scribe.21,no,r,drc,0,0
+r_734_capm.1,no,r,drc,0,0
+r_735_capm.2a,no,r,drc,0,0
+r_736_capm.2b,no,r,drc,0,0
+r_737_capm.2b,no,r,drc,0,0
+r_738_capm.3,no,r,drc,0,0
+r_739_capm.4,no,r,drc,0,0
+r_740_capm.5,no,r,drc,0,0
+r_741_capm.6,no,r,drc,0,0
+r_742_capm.7,no,r,drc,0,0
+r_743_capm.8,no,r,drc,0,0
+r_744_capm.8,no,r,drc,0,0
+r_745_capm.9,no,r,drc,0,0
+r_746_cap2m.1,no,r,drc,0,0
+r_747_cap2m.2a,no,r,drc,0,0
+r_748_cap2m.2b,no,r,drc,0,0
+r_749_cap2m.2b,no,r,drc,0,0
+r_750_cap2m.3,no,r,drc,0,0
+r_751_cap2m.4,no,r,drc,0,0
+r_752_cap2m.5,no,r,drc,0,0
+r_753_cap2m.6,no,r,drc,0,0
+r_754_cap2m.7,no,r,drc,0,0
+r_755_cap2m.8,no,r,drc,0,0
+r_756_cap2m.8,no,r,drc,0,0
+r_1473_chvtpm.1,no,r,drc,0,0
+r_1474_chvtpm.2a,no,r,drc,0,0
+r_1475_chvtpm.3,no,r,drc,0,0
+r_1476_chvtpm.4,no,r,drc,0,0
+r_1477_clvtnm.1,no,r,drc,0,0
+r_1478_clvtnm.2,no,r,drc,0,0
+r_1479_cntm.2,no,r,drc,0,0
+r_1480_cntm.1,no,r,drc,0,0
+r_1481_cntm.3,no,r,drc,0,0
+r_1482_cntm.3,no,r,drc,0,0
+r_1483_cntm.4a,no,r,drc,0,0
+r_1484_cntm.4a,no,r,drc,0,0
+r_1485_cntm.7,no,r,drc,0,0
+r_1486_cntm.7,no,r,drc,0,0
+r_1487_chvntm.1,no,r,drc,0,0
+r_1488_chvntm.2a,no,r,drc,0,0
+r_1489_chvntm.4,no,r,drc,0,0
+r_1490_chvntm.4,no,r,drc,0,0
+r_1491_chvntm.5,no,r,drc,0,0
+r_1492_chvntm.5,no,r,drc,0,0
+r_1493_chvntm.3,no,r,drc,0,0
+r_1494_chvntm.6a,no,r,drc,0,0
+r_1495_chvntm.6a,no,r,drc,0,0
+r_1496_chvntm.6b,no,r,drc,0,0
+r_1497_chvntm.7,no,r,drc,0,0
+r_0_lu4.5,no,r,luRes,0,0
+r_1_lu4.5,no,r,luRes,0,0
+s_0_lu4.15,no,s,luRes,0,0
+s_1_lu4.15,no,s,luRes,0,0
+r_2_luB1.14,no,r,luRes,0,0
+r_3_luB1.14,no,r,luRes,0,0
+k_0_PTAPringVictim,no,k,luRes,0,0
+k_1_PTAPringAggressor,no,k,luRes,0,0
+k_2_AgrRingCorners,no,k,luRes,0,0
+k_3_VicRingCorners,no,k,luRes,0,0
+k_4_Pad_vsub_vic_cond,no,k,luRes,0,0
+k_5_Pad_vsub_agr_cond,no,k,luRes,0,0
+r_4_subiso.18,no,r,luRes,0,0
+r_5_subiso.18,no,r,luRes,0,0
+LI1M,no,L,fill,0,0
+MM1,no,M,fill,15661,15661
+MM2,no,M,fill,9084,9084
+MM3,no,M,fill,3940,3940
+MM4,no,M,fill,3820,3820
+MM5,no,M,fill,283,283
diff --git a/signoff/cdrcpost/caravel_00010017_non_waivable.csv b/signoff/cdrcpost/caravel_00010017_non_waivable.csv
new file mode 100644
index 0000000..c75a053
--- /dev/null
+++ b/signoff/cdrcpost/caravel_00010017_non_waivable.csv
@@ -0,0 +1,89 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+k_2_met1OverCA,no,k,stress,6991,183472
+k_5_met2OverCA,no,k,stress,3279,53175
+k_8_met3OverCA,no,k,stress,1264,14045
+k_10_met4slot,no,k,stress,6,43
+k_11_met4OverCA,no,k,stress,924,35243
+k_14_met5OverCA,no,k,stress,456,21115
+r_5_stress.7,no,r,stress,20,28
+r_7_stress.7,no,r,stress,3,3
+r_20_stress.10,no,r,stress,105,166
+r_22_stress.10,no,r,stress,146,172
+r_24_stress.10,no,r,stress,30,30
+r_26_stress.10,no,r,stress,129,185
+r_37_stress.12,no,r,stress,3,3
+r_40_stress.12,no,r,stress,3,3
+r_57_slot.14_w,no,r,stress,6,43
+r_72_slot.6/slot.8,no,r,stress,12,17
+r_74_slot.11,no,r,stress,6,43
+r_76_slot.6/slot.8,no,r,stress,10,10
+r_87_slot.9/16,no,r,stress,7,12
+r_89_slot.9/16,no,r,stress,1,6
+r_91_slot.9/16,no,r,stress,27,69
+r_4_soft_majority_substrate,no,r,soft,1000,4422
+k_1_ptap_SGR,no,k,latchup,33,412
+k_2_hole_ptap_SGR,no,k,latchup,439,1533
+k_3_ntap_SGR,no,k,latchup,29,359
+k_4_hole_ntap_SGR,no,k,latchup,53,667
+k_5_inner_ptap_DGR,no,k,latchup,20,360
+k_6_inner_hole_ptap_DGR,no,k,latchup,415,1440
+k_7_PinnerToSecondReg_DGR,no,k,latchup,170,1440
+k_8_second_ntap_DGR,no,k,latchup,11,111
+k_9_inner_ntap_DGR,no,k,latchup,11,216
+k_10_inner_hole_ntap_DGR,no,k,latchup,24,369
+k_11_NinnerToSecondReg_DGR,no,k,latchup,50,1174
+k_12_second_ptap_DGR,no,k,latchup,4,39
+r_113_lu.11.3,no,r,latchup,21,63
+k_29_LU5_ioNSDOrNwellSz,no,k,latchup,104,1403
+r_156_lu.4.3.1,no,r,latchup,2,30
+r_164_lu.4.2.1,no,r,latchup,4,32
+r_167_lu.4.2.1,no,r,latchup,2,30
+r_168_lu.4.2.1,no,r,latchup,3,59
+k_42_ioNsrcDrnShrtRes,no,k,latchup,155,3428
+k_43_ioPsrcDrnShrtRes,no,k,latchup,155,3428
+k_45_poly_resNoEsd,no,k,latchup,54,735
+r_252_ar.met4.1,no,r,latchup,2,2
+r_0_X.1b,no,r,drc,16,13668
+r_1_X.1b,no,r,drc,48,48
+r_3_X.1b,no,r,drc,96,96
+r_8_X.1b,no,r,drc,324,324
+r_9_X.1b,no,r,drc,48,48
+r_10_X.1b,no,r,drc,64,13716
+r_11_X.1b,no,r,drc,88,13740
+r_12_X.1b,no,r,drc,1000,1000
+r_13_X.1b,no,r,drc,528,528
+r_14_X.1b,no,r,drc,1000,1000
+r_15_X.1b,no,r,drc,684,684
+r_16_X.1b,no,r,drc,1000,1000
+r_17_X.1b,no,r,drc,516,516
+r_19_X.1b,no,r,drc,1000,1000
+r_20_X.1b,no,r,drc,84,84
+r_21_X.1b,no,r,drc,1000,1000
+r_22_X.1b,no,r,drc,183,183
+r_23_X.1b,no,r,drc,1000,1000
+r_24_X.1b,no,r,drc,568,568
+r_138_X.3a,no,r,drc,48,48
+r_139_X.3a,no,r,drc,48,48
+r_233_X.5,no,r,drc,1,1
+r_235_X.5,no,r,drc,1,2
+r_236_X.5,no,r,drc,1,3
+r_442_difftap.10,no,r,drc,4,13656
+r_465_nsd.5b,no,r,drc,4,13656
+r_481_psd.5b,no,r,drc,4,13656
+r_498_nwell.10,no,r,drc,417,23764
+k_83_pshort_L_0_50,no,k,drc,24,72
+k_84_pshort_L_1_00,no,k,drc,220,440
+k_277_nshort_L_0_50,no,k,drc,16,48
+k_278_nshort_L_1_00,no,k,drc,54,122
+k_279_nshort_L_2_00,no,k,drc,2,27312
+r_1235_metblk.1,no,r,drc,1000,1220
+r_1238_metblk.1,no,r,drc,504,609
+r_1241_metblk.1,no,r,drc,463,512
+r_1244_metblk.1,no,r,drc,490,514
+r_1247_metblk.1,no,r,drc,473,484
+r_1250_metblk.2,no,r,drc,1000,1279
+MM1,no,M,fill,15661,15661
+MM2,no,M,fill,9084,9084
+MM3,no,M,fill,3940,3940
+MM4,no,M,fill,3820,3820
+MM5,no,M,fill,283,283
diff --git a/signoff/cdrcpost/drc.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/drc.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..4e71647
--- /dev/null
+++ b/signoff/cdrcpost/drc.caravel_00010017.drc.summary.csv
@@ -0,0 +1,1991 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+k_0_anchor,no,k,drc,0,0
+r_0_X.1b,no,r,drc,16,13668
+r_1_X.1b,no,r,drc,48,48
+r_2_X.1b,no,r,drc,0,0
+r_3_X.1b,no,r,drc,96,96
+r_4_X.1b,no,r,drc,0,0
+r_5_X.1b,no,r,drc,0,0
+r_6_X.1b,no,r,drc,0,0
+r_7_X.1b,no,r,drc,0,0
+r_8_X.1b,no,r,drc,324,324
+r_9_X.1b,no,r,drc,48,48
+r_10_X.1b,no,r,drc,64,13716
+r_11_X.1b,no,r,drc,88,13740
+r_12_X.1b,no,r,drc,1000,1000
+r_13_X.1b,no,r,drc,528,528
+r_14_X.1b,no,r,drc,1000,1000
+r_15_X.1b,no,r,drc,684,684
+r_16_X.1b,no,r,drc,1000,1000
+r_17_X.1b,no,r,drc,516,516
+r_18_X.1b,no,r,drc,0,0
+r_19_X.1b,no,r,drc,1000,1000
+r_20_X.1b,no,r,drc,84,84
+r_21_X.1b,no,r,drc,1000,1000
+r_22_X.1b,no,r,drc,183,183
+r_23_X.1b,no,r,drc,1000,1000
+r_24_X.1b,no,r,drc,568,568
+r_25_X.1b,no,r,drc,0,0
+r_26_X.1b,no,r,drc,0,0
+r_27_X.1b,no,r,drc,0,0
+r_28_X.1b,no,r,drc,0,0
+r_29_X.1b,no,r,drc,0,0
+r_30_X.1b,no,r,drc,0,0
+r_31_X.1b,no,r,drc,0,0
+r_32_X.1b,no,r,drc,0,0
+r_33_X.1b,no,r,drc,0,0
+r_34_X.1b,no,r,drc,0,0
+r_35_X.1b,no,r,drc,0,0
+r_36_X.1b,no,r,drc,0,0
+r_37_X.1b,no,r,drc,0,0
+r_38_X.1b,no,r,drc,0,0
+r_39_X.1b,no,r,drc,0,0
+r_40_X.1b,no,r,drc,0,0
+r_41_X.1b,no,r,drc,0,0
+r_42_X.1b,no,r,drc,0,0
+r_43_X.1b,no,r,drc,0,0
+r_44_X.1b,no,r,drc,0,0
+r_45_X.1b,no,r,drc,0,0
+r_46_X.1b,no,r,drc,0,0
+r_47_X.1b,no,r,drc,0,0
+r_48_X.1b,no,r,drc,0,0
+r_49_X.1b,no,r,drc,0,0
+r_50_X.1b,no,r,drc,0,0
+r_51_X.1b,no,r,drc,0,0
+r_52_X.1b,no,r,drc,0,0
+r_53_X.1b,no,r,drc,0,0
+r_54_X.1b,no,r,drc,0,0
+r_55_X.1b,no,r,drc,0,0
+r_56_X.1b,no,r,drc,0,0
+r_57_X.1b,no,r,drc,0,0
+r_58_X.1b,no,r,drc,0,0
+r_59_X.1b,no,r,drc,0,0
+r_60_X.1b,no,r,drc,0,0
+r_61_X.1b,no,r,drc,0,0
+r_62_X.1b,no,r,drc,0,0
+r_63_X.1b,no,r,drc,0,0
+r_64_X.1b,no,r,drc,0,0
+r_65_X.1b,no,r,drc,0,0
+r_66_X.1b,no,r,drc,0,0
+r_67_X.1b,no,r,drc,0,0
+r_68_X.1b,no,r,drc,0,0
+r_69_X.1b,no,r,drc,0,0
+r_70_X.1b,no,r,drc,0,0
+r_71_X.1b,no,r,drc,0,0
+r_72_X.1b,no,r,drc,0,0
+r_73_X.1b,no,r,drc,0,0
+r_74_X.1b,no,r,drc,0,0
+r_75_X.1b,no,r,drc,0,0
+r_76_X.1b,no,r,drc,0,0
+r_77_X.1b,no,r,drc,0,0
+r_78_X.1b,no,r,drc,0,0
+r_79_X.1b,no,r,drc,0,0
+r_80_X.1b,no,r,drc,0,0
+r_81_X.1b,no,r,drc,0,0
+r_82_X.1b,no,r,drc,0,0
+r_83_X.1b,no,r,drc,0,0
+r_84_X.1b,no,r,drc,0,0
+r_85_X.1b,no,r,drc,0,0
+r_86_X.1b,no,r,drc,0,0
+r_87_X.1b,no,r,drc,0,0
+r_88_X.1b,no,r,drc,0,0
+r_89_X.1b,no,r,drc,0,0
+r_90_X.1b,no,r,drc,0,0
+r_91_X.1b,no,r,drc,0,0
+r_92_X.1b,no,r,drc,0,0
+r_93_X.1b,no,r,drc,0,0
+r_94_X.1b,no,r,drc,0,0
+r_95_X.1b,no,r,drc,0,0
+r_96_X.1b,no,r,drc,0,0
+r_97_X.1b,no,r,drc,0,0
+r_98_X.1b,no,r,drc,0,0
+r_99_X.1b,no,r,drc,0,0
+r_100_X.1b,no,r,drc,0,0
+r_101_X.1b,no,r,drc,0,0
+r_102_X.1a,no,r,drc,0,0
+r_103_X.1a,no,r,drc,0,0
+r_104_X.1a,no,r,drc,0,0
+r_105_X.1a,no,r,drc,0,0
+r_106_X.1a,no,r,drc,0,0
+r_107_X.1a,no,r,drc,0,0
+r_108_X.1a,no,r,drc,0,0
+r_109_X.1a,no,r,drc,0,0
+r_110_X.1a,no,r,drc,0,0
+r_111_X.1a,no,r,drc,0,0
+r_112_X.1a,no,r,drc,0,0
+r_113_X.1a,no,r,drc,0,0
+r_114_X.1a,no,r,drc,0,0
+r_113_X.2,no,r,drc,0,0
+r_114_X.2,no,r,drc,0,0
+r_115_X.2,no,r,drc,0,0
+r_116_X.2,no,r,drc,0,0
+r_117_X.2,no,r,drc,0,0
+r_118_X.2,no,r,drc,0,0
+r_119_X.2,no,r,drc,0,0
+r_120_X.2,no,r,drc,0,0
+r_121_X.2,no,r,drc,0,0
+r_122_X.2,no,r,drc,0,0
+r_123_X.2a,no,r,drc,0,0
+r_124_X.3a,no,r,drc,0,0
+r_125_X.3a,no,r,drc,0,0
+r_126_X.3a,no,r,drc,0,0
+r_127_X.3a,no,r,drc,0,0
+r_128_X.3a,no,r,drc,0,0
+r_129_X.3a,no,r,drc,0,0
+r_130_X.3a,no,r,drc,0,0
+r_131_X.3a,no,r,drc,0,0
+r_132_X.3a,no,r,drc,0,0
+r_133_X.3a,no,r,drc,0,0
+r_134_X.3a,no,r,drc,0,0
+r_135_X.3a,no,r,drc,0,0
+r_136_X.3a,no,r,drc,0,0
+r_137_X.3a,no,r,drc,0,0
+r_138_X.3a,no,r,drc,48,48
+r_139_X.3a,no,r,drc,48,48
+r_140_X.3a,no,r,drc,0,0
+r_141_X.3a,no,r,drc,0,0
+r_142_X.3a,no,r,drc,0,0
+r_143_X.3a,no,r,drc,0,0
+r_144_X.3a,no,r,drc,0,0
+r_145_X.3a,no,r,drc,0,0
+r_146_X.3a,no,r,drc,0,0
+r_147_X.3a,no,r,drc,0,0
+r_148_X.3a,no,r,drc,0,0
+r_149_X.3a,no,r,drc,0,0
+r_150_X.3a,no,r,drc,0,0
+r_151_X.3a,no,r,drc,0,0
+r_152_X.3a,no,r,drc,0,0
+r_153_X.3a,no,r,drc,0,0
+r_154_X.3a,no,r,drc,0,0
+r_155_X.3a,no,r,drc,0,0
+r_156_X.3a,no,r,drc,0,0
+r_157_X.3a,no,r,drc,0,0
+r_158_X.3a,no,r,drc,0,0
+r_159_X.3a,no,r,drc,0,0
+r_160_X.3a,no,r,drc,0,0
+r_161_X.3a,no,r,drc,0,0
+r_162_X.3a,no,r,drc,0,0
+r_163_X.3a,no,r,drc,0,0
+r_164_X.3a,no,r,drc,0,0
+r_165_X.3a,no,r,drc,0,0
+r_166_X.3a,no,r,drc,0,0
+r_167_X.3a,no,r,drc,0,0
+r_168_X.3a,no,r,drc,0,0
+r_169_X.3a,no,r,drc,0,0
+r_170_X.3a,no,r,drc,0,0
+r_171_X.3a,no,r,drc,0,0
+r_172_X.3a,no,r,drc,0,0
+r_173_X.3a,no,r,drc,0,0
+r_174_X.3a,no,r,drc,0,0
+r_175_X.3a,no,r,drc,0,0
+r_176_X.3a,no,r,drc,0,0
+r_177_X.3a,no,r,drc,0,0
+r_178_X.3a,no,r,drc,0,0
+r_179_X.3a,no,r,drc,0,0
+r_180_X.3a,no,r,drc,0,0
+r_181_X.3a,no,r,drc,0,0
+r_182_X.3a,no,r,drc,0,0
+r_183_X.3a,no,r,drc,0,0
+r_184_X.3a,no,r,drc,0,0
+r_185_X.3a,no,r,drc,0,0
+r_186_X.3a,no,r,drc,0,0
+r_187_X.3a,no,r,drc,0,0
+r_188_X.3a,no,r,drc,0,0
+r_189_X.3a,no,r,drc,0,0
+r_190_X.3a,no,r,drc,0,0
+r_191_X.3a,no,r,drc,0,0
+r_192_X.3a,no,r,drc,0,0
+r_193_X.3a,no,r,drc,0,0
+r_194_X.3a,no,r,drc,0,0
+r_195_X.3a,no,r,drc,0,0
+r_196_X.3a,no,r,drc,0,0
+r_197_X.3a,no,r,drc,0,0
+r_198_X.3a,no,r,drc,0,0
+r_199_X.3a,no,r,drc,0,0
+r_200_X.3a,no,r,drc,0,0
+r_201_X.3a,no,r,drc,0,0
+r_202_X.3a,no,r,drc,0,0
+r_203_X.3a,no,r,drc,0,0
+r_204_X.3a,no,r,drc,0,0
+r_205_X.3a,no,r,drc,0,0
+r_206_X.3a,no,r,drc,0,0
+r_207_X.3a,no,r,drc,0,0
+r_208_X.3a,no,r,drc,0,0
+r_209_X.3a,no,r,drc,0,0
+r_210_X.3a,no,r,drc,0,0
+r_211_X.3a,no,r,drc,0,0
+r_212_X.3a,no,r,drc,0,0
+r_213_X.3a,no,r,drc,0,0
+r_214_X.3a,no,r,drc,0,0
+r_215_X.3a,no,r,drc,0,0
+r_216_X.3a,no,r,drc,0,0
+r_217_X.3a,no,r,drc,0,0
+r_218_X.3a,no,r,drc,0,0
+r_219_X.3a,no,r,drc,0,0
+r_220_X.3a,no,r,drc,0,0
+r_221_X.3a,no,r,drc,0,0
+r_222_X.3a,no,r,drc,0,0
+r_223_X.3a,no,r,drc,0,0
+r_224_X.3a,no,r,drc,0,0
+r_225_X.3a,no,r,drc,0,0
+r_226_X.3a,no,r,drc,0,0
+r_227_X.3a,no,r,drc,0,0
+r_228_X.3a,no,r,drc,0,0
+r_229_X.3a,no,r,drc,0,0
+r_230_X.3a,no,r,drc,0,0
+r_231_X.3a,no,r,drc,0,0
+r_232_X.3a,no,r,drc,0,0
+r_233_X.3a,no,r,drc,0,0
+r_234_X.3a,no,r,drc,0,0
+r_233_X.5,no,r,drc,1,1
+r_234_X.5,no,r,drc,0,0
+r_235_X.5,no,r,drc,1,2
+r_236_X.5,no,r,drc,1,3
+r_237_X.5,no,r,drc,0,0
+r_238_X.5,no,r,drc,0,0
+r_239_X.5,no,r,drc,0,0
+r_240_X.5,no,r,drc,0,0
+r_241_X.9,no,r,drc,0,0
+r_242_X.9,no,r,drc,0,0
+r_243_X.9,no,r,drc,0,0
+r_244_X.9,no,r,drc,0,0
+r_245_X.9,no,r,drc,0,0
+r_246_X.9,no,r,drc,0,0
+r_247_X.9,no,r,drc,0,0
+r_248_X.9,no,r,drc,0,0
+r_249_X.9,no,r,drc,0,0
+r_250_X.9,no,r,drc,0,0
+r_251_X.9,no,r,drc,0,0
+r_252_X.9,no,r,drc,0,0
+r_253_X.9,no,r,drc,0,0
+r_254_X.9,no,r,drc,0,0
+r_255_X.9,no,r,drc,0,0
+r_256_X.9,no,r,drc,0,0
+r_257_X.9,no,r,drc,0,0
+r_258_X.9,no,r,drc,0,0
+r_259_X.10,no,r,drc,0,0
+r_260_X.10,no,r,drc,0,0
+v_0_q0_mcon_NOTAreaidStdCellCore_added_vias,yes,v,drc,0,0
+v_1_q0_mcon_NOTAreaidStdCellCore_added_below,yes,v,drc,0,0
+v_2_q0_mcon_NOTAreaidStdCellCore_added_above,yes,v,drc,0,0
+s_0_X.18,yes,s,drc,0,0
+v_3_q0_via_NOTAreaidStdCellCore_added_vias,yes,v,drc,1317,3361
+v_4_q0_via_NOTAreaidStdCellCore_added_below,yes,v,drc,3362,3362
+v_5_q0_via_NOTAreaidStdCellCore_added_above,yes,v,drc,3362,3362
+s_1_X.18,yes,s,drc,476,1176
+v_6_q0_via2_NOTAreaidStdCellCore_added_vias,yes,v,drc,310,842
+v_7_q0_via2_NOTAreaidStdCellCore_added_below,yes,v,drc,755,755
+v_8_q0_via2_NOTAreaidStdCellCore_added_above,yes,v,drc,755,755
+s_2_X.18,yes,s,drc,84,224
+v_9_q0_via3_NOTAreaidStdCellCore_added_vias,yes,v,drc,8,8
+v_10_q0_via3_NOTAreaidStdCellCore_added_below,yes,v,drc,8,8
+v_11_q0_via3_NOTAreaidStdCellCore_added_above,yes,v,drc,8,8
+s_3_X.18,yes,s,drc,2,2
+v_12_q0_via4_NOTAreaidStdCellCore_added_vias,yes,v,drc,3,9
+v_13_q0_via4_NOTAreaidStdCellCore_added_below,yes,v,drc,3,9
+v_14_q0_via4_NOTAreaidStdCellCore_added_above,yes,v,drc,3,9
+s_4_X.18,yes,s,drc,1,3
+r_261_X.12a,no,r,drc,0,0
+r_262_X.12b,no,r,drc,0,0
+r_263_X.12a,no,r,drc,0,0
+r_264_X.12b,no,r,drc,0,0
+r_265_X.12a,no,r,drc,0,0
+r_266_X.12b,no,r,drc,0,0
+r_267_X.12a,no,r,drc,0,0
+r_268_X.12b,no,r,drc,0,0
+r_269_X.12a,no,r,drc,0,0
+r_270_X.12b,no,r,drc,0,0
+r_271_X.12a,no,r,drc,0,0
+r_272_X.12b,no,r,drc,0,0
+r_273_X.12a,no,r,drc,0,0
+r_274_X.12b,no,r,drc,0,0
+r_275_X.12a,no,r,drc,0,0
+r_276_X.12b,no,r,drc,0,0
+r_277_X.12a,no,r,drc,0,0
+r_278_X.12b,no,r,drc,0,0
+r_279_X.12a,no,r,drc,0,0
+r_280_X.12b,no,r,drc,0,0
+r_281_X.12a,no,r,drc,0,0
+r_282_X.12b,no,r,drc,0,0
+r_283_X.12a,no,r,drc,0,0
+r_284_X.12b,no,r,drc,0,0
+r_285_X.12a,no,r,drc,0,0
+r_286_X.12b,no,r,drc,0,0
+r_287_X.12a,no,r,drc,0,0
+r_288_X.12b,no,r,drc,0,0
+r_289_X.12a,no,r,drc,0,0
+r_290_X.12b,no,r,drc,0,0
+r_291_X.12a,no,r,drc,0,0
+r_292_X.12b,no,r,drc,0,0
+r_293_X.12a,no,r,drc,0,0
+r_294_X.12b,no,r,drc,0,0
+r_295_X.12a,no,r,drc,0,0
+r_296_X.12b,no,r,drc,0,0
+r_297_X.12a,no,r,drc,0,0
+r_298_X.12b,no,r,drc,0,0
+r_299_X.12a,no,r,drc,0,0
+r_300_X.12b,no,r,drc,0,0
+r_301_X.12a,no,r,drc,0,0
+r_302_X.12b,no,r,drc,0,0
+r_303_X.12a,no,r,drc,0,0
+r_304_X.12b,no,r,drc,0,0
+r_305_X.12a,no,r,drc,0,0
+r_306_X.12b,no,r,drc,0,0
+r_307_X.12a,no,r,drc,0,0
+r_308_X.12b,no,r,drc,0,0
+r_309_X.12a,no,r,drc,0,0
+r_310_X.12b,no,r,drc,0,0
+r_311_X.12a,no,r,drc,0,0
+r_312_X.12b,no,r,drc,0,0
+r_313_X.12a,no,r,drc,0,0
+r_314_X.12b,no,r,drc,0,0
+r_315_X.12a,no,r,drc,0,0
+r_316_X.12b,no,r,drc,0,0
+r_317_X.12a,no,r,drc,0,0
+r_318_X.12b,no,r,drc,0,0
+r_319_X.12a,no,r,drc,0,0
+r_320_X.12b,no,r,drc,0,0
+r_321_X.12a,no,r,drc,0,0
+r_322_X.12b,no,r,drc,0,0
+r_323_X.12d,no,r,drc,0,0
+r_324_X.12e,no,r,drc,0,0
+r_325_X.12d,no,r,drc,0,0
+r_326_X.12e,no,r,drc,0,0
+r_327_X.12d,no,r,drc,0,0
+r_328_X.12e,no,r,drc,0,0
+r_329_X.12d,no,r,drc,0,0
+r_330_X.12e,no,r,drc,0,0
+r_331_X.12e,no,r,drc,0,0
+r_326_X.18b,no,r,drc,0,0
+r_327_X.18a,no,r,drc,0,0
+r_328_X.18b,no,r,drc,0,0
+r_331_X.15a,no,r,drc,0,0
+r_332_X.15a,no,r,drc,0,0
+r_333_X.15a,no,r,drc,0,0
+r_334_X.15a,no,r,drc,0,0
+r_335_X.15a,no,r,drc,0,0
+r_336_X.15a,no,r,drc,0,0
+r_337_X.15a,no,r,drc,0,0
+r_338_X.15a,no,r,drc,0,0
+r_339_X.15a,no,r,drc,0,0
+r_340_X.15a,no,r,drc,0,0
+r_341_X.15a,no,r,drc,0,0
+r_342_X.15a,no,r,drc,0,0
+r_343_X.15a,no,r,drc,0,0
+r_344_X.15a,no,r,drc,0,0
+r_345_X.15a,no,r,drc,0,0
+r_346_X.15a,no,r,drc,0,0
+r_347_X.15a,no,r,drc,0,0
+r_348_X.15a,no,r,drc,0,0
+r_349_X.15a,no,r,drc,0,0
+r_350_X.15a,no,r,drc,0,0
+r_351_X.15a,no,r,drc,0,0
+r_352_X.15a,no,r,drc,0,0
+r_353_X.15a,no,r,drc,0,0
+r_354_X.15a,no,r,drc,0,0
+r_355_X.15a,no,r,drc,0,0
+r_356_X.15a,no,r,drc,0,0
+r_357_X.15a,no,r,drc,0,0
+r_358_X.15a,no,r,drc,0,0
+r_359_X.15a,no,r,drc,0,0
+r_360_X.15a,no,r,drc,0,0
+r_361_X.15a,no,r,drc,0,0
+r_362_X.15a,no,r,drc,0,0
+r_363_X.15a,no,r,drc,0,0
+r_364_X.15a,no,r,drc,0,0
+r_365_X.15a,no,r,drc,0,0
+r_366_X.15a,no,r,drc,0,0
+r_367_X.15a,no,r,drc,0,0
+r_368_X.15a,no,r,drc,0,0
+r_369_X.15a,no,r,drc,0,0
+r_370_X.15a,no,r,drc,0,0
+r_371_X.15a,no,r,drc,0,0
+r_372_X.15a,no,r,drc,0,0
+r_373_X.15a,no,r,drc,0,0
+r_374_X.15a,no,r,drc,0,0
+r_375_X.15a,no,r,drc,0,0
+r_376_X.15a,no,r,drc,0,0
+r_377_X.15a,no,r,drc,0,0
+r_378_X.16,no,r,drc,0,0
+r_379_X.19,no,r,drc,0,0
+r_380_X.21,no,r,drc,0,0
+r_381_X.23b,no,r,drc,0,0
+r_382_X.23c,no,r,drc,0,0
+r_383_X.23c,no,r,drc,0,0
+r_384_X.23c,no,r,drc,0,0
+r_385_X.23c,no,r,drc,0,0
+r_386_X.23c,no,r,drc,0,0
+r_387_X.23c,no,r,drc,0,0
+r_388_X.23c,no,r,drc,0,0
+r_389_X.23c,no,r,drc,0,0
+r_390_X.26,no,r,drc,0,0
+s_5_X.23f,no,s,drc,0,0
+r_391_X.25,no,r,drc,0,0
+s_6_X.27,yes,s,drc,0,0
+s_7_X.27,no,s,drc,0,0
+r_392_X.28,no,r,drc,0,0
+r_393_dnwell.2,no,r,drc,0,0
+r_394_dnwell.3,no,r,drc,0,0
+r_395_dnwell.4,no,r,drc,0,0
+r_396_dnwell.5,no,r,drc,0,0
+r_397_dnwell.7,no,r,drc,0,0
+r_398_nwell.1,no,r,drc,0,0
+r_399_nwell.2a,no,r,drc,0,0
+r_400_nwell.4,no,r,drc,0,0
+r_401_nwell.5,no,r,drc,0,0
+r_402_nwell.5,no,r,drc,0,0
+r_403_nwell.6,no,r,drc,0,0
+r_404_nwell.7,no,r,drc,0,0
+r_405_hvtp.1,no,r,drc,0,0
+r_406_hvtp.2,no,r,drc,0,0
+r_407_hvtp.3,no,r,drc,0,0
+r_408_hvtp.4,no,r,drc,0,0
+r_409_hvtp.5,no,r,drc,0,0
+r_410_hvtp.6,no,r,drc,0,0
+r_411_hvtp.c1,no,r,drc,0,0
+r_412_lvtn.1a,no,r,drc,0,0
+r_413_lvtn.2,no,r,drc,0,0
+r_414_lvtn.3a,no,r,drc,0,0
+r_415_lvtn.3b,no,r,drc,0,0
+r_416_lvtn.4b,no,r,drc,0,0
+r_417_lvtn.9,no,r,drc,0,0
+r_418_lvtn.9,no,r,drc,0,0
+r_419_lvtn.10,no,r,drc,0,0
+r_420_lvtn.12,no,r,drc,0,0
+r_421_lvtn.13,no,r,drc,0,0
+r_422_lvtn.14,no,r,drc,0,0
+r_423_hvtr.1,no,r,drc,0,0
+r_424_hvtr.2,no,r,drc,0,0
+r_425_hvtr.2,no,r,drc,0,0
+r_426_hvtr.3,no,r,drc,0,0
+r_427_difftap.1,no,r,drc,0,0
+r_428_difftap.1,no,r,drc,0,0
+r_429_difftap.c1,no,r,drc,0,0
+r_430_difftap.1,no,r,drc,0,0
+r_431_difftap.1,no,r,drc,0,0
+r_432_difftap.c1,no,r,drc,0,0
+r_433_difftap.2,no,r,drc,0,0
+r_434_difftap.2b,no,r,drc,0,0
+r_435_difftap.3,no,r,drc,0,0
+r_436_difftap.4,no,r,drc,0,0
+r_437_difftap.5,no,r,drc,0,0
+r_438_difftap.6,no,r,drc,0,0
+r_439_difftap.7,no,r,drc,0,0
+r_440_difftap.8,no,r,drc,0,0
+r_441_difftap.9,no,r,drc,0,0
+r_442_difftap.10,no,r,drc,4,13656
+r_443_difftap.11,no,r,drc,0,0
+r_444_difftap.c1,no,r,drc,0,0
+r_445_difftap.c5,no,r,drc,0,0
+r_446_difftap.c8,no,r,drc,0,0
+r_447_difftap.c10,no,r,drc,0,0
+r_448_difftap.c12,no,r,drc,0,0
+r_449_difftap.c13,no,r,drc,0,0
+r_450_difftap.c14,no,r,drc,0,0
+r_451_tunm.1,no,r,drc,0,0
+r_452_tunm.2,no,r,drc,0,0
+r_453_tunm.3,no,r,drc,0,0
+r_454_tunm.4,no,r,drc,0,0
+r_455_tunm.5,no,r,drc,0,0
+r_456_tunm.6a,no,r,drc,0,0
+r_457_tunm.7,no,r,drc,0,0
+r_458_tunm.8,no,r,drc,0,0
+r_459_nsd.1,no,r,drc,0,0
+r_460_nsd.1,no,r,drc,0,0
+r_461_nsd.c1b,no,r,drc,0,0
+r_462_nsd.2,no,r,drc,0,0
+r_463_nsd.2,no,r,drc,0,0
+r_464_nsd.5a,no,r,drc,0,0
+r_465_nsd.5b,no,r,drc,4,13656
+r_466_nsd.7,no,r,drc,0,0
+r_467_nsd.8,no,r,drc,0,0
+r_468_nsd.9,no,r,drc,0,0
+r_469_nsd.10a,no,r,drc,0,0
+r_470_nsd.11,no,r,drc,0,0
+r_471_nsd.c1a,no,r,drc,0,0
+r_472_nsd.c2a,no,r,drc,0,0
+r_473_nsd.c2b,no,r,drc,0,0
+r_474_nsd.c5a,no,r,drc,0,0
+r_475_psd.1,no,r,drc,0,0
+r_476_psd.1,no,r,drc,0,0
+r_477_psd.c1b,no,r,drc,0,0
+r_478_psd.2,no,r,drc,0,0
+r_479_psd.2,no,r,drc,0,0
+r_480_psd.5a,no,r,drc,0,0
+r_481_psd.5b,no,r,drc,4,13656
+r_482_psd.7,no,r,drc,0,0
+r_483_psd.8,no,r,drc,0,0
+r_484_psd.9,no,r,drc,0,0
+r_485_psd.10b,no,r,drc,0,0
+r_486_psd.11,no,r,drc,0,0
+r_487_psd.c1a,no,r,drc,0,0
+r_488_psd.c2a,no,r,drc,0,0
+r_489_psd.c2b,no,r,drc,0,0
+r_490_psd.c5b,no,r,drc,0,0
+r_491_hvi.1,no,r,drc,0,0
+r_492_hvi.2a,no,r,drc,0,0
+r_493_hvi.4,no,r,drc,0,0
+r_494_hvi.5,no,r,drc,0,0
+r_495_nwell.8,no,r,drc,0,0
+r_496_hv.nwell.1,no,r,drc,0,0
+r_497_nwell.9,no,r,drc,0,0
+r_498_nwell.10,no,r,drc,417,23764
+r_499_difftap.14,no,r,drc,0,0
+r_500_difftap.14a,no,r,drc,0,0
+r_501_difftap.15a,no,r,drc,0,0
+r_502_difftap.15b,no,r,drc,0,0
+r_503_difftap.16,no,r,drc,0,0
+r_504_difftap.16,no,r,drc,0,0
+r_505_difftap.17,no,r,drc,0,0
+r_506_difftap.18,no,r,drc,0,0
+r_507_difftap.19,no,r,drc,0,0
+r_508_difftap.20,no,r,drc,0,0
+r_509_difftap.21,no,r,drc,0,0
+r_510_difftap.22,no,r,drc,0,0
+r_511_difftap.23,no,r,drc,0,0
+r_512_difftap.24,no,r,drc,0,0
+r_513_difftap.c11,no,r,drc,0,0
+r_514_poly.13,no,r,drc,0,0
+r_515_poly.14,no,r,drc,0,0
+r_516_poly.1a,no,r,drc,0,0
+r_517_poly.1b,no,r,drc,0,0
+r_518_poly.2,no,r,drc,0,0
+r_519_poly.2,no,r,drc,0,0
+r_520_poly.c3,no,r,drc,0,0
+r_521_poly.c2,no,r,drc,0,0
+r_522_poly.3,no,r,drc,0,0
+r_523_poly.4,no,r,drc,0,0
+r_524_poly.5,no,r,drc,0,0
+r_525_poly.6,no,r,drc,0,0
+r_526_poly.7,no,r,drc,0,0
+r_527_poly.8,no,r,drc,0,0
+r_528_poly.9,no,r,drc,0,0
+r_529_poly.9,no,r,drc,0,0
+r_530_poly.9,no,r,drc,0,0
+r_531_poly.10,no,r,drc,0,0
+r_532_poly.11,no,r,drc,0,0
+r_533_poly.12,no,r,drc,0,0
+r_534_poly.15,no,r,drc,0,0
+r_535_poly.c1,no,r,drc,0,0
+r_536_poly.c1,no,r,drc,0,0
+k_1_rfGate,no,k,drc,0,0
+r_537_dnwell.6,no,r,drc,0,0
+r_538_poly.X.1a,no,r,drc,0,0
+r_539_poly.X.1a,no,r,drc,0,0
+r_540_poly.X.1a,no,r,drc,0,0
+r_541_poly.X.1a,no,r,drc,0,0
+r_542_poly.X.1a,no,r,drc,0,0
+r_543_POLY.X.1,no,r,drc,0,0
+r_544_POLY.X.1,no,r,drc,0,0
+r_545_POLY.X.1,no,r,drc,0,0
+k_2_s8rf_pmedlvt_W0p84_L0p15_2F,no,k,drc,0,0
+k_3_s8rf_pmedlvt_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_4_s8rf_pmedlvt_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_546_Poly.X.1,no,r,drc,0,0
+k_5_s8rf_pshort_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_6_s8rf_pshort_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_7_s8rf_pshort_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_547_Poly.X.1,no,r,drc,0,0
+k_8_s8rf_pshort_W5p0_L0p15_2F,no,k,drc,0,0
+k_9_s8rf_pshort_W5p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_10_s8rf_pshort_W5p0_L0p15_2F_valid,no,k,drc,0,0
+r_548_Poly.X.1,no,r,drc,0,0
+k_11_s8rf_pshort_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_12_s8rf_pshort_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_13_s8rf_pshort_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_549_Poly.X.1,no,r,drc,0,0
+k_14_phvesd_L_0_55,yes,k,drc,0,0
+k_15_phvesd_valid,yes,k,drc,0,0
+r_550_Poly.X.1,no,r,drc,0,0
+k_16_s8rf_pshort_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_17_s8rf_pshort_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_18_s8rf_pshort_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_551_Poly.X.1,no,r,drc,0,0
+k_19_s8rf_pshort_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_20_s8rf_pshort_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_21_s8rf_pshort_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_552_Poly.X.1,no,r,drc,0,0
+k_22_s8rf_pshort_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_23_s8rf_pshort_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_24_s8rf_pshort_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_553_Poly.X.1,no,r,drc,0,0
+k_25_plowvt_L_0_35,no,k,drc,0,0
+k_26_plowvt_L_0_50,no,k,drc,0,0
+k_27_plowvt_L_1_00,no,k,drc,0,0
+k_28_plowvt_L_1_50,no,k,drc,0,0
+k_29_plowvt_L_2_00,no,k,drc,0,0
+k_30_plowvt_L_4_00,no,k,drc,0,0
+k_31_plowvt_L_8_00,no,k,drc,0,0
+k_32_plowvt_L_20_0,no,k,drc,0,0
+k_33_plowvt_valid,no,k,drc,0,0
+r_554_Poly.X.1,no,r,drc,0,0
+k_34_s8rf_pshort_W0p84_L0p15_2F,no,k,drc,0,0
+k_35_s8rf_pshort_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_36_s8rf_pshort_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_555_Poly.X.1,no,r,drc,0,0
+k_37_s8rf_pshort_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_38_s8rf_pshort_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_39_s8rf_pshort_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_556_Poly.X.1,no,r,drc,0,0
+k_40_phv_L_0_50,yes,k,drc,390,14348
+k_41_phv_L_0_60,yes,k,drc,205,24378
+k_42_phv_L_0_80,yes,k,drc,16,758
+k_43_phv_L_1_00,yes,k,drc,24,644
+k_44_phv_L_2_00,yes,k,drc,6,290
+k_45_phv_L_4_00,yes,k,drc,30,430
+k_46_phv_L_8_00,yes,k,drc,4,116
+k_47_phv_L_20_0,no,k,drc,0,0
+k_48_phv_valid,yes,k,drc,338,20482
+r_557_Poly.X.1,yes,r,drc,0,0
+k_49_s8rf_pshort_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_50_s8rf_pshort_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_51_s8rf_pshort_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_558_Poly.X.1,no,r,drc,0,0
+k_52_s8rf_pshort_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_53_s8rf_pshort_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_54_s8rf_pshort_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_559_Poly.X.1,no,r,drc,0,0
+k_55_s8rf_pshort_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_56_s8rf_pshort_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_57_s8rf_pshort_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_560_Poly.X.1,no,r,drc,0,0
+k_58_s8rf_pshort_W3p0_L0p15_2F,no,k,drc,0,0
+k_59_s8rf_pshort_W3p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_60_s8rf_pshort_W3p0_L0p15_2F_valid,no,k,drc,0,0
+r_561_Poly.X.1,no,r,drc,0,0
+k_61_s8rf_pshort_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_62_s8rf_pshort_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_63_s8rf_pshort_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_562_Poly.X.1,no,r,drc,0,0
+k_64_s8rf_pshort_W1p68_L0p15_4F,no,k,drc,0,0
+k_65_s8rf_pshort_W1p68_L0p15_4F_L_0_15,no,k,drc,0,0
+k_66_s8rf_pshort_W1p68_L0p15_4F_valid,no,k,drc,0,0
+r_563_Poly.X.1,no,r,drc,0,0
+k_67_s8rf_pshort_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_68_s8rf_pshort_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_69_s8rf_pshort_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_564_Poly.X.1,no,r,drc,0,0
+k_70_s8rf_pshort_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_71_s8rf_pshort_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_72_s8rf_pshort_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_565_Poly.X.1,no,r,drc,0,0
+k_73_s8rf_pshort_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_74_s8rf_pshort_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_75_s8rf_pshort_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_566_Poly.X.1,no,r,drc,0,0
+k_76_s8rf_pshort_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_77_s8rf_pshort_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_78_s8rf_pshort_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_567_Poly.X.1,no,r,drc,0,0
+k_79_pshort_L_0_15,yes,k,drc,0,0
+k_80_pshort_L_0_17,no,k,drc,0,0
+k_81_pshort_L_0_18,yes,k,drc,20,320
+k_82_pshort_L_0_25,yes,k,drc,26,1450
+k_83_pshort_L_0_50,no,k,drc,24,72
+k_84_pshort_L_1_00,no,k,drc,220,440
+k_85_pshort_L_2_00,no,k,drc,0,0
+k_86_pshort_L_4_00,no,k,drc,0,0
+k_87_pshort_L_8_00,no,k,drc,0,0
+k_88_pshort_L_20_0,no,k,drc,0,0
+k_89_pshort_valid,yes,k,drc,145,1141
+r_568_Poly.X.1,no,r,drc,0,0
+k_90_ppu_L_0_15,yes,k,drc,0,0
+k_91_ppu_valid,yes,k,drc,0,0
+r_569_Poly.X.1,no,r,drc,0,0
+k_92_s8rf_pshort_W1p68_L0p15_2F,no,k,drc,0,0
+k_93_s8rf_pshort_W1p68_L0p15_2F_L_0_15,no,k,drc,0,0
+k_94_s8rf_pshort_W1p68_L0p15_2F_valid,no,k,drc,0,0
+r_570_Poly.X.1,no,r,drc,0,0
+k_95_s8rf_pshort_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_96_s8rf_pshort_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_97_s8rf_pshort_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_571_Poly.X.1,no,r,drc,0,0
+k_98_s8rf_pmedlvt_W1p68_L0p15_4F,no,k,drc,0,0
+k_99_s8rf_pmedlvt_W1p68_L0p15_4F_L_0_15,no,k,drc,0,0
+k_100_s8rf_pmedlvt_W1p68_L0p15_4F_valid,no,k,drc,0,0
+r_572_Poly.X.1,no,r,drc,0,0
+k_101_s8rf_pshort_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_102_s8rf_pshort_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_103_s8rf_pshort_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_573_Poly.X.1,no,r,drc,0,0
+k_104_s8rf_pshort_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_105_s8rf_pshort_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_106_s8rf_pshort_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_574_Poly.X.1,no,r,drc,0,0
+k_107_phighvt_L_0_15,yes,k,drc,20,2090
+k_108_phighvt_L_0_18,no,k,drc,0,0
+k_109_phighvt_L_0_25,yes,k,drc,30,1392
+k_110_phighvt_L_0_50,no,k,drc,0,0
+k_111_phighvt_L_1_00,no,k,drc,0,0
+k_112_phighvt_L_2_00,no,k,drc,0,0
+k_113_phighvt_L_4_00,no,k,drc,0,0
+k_114_phighvt_L_8_00,no,k,drc,0,0
+k_115_phighvt_L_20_0,no,k,drc,0,0
+k_116_phighvt_valid,yes,k,drc,25,1741
+r_575_Poly.X.1,yes,r,drc,0,0
+k_117_s8rf_pshort_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_118_s8rf_pshort_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_119_s8rf_pshort_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_576_Poly.X.1,no,r,drc,0,0
+k_120_s8rf_pmedlvt_W1p68_L0p15_2F,no,k,drc,0,0
+k_121_s8rf_pmedlvt_W1p68_L0p15_2F_L_0_15,no,k,drc,0,0
+k_122_s8rf_pmedlvt_W1p68_L0p15_2F_valid,no,k,drc,0,0
+r_577_Poly.X.1,no,r,drc,0,0
+k_123_s8rf_nlowvt_W0p42_L0p15_2F,no,k,drc,0,0
+k_124_s8rf_nlowvt_W0p42_L0p15_2F_L_0_15,no,k,drc,0,0
+k_125_s8rf_nlowvt_W0p42_L0p15_2F_valid,no,k,drc,0,0
+r_578_Poly.X.1,no,r,drc,0,0
+k_126_s8rf_nlowvt_W0p84_L0p15_2F,no,k,drc,0,0
+k_127_s8rf_nlowvt_W0p84_L0p15_2F_L_0_15,no,k,drc,0,0
+k_128_s8rf_nlowvt_W0p84_L0p15_2F_valid,no,k,drc,0,0
+r_579_Poly.X.1,no,r,drc,0,0
+k_129_s8rf_nhv_W7p0_L0p5_M10_b,no,k,drc,0,0
+k_130_s8rf_nhv_W7p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_131_s8rf_nhv_W7p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_580_Poly.X.1,no,r,drc,0,0
+k_132_nhvnative_L_0_90,yes,k,drc,152,7432
+k_133_nhvnative_L_1_00,no,k,drc,0,0
+k_134_nhvnative_L_2_00,no,k,drc,0,0
+k_135_nhvnative_L_4_00,no,k,drc,0,0
+k_136_nhvnative_L_8_00,no,k,drc,0,0
+k_137_nhvnative_L_25_00,no,k,drc,0,0
+k_138_nhvnative_valid,yes,k,drc,76,3716
+r_581_Poly.X.1,no,r,drc,0,0
+k_139_s8rf_nshort_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_140_s8rf_nshort_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_141_s8rf_nshort_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_582_Poly.X.1,no,r,drc,0,0
+k_142_npass_L_0_15,no,k,drc,0,0
+k_143_npass_valid,no,k,drc,0,0
+r_583_Poly.X.1,no,r,drc,0,0
+k_144_s8rf_nlowvt_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_145_s8rf_nlowvt_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_146_s8rf_nlowvt_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_584_Poly.X.1,no,r,drc,0,0
+k_147_s8rf_nhv_W3p0_L0p5_M10_b,no,k,drc,0,0
+k_148_s8rf_nhv_W3p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_149_s8rf_nhv_W3p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_585_Poly.X.1,no,r,drc,0,0
+k_150_sonos_p_L_0_22,no,k,drc,0,0
+k_151_sonos_p_L_0_50,no,k,drc,0,0
+k_152_sonos_p_valid,no,k,drc,0,0
+r_586_Poly.X.1,no,r,drc,0,0
+k_153_nhvnativeesd_L_0_90,no,k,drc,0,0
+k_154_nhvnativeesd_L_2_00,no,k,drc,0,0
+k_155_nhvnativeesd_L_4_00,no,k,drc,0,0
+k_156_nhvnativeesd_valid,no,k,drc,0,0
+r_587_Poly.X.1,no,r,drc,0,0
+k_157_npd_L_0_15,yes,k,drc,0,0
+k_158_npd_valid,yes,k,drc,0,0
+r_588_Poly.X.1,no,r,drc,0,0
+k_159_ntvnative_L_0_50,no,k,drc,0,0
+k_160_ntvnative_L_0_60,no,k,drc,0,0
+k_161_ntvnative_L_0_80,no,k,drc,0,0
+k_162_ntvnative_valid,no,k,drc,0,0
+r_589_Poly.X.1,no,r,drc,0,0
+k_163_s8rf_nhv_W5p0_L0p5_M4_b,no,k,drc,0,0
+k_164_s8rf_nhv_W5p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_165_s8rf_nhv_W5p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_590_Poly.X.1,no,r,drc,0,0
+k_166_s8rf_nshort_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_167_s8rf_nshort_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_168_s8rf_nshort_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_591_Poly.X.1,no,r,drc,0,0
+k_169_s8rf_nlowvt_W3p0_L0p15_4F,no,k,drc,0,0
+k_170_s8rf_nlowvt_W3p0_L0p15_4F_L_0_15,no,k,drc,0,0
+k_171_s8rf_nlowvt_W3p0_L0p15_4F_valid,no,k,drc,0,0
+r_592_Poly.X.1,no,r,drc,0,0
+k_172_fnpass_L_0_15,no,k,drc,0,0
+k_173_fnpass_valid,no,k,drc,0,0
+r_593_Poly.X.1,no,r,drc,0,0
+k_174_s8rf_nlowvt_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_175_s8rf_nlowvt_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_176_s8rf_nlowvt_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_594_Poly.X.1,no,r,drc,0,0
+k_177_s8rf_nlowvt_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_178_s8rf_nlowvt_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_179_s8rf_nlowvt_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_595_Poly.X.1,no,r,drc,0,0
+k_180_s8rf_nlowvt_W3p0_L0p15_8F,no,k,drc,0,0
+k_181_s8rf_nlowvt_W3p0_L0p15_8F_L_0_15,no,k,drc,0,0
+k_182_s8rf_nlowvt_W3p0_L0p15_8F_valid,no,k,drc,0,0
+r_596_Poly.X.1,no,r,drc,0,0
+k_183_s8rf_nlowvt_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_184_s8rf_nlowvt_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_185_s8rf_nlowvt_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_597_Poly.X.1,no,r,drc,0,0
+k_186_s8rf_nlowvt_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_187_s8rf_nlowvt_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_188_s8rf_nlowvt_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_598_Poly.X.1,no,r,drc,0,0
+k_189_s8rf_nlowvt_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_190_s8rf_nlowvt_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_191_s8rf_nlowvt_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_599_Poly.X.1,no,r,drc,0,0
+k_192_s8rf_nlowvt_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_193_s8rf_nlowvt_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_194_s8rf_nlowvt_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_600_Poly.X.1,no,r,drc,0,0
+k_195_s8rf_nlowvt_W0p84_L0p15_4F,no,k,drc,0,0
+k_196_s8rf_nlowvt_W0p84_L0p15_4F_L_0_15,no,k,drc,0,0
+k_197_s8rf_nlowvt_W0p84_L0p15_4F_valid,no,k,drc,0,0
+r_601_Poly.X.1,no,r,drc,0,0
+k_198_s8rf_nshort_W3p0_L0p18_M4_b,no,k,drc,0,0
+k_199_s8rf_nshort_W3p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_200_s8rf_nshort_W3p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_602_Poly.X.1,no,r,drc,0,0
+k_201_s8rf_nshort_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_202_s8rf_nshort_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_203_s8rf_nshort_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_603_Poly.X.1,no,r,drc,0,0
+k_204_s8rf_nshort_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_205_s8rf_nshort_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_206_s8rf_nshort_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_604_Poly.X.1,no,r,drc,0,0
+k_207_nlowvt_L_0_15,yes,k,drc,156,7424
+k_208_nlowvt_L_0_18,no,k,drc,0,0
+k_209_nlowvt_L_0_25,no,k,drc,0,0
+k_210_nlowvt_L_0_50,no,k,drc,0,0
+k_211_nlowvt_L_1_00,no,k,drc,0,0
+k_212_nlowvt_L_2_00,no,k,drc,0,0
+k_213_nlowvt_L_4_00,no,k,drc,0,0
+k_214_nlowvt_L_6_00,no,k,drc,0,0
+k_215_nlowvt_L_8_00,no,k,drc,0,0
+k_216_nlowvt_valid,yes,k,drc,78,3712
+r_605_Poly.X.1,no,r,drc,0,0
+k_217_s8rf_nshort_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_218_s8rf_nshort_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_219_s8rf_nshort_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_606_Poly.X.1,no,r,drc,0,0
+k_220_sonos_e_L_0_22,no,k,drc,0,0
+k_221_sonos_e_L_0_50,no,k,drc,0,0
+k_222_sonos_e_valid,no,k,drc,0,0
+r_607_Poly.X.1,no,r,drc,0,0
+k_223_s8rf_nshort_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_224_s8rf_nshort_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_225_s8rf_nshort_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_608_Poly.X.1,no,r,drc,0,0
+k_226_s8rf_nhv_W3p0_L0p5_M4_b,no,k,drc,0,0
+k_227_s8rf_nhv_W3p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_228_s8rf_nhv_W3p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_609_Poly.X.1,no,r,drc,0,0
+k_229_s8rf_nlowvt_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_230_s8rf_nlowvt_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_231_s8rf_nlowvt_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_610_Poly.X.1,no,r,drc,0,0
+k_232_s8rf_nshort_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_233_s8rf_nshort_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_234_s8rf_nshort_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_611_Poly.X.1,no,r,drc,0,0
+k_235_s8rf_nshort_W3p0_L0p15_M2_b,no,k,drc,0,0
+k_236_s8rf_nshort_W3p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_237_s8rf_nshort_W3p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_612_Poly.X.1,no,r,drc,0,0
+k_238_nhv_L_0_50,yes,k,drc,428,18512
+k_239_nhv_L_0_60,yes,k,drc,354,20278
+k_240_nhv_L_0_80,yes,k,drc,58,1816
+k_241_nhv_L_1_00,yes,k,drc,56,1748
+k_242_nhv_L_2_00,no,k,drc,0,0
+k_243_nhv_L_4_00,yes,k,drc,38,520
+k_244_nhv_L_8_00,yes,k,drc,4,328
+k_245_nhv_L_20_0,no,k,drc,0,0
+k_246_nhv_valid,yes,k,drc,471,21601
+r_613_Poly.X.1,yes,r,drc,0,0
+k_247_s8rf_nshort_W5p0_L0p15_M2_b,no,k,drc,0,0
+k_248_s8rf_nshort_W5p0_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_249_s8rf_nshort_W5p0_L0p15_M2_b_valid,no,k,drc,0,0
+r_614_Poly.X.1,no,r,drc,0,0
+k_250_s8rf_nhv_W5p0_L0p5_M2_b,no,k,drc,0,0
+k_251_s8rf_nhv_W5p0_L0p5_M2_b_L_0_50,no,k,drc,0,0
+k_252_s8rf_nhv_W5p0_L0p5_M2_b_valid,no,k,drc,0,0
+r_615_Poly.X.1,no,r,drc,0,0
+k_253_s8rf_nlowvt_W0p84_L0p15_8F,no,k,drc,0,0
+k_254_s8rf_nlowvt_W0p84_L0p15_8F_L_0_15,no,k,drc,0,0
+k_255_s8rf_nlowvt_W0p84_L0p15_8F_valid,no,k,drc,0,0
+r_616_Poly.X.1,no,r,drc,0,0
+k_256_s8rf_nshort_W1p65_L0p15_M4_b,no,k,drc,0,0
+k_257_s8rf_nshort_W1p65_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_258_s8rf_nshort_W1p65_L0p15_M4_b_valid,no,k,drc,0,0
+r_617_Poly.X.1,no,r,drc,0,0
+k_259_s8rf_nlowvt_W3p0_L0p25_M2_b,no,k,drc,0,0
+k_260_s8rf_nlowvt_W3p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_261_s8rf_nlowvt_W3p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_618_Poly.X.1,no,r,drc,0,0
+k_262_s8rf_nlowvt_W5p0_L0p25_M4_b,no,k,drc,0,0
+k_263_s8rf_nlowvt_W5p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_264_s8rf_nlowvt_W5p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_619_Poly.X.1,no,r,drc,0,0
+k_265_nshortesd_L_0_165,no,k,drc,0,0
+k_266_nshortesd_L_0_18,no,k,drc,0,0
+k_267_nshortesd_valid,no,k,drc,0,0
+r_620_Poly.X.1,no,r,drc,0,0
+k_268_s8rf_nlowvt_W5p0_L0p25_M2_b,no,k,drc,0,0
+k_269_s8rf_nlowvt_W5p0_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_270_s8rf_nlowvt_W5p0_L0p25_M2_b_valid,no,k,drc,0,0
+r_621_Poly.X.1,no,r,drc,0,0
+k_271_s8rf_nshort_W3p0_L0p15_M4_b,no,k,drc,0,0
+k_272_s8rf_nshort_W3p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_273_s8rf_nshort_W3p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_622_Poly.X.1,no,r,drc,0,0
+k_274_nshort_L_0_15,yes,k,drc,22,2206
+k_275_nshort_L_0_18,yes,k,drc,354,3048
+k_276_nshort_L_0_25,yes,k,drc,40,2668
+k_277_nshort_L_0_50,no,k,drc,16,48
+k_278_nshort_L_1_00,no,k,drc,54,122
+k_279_nshort_L_2_00,no,k,drc,2,27312
+k_280_nshort_L_4_00,yes,k,drc,2,8
+k_281_nshort_L_8_00,yes,k,drc,40,248
+k_282_nshort_L_20_0,no,k,drc,0,0
+k_283_nshort_valid,yes,k,drc,260,4150
+r_623_Poly.X.1,yes,r,drc,9,13680
+k_284_s8rf_nshort_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_285_s8rf_nshort_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_286_s8rf_nshort_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_624_Poly.X.1,no,r,drc,0,0
+k_287_s8rf_nlowvt_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_288_s8rf_nlowvt_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_289_s8rf_nlowvt_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_625_Poly.X.1,no,r,drc,0,0
+k_290_s8rf_nhv_W5p0_L0p5_M10_b,no,k,drc,0,0
+k_291_s8rf_nhv_W5p0_L0p5_M10_b_L_0_50,no,k,drc,0,0
+k_292_s8rf_nhv_W5p0_L0p5_M10_b_valid,no,k,drc,0,0
+r_626_Poly.X.1,no,r,drc,0,0
+k_293_s8rf_nshort_W3p0_L0p25_M4_b,no,k,drc,0,0
+k_294_s8rf_nshort_W3p0_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_295_s8rf_nshort_W3p0_L0p25_M4_b_valid,no,k,drc,0,0
+r_627_Poly.X.1,no,r,drc,0,0
+k_296_s8rf_nhv_W3p0_L0p5_M2_b,no,k,drc,0,0
+k_297_s8rf_nhv_W3p0_L0p5_M2_b_L_0_50,no,k,drc,0,0
+k_298_s8rf_nhv_W3p0_L0p5_M2_b_valid,no,k,drc,0,0
+r_628_Poly.X.1,no,r,drc,0,0
+k_299_s8rf_nlowvt_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_300_s8rf_nlowvt_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_301_s8rf_nlowvt_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_629_Poly.X.1,no,r,drc,0,0
+k_302_nlvtpass_L_0_15,no,k,drc,0,0
+k_303_nlvtpass_valid,no,k,drc,0,0
+r_630_Poly.X.1,no,r,drc,0,0
+k_304_s8rf_nshort_W1p65_L0p18_M2_b,no,k,drc,0,0
+k_305_s8rf_nshort_W1p65_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_306_s8rf_nshort_W1p65_L0p18_M2_b_valid,no,k,drc,0,0
+r_631_Poly.X.1,no,r,drc,0,0
+k_307_s8rf_nlowvt_W5p0_L0p15_M4_b,no,k,drc,0,0
+k_308_s8rf_nlowvt_W5p0_L0p15_M4_b_L_0_15,no,k,drc,0,0
+k_309_s8rf_nlowvt_W5p0_L0p15_M4_b_valid,no,k,drc,0,0
+r_632_Poly.X.1,no,r,drc,0,0
+k_310_s8rf_nlowvt_W1p65_L0p18_M4_b,no,k,drc,0,0
+k_311_s8rf_nlowvt_W1p65_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_312_s8rf_nlowvt_W1p65_L0p18_M4_b_valid,no,k,drc,0,0
+r_633_Poly.X.1,no,r,drc,0,0
+k_313_s8rf_nlowvt_W5p0_L0p18_M4_b,no,k,drc,0,0
+k_314_s8rf_nlowvt_W5p0_L0p18_M4_b_L_0_18,no,k,drc,0,0
+k_315_s8rf_nlowvt_W5p0_L0p18_M4_b_valid,no,k,drc,0,0
+r_634_Poly.X.1,no,r,drc,0,0
+k_316_s8rf_nlowvt_W3p0_L0p15_2F,no,k,drc,0,0
+k_317_s8rf_nlowvt_W3p0_L0p15_2F_L_0_15,no,k,drc,0,0
+k_318_s8rf_nlowvt_W3p0_L0p15_2F_valid,no,k,drc,0,0
+r_635_Poly.X.1,no,r,drc,0,0
+k_319_s8rf_nshort_W3p0_L0p18_M2_b,no,k,drc,0,0
+k_320_s8rf_nshort_W3p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_321_s8rf_nshort_W3p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_636_Poly.X.1,no,r,drc,0,0
+k_322_s8rf_nlowvt_W5p0_L0p18_M2_b,no,k,drc,0,0
+k_323_s8rf_nlowvt_W5p0_L0p18_M2_b_L_0_18,no,k,drc,0,0
+k_324_s8rf_nlowvt_W5p0_L0p18_M2_b_valid,no,k,drc,0,0
+r_637_Poly.X.1,no,r,drc,0,0
+k_325_s8rf_nhv_W7p0_L0p5_M4_b,no,k,drc,0,0
+k_326_s8rf_nhv_W7p0_L0p5_M4_b_L_0_50,no,k,drc,0,0
+k_327_s8rf_nhv_W7p0_L0p5_M4_b_valid,no,k,drc,0,0
+r_638_Poly.X.1,no,r,drc,0,0
+k_328_nhvesd_L_0_55,no,k,drc,0,0
+k_329_nhvesd_L_0_60,yes,k,drc,2,124
+k_330_nhvesd_L_1_00,no,k,drc,0,0
+k_331_nhvesd_valid,yes,k,drc,1,62
+r_639_Poly.X.1,no,r,drc,0,0
+k_332_s8rf_nshort_W1p65_L0p25_M2_b,no,k,drc,0,0
+k_333_s8rf_nshort_W1p65_L0p25_M2_b_L_0_25,no,k,drc,0,0
+k_334_s8rf_nshort_W1p65_L0p25_M2_b_valid,no,k,drc,0,0
+r_640_Poly.X.1,no,r,drc,0,0
+k_335_s8rf_nlowvt_W1p65_L0p25_M4_b,no,k,drc,0,0
+k_336_s8rf_nlowvt_W1p65_L0p25_M4_b_L_0_25,no,k,drc,0,0
+k_337_s8rf_nlowvt_W1p65_L0p25_M4_b_valid,no,k,drc,0,0
+r_641_Poly.X.1,no,r,drc,0,0
+k_338_s8rf_nshort_W1p65_L0p15_M2_b,no,k,drc,0,0
+k_339_s8rf_nshort_W1p65_L0p15_M2_b_L_0_15,no,k,drc,0,0
+k_340_s8rf_nshort_W1p65_L0p15_M2_b_valid,no,k,drc,0,0
+r_642_Poly.X.1,no,r,drc,0,0
+k_341_pvhv_L_0_66,no,k,drc,0,0
+k_342_pvhv_L_2_16,no,k,drc,0,0
+k_343_pvhv_valid,no,k,drc,0,0
+r_643_Poly.X.1,no,r,drc,0,0
+k_344_nvhv_L_0_70,no,k,drc,0,0
+k_345_nvhv_L_2_20,no,k,drc,0,0
+k_346_nvhv_valid,no,k,drc,0,0
+r_644_Poly.X.1,no,r,drc,0,0
+r_645_diff.13,no,r,drc,0,0
+r_646_diff.13,no,r,drc,0,0
+r_647_diff.13,no,r,drc,0,0
+r_648_diff.13,no,r,drc,0,0
+r_649_diff.13,no,r,drc,0,0
+r_650_diff.13,no,r,drc,0,0
+r_651_diff.13,no,r,drc,0,0
+r_652_diff.13,no,r,drc,0,0
+r_653_diff.13,no,r,drc,0,0
+r_654_diff.13,no,r,drc,0,0
+r_655_diff.13,no,r,drc,0,0
+r_656_diff.13,no,r,drc,0,0
+r_657_diff.13,no,r,drc,0,0
+r_658_diff.13,no,r,drc,0,0
+r_659_diff.13,no,r,drc,0,0
+r_660_diff.13,no,r,drc,0,0
+r_661_diff.13,no,r,drc,0,0
+r_662_diff.13,no,r,drc,0,0
+r_663_diff.13,no,r,drc,0,0
+r_664_diff.13,no,r,drc,0,0
+r_665_diff.13,no,r,drc,0,0
+r_666_diff.13,no,r,drc,0,0
+r_667_diff.13,no,r,drc,0,0
+r_668_diff.13,no,r,drc,0,0
+r_669_diff.13,no,r,drc,0,0
+r_670_diff.13,no,r,drc,0,0
+r_671_diff.13,no,r,drc,0,0
+r_672_diff.13,no,r,drc,0,0
+r_673_diff.13,no,r,drc,0,0
+r_674_diff.13,no,r,drc,0,0
+r_675_diff.13,no,r,drc,0,0
+r_676_diff.13,no,r,drc,0,0
+r_677_diff.13,no,r,drc,0,0
+r_678_diff.13,no,r,drc,0,0
+r_679_diff.13,no,r,drc,0,0
+r_680_diff.13,no,r,drc,0,0
+r_681_diff.13,no,r,drc,0,0
+r_682_diff.13,no,r,drc,0,0
+r_683_diff.13,no,r,drc,0,0
+r_684_diff.13,no,r,drc,0,0
+r_685_diff.13,no,r,drc,0,0
+r_686_diff.13,no,r,drc,0,0
+r_687_diff.13,no,r,drc,0,0
+r_688_diff.13,no,r,drc,0,0
+r_689_diff.13,no,r,drc,0,0
+r_690_diff.13,no,r,drc,0,0
+r_691_diff.13,no,r,drc,0,0
+r_692_diff.13,no,r,drc,0,0
+r_693_diff.13,no,r,drc,0,0
+r_694_diff.13,no,r,drc,0,0
+r_695_diff.13,no,r,drc,0,0
+r_696_diff.13,no,r,drc,0,0
+r_697_diff.13,no,r,drc,0,0
+r_698_diff.13,no,r,drc,0,0
+r_699_diff.13,no,r,drc,0,0
+r_700_diff.13,no,r,drc,0,0
+r_701_diff.13,no,r,drc,0,0
+r_702_diff.13,no,r,drc,0,0
+r_703_diff.13,no,r,drc,0,0
+r_704_diff.13,no,r,drc,0,0
+r_705_poly.16,no,r,drc,0,0
+r_706_npc.1,no,r,drc,0,0
+r_707_npc.2,no,r,drc,0,0
+r_708_npc.4,no,r,drc,0,0
+r_709_npc.4,no,r,drc,0,0
+r_710_npc.5,no,r,drc,0,0
+r_711_licon.2,no,r,drc,0,0
+r_712_licon.2,no,r,drc,0,0
+r_713_licon.3,no,r,drc,0,0
+r_714_licon.3,no,r,drc,0,0
+r_715_licon.3,no,r,drc,0,0
+r_716_licon.4,no,r,drc,0,0
+r_717_licon.5a,no,r,drc,0,0
+r_718_licon.5b,no,r,drc,0,0
+r_719_licon.5c,no,r,drc,0,0
+r_720_licon.6,no,r,drc,0,0
+r_721_licon.7,no,r,drc,0,0
+r_722_licon.8,no,r,drc,0,0
+r_723_licon.8a,no,r,drc,0,0
+r_724_licon.10,no,r,drc,0,0
+r_725_licon.11,no,r,drc,0,0
+r_726_licon.11,no,r,drc,0,0
+r_727_licon.11a,no,r,drc,0,0
+r_728_licon.11a,no,r,drc,0,0
+r_729_licon.11b,no,r,drc,0,0
+r_730_licon.11b,no,r,drc,0,0
+r_731_licon.11c,no,r,drc,0,0
+r_732_licon.11c,no,r,drc,0,0
+r_733_licon.11d,no,r,drc,0,0
+r_734_licon.11d,no,r,drc,0,0
+r_735_licon.1,no,r,drc,0,0
+r_736_licon.1,no,r,drc,0,0
+r_737_licon.1,no,r,drc,0,0
+r_738_licon.1b/c,no,r,drc,0,0
+r_739_licon.1b/c,no,r,drc,0,0
+r_740_licon.1b/c,no,r,drc,0,0
+r_741_licon.1b/c,no,r,drc,0,0
+r_742_licon.1b/c,no,r,drc,0,0
+r_743_licon.2b,no,r,drc,0,0
+r_744_licon.2c,no,r,drc,0,0
+r_745_licon.2d,no,r,drc,0,0
+r_746_licon.9,no,r,drc,0,0
+r_747_licon.9,no,r,drc,0,0
+r_748_licon.13,no,r,drc,0,0
+r_749_licon.13,no,r,drc,0,0
+r_750_licon.14,no,r,drc,0,0
+r_751_licon.15,no,r,drc,0,0
+r_752_licon.15,no,r,drc,0,0
+r_753_npcon.c6,no,r,drc,0,0
+r_754_npcon.c6,no,r,drc,0,0
+r_755_licon.16,no,r,drc,0,0
+r_756_licon.16,no,r,drc,0,0
+r_757_licon.17,no,r,drc,0,0
+r_758_licon.18,no,r,drc,0,0
+r_759_licon.19,no,r,drc,0,0
+r_760_licon.c1,no,r,drc,0,0
+r_761_licon.c3,no,r,drc,0,0
+r_762_licon.c4,no,r,drc,0,0
+r_763_li.1,no,r,drc,0,0
+r_764_li.1,no,r,drc,0,0
+r_765_li.c1,no,r,drc,0,0
+r_766_li.1a,no,r,drc,0,0
+r_767_li.3,no,r,drc,0,0
+r_768_li.3,no,r,drc,0,0
+r_769_li.3a,no,r,drc,0,0
+r_770_li.4,no,r,drc,0,0
+r_771_li.5,no,r,drc,0,0
+r_772_li.6,no,r,drc,0,0
+r_773_li.6,no,r,drc,0,0
+r_774_li.7,no,r,drc,0,0
+r_775_li.c1,no,r,drc,0,0
+r_776_li.c2,no,r,drc,0,0
+r_777_ct.1,no,r,drc,0,0
+r_778_ct.1,no,r,drc,0,0
+r_779_ct.1,no,r,drc,0,0
+r_780_ct.2,no,r,drc,0,0
+r_781_ct.3,no,r,drc,0,0
+r_782_ct.3,no,r,drc,0,0
+r_783_ct.3,no,r,drc,0,0
+r_784_ct.4,no,r,drc,0,0
+r_785_ct.c1,no,r,drc,0,0
+r_786_ct.c2,no,r,drc,0,0
+r_787_m1.1,no,r,drc,0,0
+r_788_m1.2,no,r,drc,0,0
+r_789_m1.3b,no,r,drc,0,0
+r_790_m1.3a,no,r,drc,0,0
+r_791_m1.4,no,r,drc,0,0
+r_792_m1.4,no,r,drc,0,0
+r_793_m1.4a,no,r,drc,0,0
+r_794_m1.4a,no,r,drc,0,0
+r_795_m1.5,no,r,drc,0,0
+r_796_m1.6,no,r,drc,0,0
+r_797_m1.7,no,r,drc,0,0
+r_798_m1.7,no,r,drc,0,0
+r_799_m1.c1,no,r,drc,0,0
+r_800_via.1a,no,r,drc,0,0
+r_801_via.1a,no,r,drc,0,0
+r_802_via.1a,no,r,drc,0,0
+r_803_via.1b,no,r,drc,0,0
+r_804_via.2,no,r,drc,0,0
+r_805_via.3,no,r,drc,0,0
+r_806_via.3,no,r,drc,0,0
+r_807_via.3,no,r,drc,0,0
+r_808_via.4a,no,r,drc,0,0
+r_809_via.4a,no,r,drc,0,0
+r_810_via.4b,no,r,drc,0,0
+r_811_via.4b,no,r,drc,0,0
+r_812_via.4c,no,r,drc,0,0
+r_813_via.4c,no,r,drc,0,0
+r_814_via.5a,no,r,drc,0,0
+r_815_via.5b,no,r,drc,0,0
+r_816_via.5c,no,r,drc,0,0
+s_8_m2.3c,no,s,drc,0,0
+r_817_m2.1,no,r,drc,0,0
+r_818_m2.2,no,r,drc,0,0
+r_819_m2.3b,no,r,drc,0,0
+r_820_m2.3a,no,r,drc,0,0
+r_821_m2.4,no,r,drc,0,0
+r_822_m2.4,no,r,drc,0,0
+r_823_m2.5,no,r,drc,0,0
+r_824_m2.6,no,r,drc,0,0
+r_825_m2.7,no,r,drc,0,0
+r_826_m2.7,no,r,drc,0,0
+r_827_m2.c4,no,r,drc,0,0
+r_828_m2.c4,no,r,drc,0,0
+r_829_varac.1,no,r,drc,0,0
+r_830_varac.2,no,r,drc,0,0
+r_831_varac.3,no,r,drc,0,0
+r_832_varac.4,no,r,drc,0,0
+r_833_varac.5,no,r,drc,0,0
+r_834_varac.6,no,r,drc,0,0
+r_835_varac.7,no,r,drc,0,0
+r_836_varac.8,no,r,drc,0,0
+r_837_photo.2,no,r,drc,0,0
+r_838_photo.3,no,r,drc,0,0
+r_839_photo.4,no,r,drc,0,0
+r_840_photo.5,no,r,drc,0,0
+r_841_photo.6,no,r,drc,0,0
+r_842_photo.7,no,r,drc,0,0
+r_843_photo.8,no,r,drc,0,0
+r_844_photo.9,no,r,drc,0,0
+r_845_photo.10,no,r,drc,0,0
+r_846_photo.11,no,r,drc,0,0
+r_847_via2.1d,no,r,drc,0,0
+r_848_via2.1a,no,r,drc,0,0
+r_849_via2.1a,no,r,drc,0,0
+r_850_via2.1a,no,r,drc,0,0
+r_851_via2.2,no,r,drc,0,0
+r_852_via2.3,no,r,drc,0,0
+r_853_via2.3,no,r,drc,0,0
+r_854_via2.3,no,r,drc,0,0
+r_855_via2.4,no,r,drc,0,0
+r_856_via2.4,no,r,drc,0,0
+r_857_via2.4a,no,r,drc,0,0
+r_858_via2.4a,no,r,drc,0,0
+r_859_via2.5,no,r,drc,0,0
+r_860_m3.1,no,r,drc,0,0
+r_861_m3.2,no,r,drc,0,0
+r_862_m3.4,no,r,drc,0,0
+r_863_m3.4,no,r,drc,0,0
+r_864_m3.6,no,r,drc,0,0
+r_865_m3.3d,no,r,drc,0,0
+r_866_m3.3c,no,r,drc,0,0
+r_867_via3.1,no,r,drc,0,0
+r_868_via3.1,no,r,drc,0,0
+r_869_via3.1,no,r,drc,0,0
+r_870_via3.1a,no,r,drc,0,0
+r_871_via3.2,no,r,drc,0,0
+r_872_via3.3,no,r,drc,0,0
+r_873_via3.3,no,r,drc,0,0
+r_874_via3.3,no,r,drc,0,0
+r_875_via3.4,no,r,drc,0,0
+r_876_via3.4,no,r,drc,0,0
+r_877_via3.5,no,r,drc,0,0
+r_878_m4.1,no,r,drc,0,0
+r_879_m4.2,no,r,drc,0,0
+r_880_m4.3,no,r,drc,0,0
+r_881_m4.3,no,r,drc,0,0
+r_882_m4.4a,no,r,drc,0,0
+r_883_m4.5b,no,r,drc,0,0
+r_884_m4.5a,no,r,drc,0,0
+r_885_via4.1,no,r,drc,0,0
+r_886_via4.1,no,r,drc,0,0
+r_887_via4.1,no,r,drc,0,0
+r_888_via4.2,no,r,drc,0,0
+r_889_via4.3,no,r,drc,0,0
+r_890_via4.3,no,r,drc,0,0
+r_891_via4.3,no,r,drc,0,0
+r_892_via4.4,no,r,drc,0,0
+r_893_via4.4,no,r,drc,0,0
+r_894_m5.1,no,r,drc,0,0
+r_895_m5.2,no,r,drc,0,0
+r_896_m5.3,no,r,drc,0,0
+r_897_m5.3,no,r,drc,0,0
+r_898_m5.4,no,r,drc,0,0
+r_899_pad.2,no,r,drc,0,0
+r_900_pad.3,no,r,drc,0,0
+r_901_denmos.1,no,r,drc,0,0
+r_902_denmos.2,no,r,drc,0,0
+r_903_denmos.3,no,r,drc,0,0
+r_904_denmos.4,no,r,drc,0,0
+r_905_denmos.5,no,r,drc,0,0
+r_906_denmos.6,no,r,drc,0,0
+r_907_denmos.7,no,r,drc,0,0
+r_908_denmos.8,no,r,drc,0,0
+r_909_denmos.10,no,r,drc,0,0
+r_910_denmos.11,no,r,drc,0,0
+r_911_denmos.12,no,r,drc,0,0
+r_912_denmos.13,no,r,drc,0,0
+r_913_denmos.13,no,r,drc,0,0
+r_915_depmos.1,no,r,drc,0,0
+r_916_depmos.2,no,r,drc,0,0
+r_917_depmos.3,no,r,drc,0,0
+r_918_depmos.4,no,r,drc,0,0
+r_919_depmos.5,no,r,drc,0,0
+r_920_depmos.6,no,r,drc,0,0
+r_921_depmos.7,no,r,drc,0,0
+r_922_depmos.8,no,r,drc,0,0
+r_923_depmos.10,no,r,drc,0,0
+r_924_depmos.11,no,r,drc,0,0
+r_925_depmos.12,no,r,drc,0,0
+r_926_depmos.12,no,r,drc,0,0
+r_927_depmos.13,no,r,drc,0,0
+r_928_extd.1,no,r,drc,0,0
+r_929_extd.2,no,r,drc,0,0
+r_930_extd.3,no,r,drc,0,0
+r_928_uhvi.1,no,r,drc,0,0
+r_929_uhvi.2,no,r,drc,0,0
+r_931_uhvi.3,no,r,drc,0,0
+r_928_uhvi.4,no,r,drc,0,0
+r_928_uhvi.5,no,r,drc,0,0
+r_928_uhvi.6,no,r,drc,0,0
+r_928_pwbm.1,no,r,drc,0,0
+r_929_pwbm.2,no,r,drc,0,0
+r_923_pwbm.3,no,r,drc,0,0
+r_923_pwbm.4,no,r,drc,0,0
+r_924_pwbm.5,no,r,drc,0,0
+r_928_pwde.1,no,r,drc,0,0
+r_929_pwde.2,no,r,drc,0,0
+r_923_pwde.3,no,r,drc,0,0
+r_923_pwde.4,no,r,drc,0,0
+r_923_pwde.5,yes,r,drc,272,1038
+r_923_pwde.6,no,r,drc,0,0
+r_923_dnwell.3a,no,r,drc,0,0
+r_923_dnwell.3d,no,r,drc,0,0
+r_924_dnwell.3b,no,r,drc,0,0
+r_924_dnwell.3c,no,r,drc,0,0
+r_923_nwell.5a,no,r,drc,0,0
+r_923_nwell.5b,no,r,drc,0,0
+r_924_difftap.26,no,r,drc,0,0
+r_396_ultv.3,no,r,drc,0,0
+r_931_pwres.1,no,r,drc,0,0
+r_932_pwres.2,no,r,drc,0,0
+r_933_pwres.2,no,r,drc,0,0
+r_934_pwres.2,no,r,drc,0,0
+r_935_pwres.2,no,r,drc,0,0
+r_936_pwres.2,no,r,drc,0,0
+r_937_pwres.5,no,r,drc,0,0
+r_938_pwres.6,no,r,drc,0,0
+r_939_pwres.6,no,r,drc,0,0
+r_940_pwres.7a,no,r,drc,0,0
+r_941_pwres.7b,no,r,drc,0,0
+r_942_pwres.8a,no,r,drc,0,0
+r_943_pwres.8b,no,r,drc,0,0
+r_944_pwres.9,no,r,drc,0,0
+r_945_pwres.11,no,r,drc,0,0
+r_946_pwres.10,no,r,drc,0,0
+r_947_rfdiode.1,no,r,drc,0,0
+r_948_rfdiode.2,no,r,drc,0,0
+r_949_rfdiode.3,no,r,drc,0,0
+r_950_nsm.1,no,r,drc,0,0
+r_951_nsm.2,no,r,drc,0,0
+r_952_nsm.3,no,r,drc,0,0
+r_953_nsm.3,no,r,drc,0,0
+r_954_nsm.3,no,r,drc,0,0
+r_955_nsm.3,no,r,drc,0,0
+r_956_nsm.3,no,r,drc,0,0
+r_957_nsm.3,no,r,drc,0,0
+r_958_nsm.3,no,r,drc,0,0
+r_959_nsm.3,no,r,drc,0,0
+r_960_nsm.3,no,r,drc,0,0
+r_961_nsm.3,no,r,drc,0,0
+r_962_nsm.3,no,r,drc,0,0
+r_963_nsm.3,no,r,drc,0,0
+r_964_nsm.3,no,r,drc,0,0
+r_965_nsm.3,no,r,drc,0,0
+r_966_nsm.3,no,r,drc,0,0
+r_967_nsm.3,no,r,drc,0,0
+r_968_nsm.3,no,r,drc,0,0
+r_969_nsm.3,no,r,drc,0,0
+r_970_nsm.3,no,r,drc,0,0
+r_971_nsm.3,no,r,drc,0,0
+r_972_nsm.3,no,r,drc,0,0
+r_973_nsm.3,no,r,drc,0,0
+r_974_nsm.3,no,r,drc,0,0
+r_975_nsm.3,no,r,drc,0,0
+r_976_nsm.3,no,r,drc,0,0
+r_977_nsm.3,no,r,drc,0,0
+r_978_nsm.3,no,r,drc,0,0
+r_979_nsm.3,no,r,drc,0,0
+r_980_nsm.3,no,r,drc,0,0
+r_981_nsm.3,no,r,drc,0,0
+r_982_nsm.3,no,r,drc,0,0
+r_983_nsm.3,no,r,drc,0,0
+r_984_nsm.3,no,r,drc,0,0
+r_985_nsm.3,no,r,drc,0,0
+r_986_nsm.3,no,r,drc,0,0
+r_987_nsm.3,no,r,drc,0,0
+r_988_nsm.3a,no,r,drc,0,0
+r_989_nsm.3a,no,r,drc,0,0
+r_990_nsm.3a,no,r,drc,0,0
+r_991_nsm.3a,no,r,drc,0,0
+r_992_nsm.3a,no,r,drc,0,0
+r_993_nsm.3a,no,r,drc,0,0
+r_994_nsm.3a,no,r,drc,0,0
+r_995_nsm.3a,no,r,drc,0,0
+r_996_nsm.3a,no,r,drc,0,0
+r_997_nsm.3a,no,r,drc,0,0
+r_998_nsm.3a,no,r,drc,0,0
+r_999_nsm.3a,no,r,drc,0,0
+r_1000_nsm.3a,no,r,drc,0,0
+r_1001_nsm.3a,no,r,drc,0,0
+r_1002_nsm.3a,no,r,drc,0,0
+r_1003_nsm.3a,no,r,drc,0,0
+r_1004_nsm.3a,no,r,drc,0,0
+r_1005_nsm.3a,no,r,drc,0,0
+r_1006_nsm.3b,no,r,drc,0,0
+r_1007_nsm.3b,no,r,drc,0,0
+r_1008_nsm.3b,no,r,drc,0,0
+r_1009_nsm.3b,no,r,drc,0,0
+r_1010_nsm.3b,no,r,drc,0,0
+r_1011_nsm.3b,no,r,drc,0,0
+r_1012_nsm.3b,no,r,drc,0,0
+r_1013_nsm.3b,no,r,drc,0,0
+r_1014_nsm.3b,no,r,drc,0,0
+r_1015_nsm.3b,no,r,drc,0,0
+r_1016_nsm.3b,no,r,drc,0,0
+r_1017_nsm.3b,no,r,drc,0,0
+r_1018_nsm.3b,no,r,drc,0,0
+r_1019_nsm.3b,no,r,drc,0,0
+r_1020_nsm.3b,no,r,drc,0,0
+r_1021_nsm.3b,no,r,drc,0,0
+r_1022_nsm.3b,no,r,drc,0,0
+r_1023_nsm.3b,no,r,drc,0,0
+r_1024_ncm.X.3,no,r,drc,0,0
+r_1025_ncm.1,no,r,drc,0,0
+r_1026_ncm.2a,no,r,drc,0,0
+r_1027_ncm.7,no,r,drc,0,0
+r_1028_ncm.8,no,r,drc,0,0
+r_1029_ncm.c8,no,r,drc,0,0
+r_1030_ncm.c9,no,r,drc,0,0
+r_1031_ncm.c9,no,r,drc,0,0
+r_1032_ncm.c10,no,r,drc,0,0
+r_1033_ldntm.c1,no,r,drc,0,0
+r_1034_ldntm.c2,no,r,drc,0,0
+r_1035_ldntm.c3,no,r,drc,0,0
+r_1036_ldntm.c4,no,r,drc,0,0
+r_1037_ldntm.c5,no,r,drc,0,0
+r_1038_ldntm.c6,no,r,drc,0,0
+r_1000_rpmNotSupport,no,r,drc,0,0
+r_1039_rpm.1a,no,r,drc,0,0
+r_1040_rpm.1b/c/d/e/f,no,r,drc,0,0
+r_1041_rpm.1g,no,r,drc,0,0
+r_1042_rpm.1h,no,r,drc,0,0
+r_1043_rpm.1i,no,r,drc,0,0
+r_1044_rpm.1j,no,r,drc,0,0
+r_1045_rpm.1k,no,r,drc,0,0
+r_1046_rpm.2,no,r,drc,0,0
+r_1047_rpm.3,no,r,drc,0,0
+r_1048_rpm.3,no,r,drc,0,0
+r_1049_rpm.4,no,r,drc,0,0
+r_1050_rpm.4,no,r,drc,0,0
+r_1051_rpm.5,no,r,drc,0,0
+r_1052_rpm.5,no,r,drc,0,0
+r_1053_rpm.6,no,r,drc,0,0
+r_1054_rpm.6,no,r,drc,0,0
+r_1055_rpm.7,no,r,drc,0,0
+r_1056_rpm.8,no,r,drc,0,0
+r_1057_rpm.9,no,r,drc,0,0
+r_1058_rpm.9,no,r,drc,0,0
+r_1059_rpm.10,no,r,drc,0,0
+r_1060_rpm.10,no,r,drc,0,0
+r_1061_hvntm.X.1,no,r,drc,0,0
+r_1062_hvntm.1,no,r,drc,0,0
+r_1063_hvntm.2,no,r,drc,0,0
+r_1064_hvntm.3,no,r,drc,0,0
+r_1065_hvntm.4,no,r,drc,0,0
+r_1066_hvntm.4,no,r,drc,0,0
+r_1067_hvntm.5,no,r,drc,0,0
+r_1068_hvntm.5,no,r,drc,0,0
+r_1069_hvntm.6a,no,r,drc,0,0
+r_1070_hvntm.6a,no,r,drc,0,0
+r_1071_hvntm.6b,no,r,drc,0,0
+r_1072_hvntm.7,no,r,drc,0,0
+r_1073_hvntm.7,no,r,drc,0,0
+r_1074_hvntm.9,no,r,drc,0,0
+r_1075_hvntm.10,no,r,drc,0,0
+r_1076_cfom_nikon,no,r,drc,0,0
+r_1077_cfom_nikon,no,r,drc,0,0
+r_1078_cdnm_nikon,no,r,drc,0,0
+r_1079_cdnm_nikon,no,r,drc,0,0
+r_1080_cnwm_nikon,no,r,drc,0,0
+r_1081_cnwm_nikon,no,r,drc,0,0
+r_1082_chvtpm_nikon,no,r,drc,0,0
+r_1083_chvtpm_nikon,no,r,drc,0,0
+r_1084_clvtnm_nikon,no,r,drc,0,0
+r_1085_clvtnm_nikon,no,r,drc,0,0
+r_1086_clvom_nikon,no,r,drc,0,0
+r_1087_clvom_nikon,no,r,drc,0,0
+r_1088_cp1m_nikon,no,r,drc,0,0
+r_1089_cp1m_nikon,no,r,drc,0,0
+r_1090_cntm_nikon,no,r,drc,0,0
+r_1091_cntm_nikon,no,r,drc,0,0
+r_1092_chvntm_nikon,no,r,drc,0,0
+r_1093_chvntm_nikon,no,r,drc,0,0
+r_1094_cldntm_nikon,no,r,drc,0,0
+r_1095_cldntm_nikon,no,r,drc,0,0
+r_1096_cnpc_nikon,no,r,drc,0,0
+r_1097_cnpc_nikon,no,r,drc,0,0
+r_1098_cnsdm_nikon,no,r,drc,0,0
+r_1099_cnsdm_nikon,no,r,drc,0,0
+r_1100_cpsdm_nikon,no,r,drc,0,0
+r_1101_cpsdm_nikon,no,r,drc,0,0
+r_1102_clicm1_nikon,no,r,drc,0,0
+r_1103_clicm1_nikon,no,r,drc,0,0
+r_1104_cli1m_nikon,no,r,drc,0,0
+r_1105_cli1m_nikon,no,r,drc,0,0
+r_1106_cctm1_nikon,no,r,drc,0,0
+r_1107_cctm1_nikon,no,r,drc,0,0
+r_1108_cmm1_nikon,no,r,drc,0,0
+r_1109_cmm1_nikon,no,r,drc,0,0
+r_1110_cviam_nikon,no,r,drc,0,0
+r_1111_cviam_nikon,no,r,drc,0,0
+r_1112_cmm2_nikon,no,r,drc,0,0
+r_1113_cmm2_nikon,no,r,drc,0,0
+r_1114_cnsm_nikon,no,r,drc,0,0
+r_1115_cnsm_nikon,no,r,drc,0,0
+r_1116_cpdm_nikon,no,r,drc,0,0
+r_1117_cpdm_nikon,no,r,drc,0,0
+r_1118_cviam2_nikon,no,r,drc,0,0
+r_1119_cviam2_nikon,no,r,drc,0,0
+r_1120_cmm3_nikon,no,r,drc,0,0
+r_1121_cmm3_nikon,no,r,drc,0,0
+r_1122_cviam3_nikon,no,r,drc,0,0
+r_1123_cviam3_nikon,no,r,drc,0,0
+r_1124_cmm4_nikon,no,r,drc,0,0
+r_1125_cmm4_nikon,no,r,drc,0,0
+r_1126_cviam4_nikon,no,r,drc,0,0
+r_1127_cviam4_nikon,no,r,drc,0,0
+r_1128_cmm5_nikon,no,r,drc,0,0
+r_1129_cmm5_nikon,no,r,drc,0,0
+r_1130_crpm_nikon,no,r,drc,0,0
+r_1131_crpm_nikon,no,r,drc,0,0
+r_1132_areaid.1,yes,r,drc,0,0
+r_1133_vpp.5,no,r,drc,0,0
+r_1134_vpp.5,no,r,drc,0,0
+r_1135_vpp.5,no,r,drc,0,0
+r_1136_vpp.5,no,r,drc,0,0
+r_1137_vpp.5a,no,r,drc,0,0
+r_1138_vpp.5b,no,r,drc,0,0
+r_1139_vpp.5c,no,r,drc,0,0
+r_1140_vpp.10,no,r,drc,0,0
+r_1141_vpp.11,no,r,drc,0,0
+r_1142_vpp.12a,no,r,drc,0,0
+r_1143_vpp.12b,no,r,drc,0,0
+r_1144_vpp.12c,no,r,drc,0,0
+r_1145_vpp.1,no,r,drc,0,0
+r_1146_vpp.1b,no,r,drc,0,0
+r_1147_vpp.1c,no,r,drc,0,0
+r_1148_vpp.1c,no,r,drc,0,0
+r_1149_vpp.1c,no,r,drc,0,0
+r_1150_vpp.3,no,r,drc,0,0
+r_1151_vpp.4,no,r,drc,0,0
+r_1152_vpp.4,no,r,drc,0,0
+r_1153_vpp.8,no,r,drc,0,0
+r_1154_vpp.9,no,r,drc,0,0
+r_1155_vhvi.vhv.5,no,r,drc,0,0
+r_1156_vhvi.1,no,r,drc,0,0
+r_1157_vhvi.2,no,r,drc,0,0
+r_1158_vhvi.3,no,r,drc,0,0
+r_1159_vhvi.4,no,r,drc,0,0
+r_1160_vhvi.5,no,r,drc,0,0
+r_1161_vhvi.6,no,r,drc,0,0
+r_1162_vhvi.7,no,r,drc,0,0
+r_1163_vhvi.8,no,r,drc,0,0
+r_1164_hv.diff.1a,no,r,drc,0,0
+r_1165_hv.diff.1b,no,r,drc,0,0
+r_1166_hv.diff.1b,no,r,drc,0,0
+r_1167_hv.diff.2,no,r,drc,0,0
+r_1168_hv.diff.3a,no,r,drc,0,0
+r_1169_hv.diff.3b,no,r,drc,0,0
+r_1170_hv.diff.3b,no,r,drc,0,0
+r_1171_hv.poly.1,no,r,drc,0,0
+r_1172_hv.poly.1,no,r,drc,0,0
+r_1173_hv.poly.1,no,r,drc,0,0
+r_1174_hv.poly.2,no,r,drc,0,0
+r_1175_hv.poly.3,no,r,drc,0,0
+r_1176_hv.poly.4,no,r,drc,0,0
+r_1177_hv.poly.6a,no,r,drc,0,0
+r_1178_hv.poly.6b,no,r,drc,0,0
+s_9_X.22,no,s,drc,0,0
+s_10_X.22,no,s,drc,0,0
+s_11_X.22,no,s,drc,0,0
+s_12_X.22,no,s,drc,0,0
+s_13_X.22,no,s,drc,0,0
+r_1179_X.22,no,r,drc,0,0
+r_1180_X.22,no,r,drc,0,0
+r_1181_X.22,no,r,drc,0,0
+r_1182_X.22,no,r,drc,0,0
+s_14_X.22,no,s,drc,0,0
+s_15_X.22,no,s,drc,0,0
+s_16_X.22,no,s,drc,0,0
+s_17_X.22,no,s,drc,0,0
+s_18_X.22,no,s,drc,0,0
+r_1183_X.22,no,r,drc,0,0
+r_1184_X.22,no,r,drc,0,0
+r_1185_X.22,no,r,drc,0,0
+r_1186_X.22,no,r,drc,0,0
+s_19_X.22,no,s,drc,0,0
+s_20_X.22,no,s,drc,0,0
+s_21_X.22,no,s,drc,0,0
+s_22_X.22,no,s,drc,0,0
+s_23_X.22,no,s,drc,0,0
+r_1187_X.22,no,r,drc,0,0
+r_1188_X.22,no,r,drc,0,0
+r_1189_X.22,yes,r,drc,1000,1000
+r_1190_X.22,no,r,drc,0,0
+s_24_X.22,no,s,drc,0,0
+s_25_X.22,no,s,drc,0,0
+s_26_X.22,yes,s,drc,0,0
+s_27_X.22,no,s,drc,0,0
+s_28_X.22,no,s,drc,0,0
+r_1191_X.22,no,r,drc,0,0
+r_1192_X.22,no,r,drc,0,0
+r_1193_X.22,yes,r,drc,2,2
+r_1194_X.22,no,r,drc,0,0
+s_29_X.22,no,s,drc,0,0
+s_30_X.22,no,s,drc,0,0
+s_31_X.22,yes,s,drc,0,0
+s_32_X.22,no,s,drc,0,0
+s_33_X.22,no,s,drc,0,0
+r_1195_X.22,no,r,drc,0,0
+r_1196_X.22,no,r,drc,0,0
+r_1197_X.22,yes,r,drc,28,33
+r_1198_X.22,no,r,drc,0,0
+s_34_X.22,no,s,drc,0,0
+s_35_X.22,no,s,drc,0,0
+s_36_X.22,yes,s,drc,0,0
+s_37_X.22,no,s,drc,0,0
+s_38_X.22,no,s,drc,0,0
+r_1199_X.22,no,r,drc,0,0
+r_1200_X.22,no,r,drc,0,0
+r_1201_X.22,yes,r,drc,31,36
+r_1202_X.22,no,r,drc,0,0
+s_39_X.22,no,s,drc,0,0
+s_40_X.22,no,s,drc,0,0
+s_41_X.22,yes,s,drc,0,0
+s_42_X.22,no,s,drc,0,0
+s_43_X.22,no,s,drc,0,0
+r_1203_X.22,no,r,drc,0,0
+r_1204_X.22,no,r,drc,0,0
+r_1205_X.22,yes,r,drc,47,52
+r_1206_X.22,no,r,drc,0,0
+r_1207_X.24,no,r,drc,0,0
+r_1208_pad.20,no,r,drc,0,0
+r_1209_pad.20,no,r,drc,0,0
+r_1210_m1.x.1,no,r,drc,0,0
+s_44_m1.x.1,no,s,drc,0,0
+r_1211_m1.x.1,no,r,drc,0,0
+s_45_m1.x.1,no,s,drc,0,0
+r_1212_m1.x.1,no,r,drc,0,0
+s_46_m1.x.1,no,s,drc,0,0
+r_1213_m1.x.1,no,r,drc,0,0
+s_47_m1.x.1,no,s,drc,0,0
+r_1214_m1.x.1,no,r,drc,0,0
+s_48_m1.x.1,no,s,drc,0,0
+r_1215_m1.x.1,no,r,drc,0,0
+s_49_m1.x.1,no,s,drc,0,0
+r_1216_m2.x.1,no,r,drc,0,0
+s_50_m2.x.1,no,s,drc,0,0
+r_1217_m2.x.1,no,r,drc,0,0
+s_51_m2.x.1,no,s,drc,0,0
+r_1218_m2.x.1,no,r,drc,0,0
+s_52_m2.x.1,no,s,drc,0,0
+r_1219_m2.x.1,no,r,drc,0,0
+s_53_m2.x.1,no,s,drc,0,0
+r_1220_m2.x.1,no,r,drc,0,0
+s_54_m2.x.1,no,s,drc,0,0
+r_1221_m2.x.1,no,r,drc,0,0
+s_55_m2.x.1,no,s,drc,0,0
+r_1222_m3.x.1,no,r,drc,0,0
+s_56_m3.x.1,no,s,drc,0,0
+r_1223_m3.x.1,no,r,drc,0,0
+s_57_m3.x.1,no,s,drc,0,0
+r_1224_m3.x.1,no,r,drc,0,0
+s_58_m3.x.1,no,s,drc,0,0
+r_1225_m3.x.1,no,r,drc,0,0
+s_59_m3.x.1,no,s,drc,0,0
+r_1226_m3.x.1,no,r,drc,0,0
+s_60_m3.x.1,no,s,drc,0,0
+r_1227_m3.x.1,no,r,drc,0,0
+s_61_m3.x.1,no,s,drc,0,0
+r_1228_m4.x.1,no,r,drc,0,0
+s_62_m4.x.1,no,s,drc,0,0
+r_1229_m4.x.1,no,r,drc,0,0
+s_63_m4.x.1,no,s,drc,0,0
+r_1230_m4.x.1,no,r,drc,0,0
+s_64_m4.x.1,no,s,drc,0,0
+r_1231_m4.x.1,no,r,drc,0,0
+s_65_m4.x.1,no,s,drc,0,0
+r_1232_m4.x.1,no,r,drc,0,0
+s_66_m4.x.1,no,s,drc,0,0
+r_1233_m4.x.1,no,r,drc,0,0
+s_67_m4.x.1,no,s,drc,0,0
+r_1234_metblk.1,no,r,drc,0,0
+r_1235_metblk.1,no,r,drc,1000,1220
+r_1236_metblk.3,no,r,drc,0,0
+r_1237_metblk.1,no,r,drc,0,0
+r_1238_metblk.1,no,r,drc,504,609
+r_1239_metblk.3,no,r,drc,0,0
+r_1240_metblk.1,no,r,drc,0,0
+r_1241_metblk.1,no,r,drc,463,512
+r_1242_metblk.3,no,r,drc,0,0
+r_1243_metblk.1,no,r,drc,0,0
+r_1244_metblk.1,no,r,drc,490,514
+r_1245_metblk.3,no,r,drc,0,0
+r_1246_metblk.1,no,r,drc,0,0
+r_1247_metblk.1,no,r,drc,473,484
+r_1248_metblk.3,no,r,drc,0,0
+r_1249_metblk.2,no,r,drc,0,0
+r_1250_metblk.2,no,r,drc,1000,1279
+r_1251_metblk.4,no,r,drc,0,0
+r_1252_metblk.6,yes,r,drc,1,1
+s_68_metblk.6,yes,s,drc,0,0
+r_1253_metblk.7,no,r,drc,0,0
+s_69_metblk.7,no,s,drc,0,0
+r_1254_metblk.7,no,r,drc,0,0
+s_70_metblk.7,no,s,drc,0,0
+r_1255_metblk.7,no,r,drc,0,0
+s_71_metblk.7,no,s,drc,0,0
+s_72_chipint.1,no,s,drc,0,0
+s_73_chipint.2,no,s,drc,0,0
+r_1256_fomdmy.1,no,r,drc,0,0
+r_1257_fomdmy_1a,no,r,drc,0,0
+r_1258_fomdmy.2,no,r,drc,0,0
+r_1259_fomdmy.4,no,r,drc,0,0
+r_1260_fomdmy.4,no,r,drc,0,0
+r_1261_fomdmy.6,no,r,drc,0,0
+r_1262_fomdmy.6,no,r,drc,0,0
+r_1263_fomdmy.7,no,r,drc,0,0
+r_1264_fomdmy.7,no,r,drc,0,0
+r_1265_fomdmy.7,no,r,drc,0,0
+r_1266_fomdmy.7,no,r,drc,0,0
+r_1267_fomdmy.8,no,r,drc,0,0
+r_1268_fomdmy.9,no,r,drc,0,0
+r_1269_fomdmy.10,no,r,drc,0,0
+r_1270_fomdmy.11,no,r,drc,0,0
+r_1271_fomdmy.12,no,r,drc,0,0
+r_1272_fomdmy.13,no,r,drc,0,0
+r_1273_rdl.1,no,r,drc,0,0
+r_1274_rdl.2,no,r,drc,0,0
+r_1275_rdl.2,no,r,drc,0,0
+r_1276_rdl.3,no,r,drc,0,0
+r_1277_rdl.4,no,r,drc,0,0
+r_1278_rdl.5,no,r,drc,0,0
+r_1279_rdl.6,no,r,drc,0,0
+r_1280_mf.1&2,no,r,drc,0,0
+r_1281_mf.1&2,no,r,drc,0,0
+r_1282_mf.1&2,no,r,drc,0,0
+r_1283_mf.1&2,no,r,drc,0,0
+r_1284_mf.1&2,no,r,drc,0,0
+r_1285_mf.3,no,r,drc,0,0
+r_1286_mf.5,no,r,drc,0,0
+r_1287_mf.22,no,r,drc,0,0
+r_1288_mf.6,no,r,drc,0,0
+r_1289_mf.6,no,r,drc,0,0
+r_1290_mf.7,no,r,drc,0,0
+r_1291_mf.7,no,r,drc,0,0
+r_1292_mf.8,no,r,drc,0,0
+r_1293_mf.8,no,r,drc,0,0
+r_1294_mf.9,no,r,drc,0,0
+r_1295_mf.9,no,r,drc,0,0
+r_1296_mf.10,no,r,drc,0,0
+r_1297_mf.10,no,r,drc,0,0
+r_1298_mf.11,no,r,drc,0,0
+r_1299_mf.11,no,r,drc,0,0
+r_1300_mf.19,no,r,drc,0,0
+r_1301_mf.19,no,r,drc,0,0
+r_1302_mf.12,no,r,drc,0,0
+r_1303_mf.4,no,r,drc,0,0
+r_1304_mf.13,no,r,drc,0,0
+r_1305_mf.14,no,r,drc,0,0
+r_1306_mf.15a,no,r,drc,0,0
+r_1307_mf.15b,no,r,drc,0,0
+r_1308_mf.18,no,r,drc,0,0
+r_1309_mf.20,no,r,drc,0,0
+r_1310_mf.24,no,r,drc,0,0
+r_1311_mf.24,no,r,drc,0,0
+r_1312_pad.1,yes,r,drc,21,63
+r_1313_pad.1,no,r,drc,0,0
+r_1314_pad.16,no,r,drc,0,0
+r_1315_pad.4/4a,no,r,drc,0,0
+r_1316_pad.5,no,r,drc,0,0
+r_1317_pad.6,no,r,drc,0,0
+r_1318_pad.7,no,r,drc,0,0
+r_1319_pad.6,no,r,drc,0,0
+r_1320_pad.7,no,r,drc,0,0
+r_1321_pad.6,yes,r,drc,0,0
+r_1322_pad.7,no,r,drc,0,0
+r_1323_pad.6,yes,r,drc,0,0
+r_1324_pad.7,no,r,drc,0,0
+r_1325_pad.10,no,r,drc,0,0
+r_1326_pad.11,no,r,drc,0,0
+r_1327_pad.11,no,r,drc,0,0
+r_1328_pad.11,no,r,drc,0,0
+r_1329_pad.11,no,r,drc,0,0
+r_1330_pad.12,no,r,drc,0,0
+r_1331_pad.13,no,r,drc,0,0
+r_1332_pad.14,no,r,drc,0,0
+r_1333_pad.15,yes,r,drc,0,0
+r_1334_pad.17,no,r,drc,0,0
+r_1335_pad.18,no,r,drc,0,0
+r_1336_pad.17,no,r,drc,0,0
+r_1337_pad.18,no,r,drc,0,0
+r_1338_pad.17,no,r,drc,0,0
+r_1339_pad.18,no,r,drc,0,0
+r_1340_pad.19,no,r,drc,0,0
+r_1341_pad.19,no,r,drc,0,0
+r_1342_pad.19,no,r,drc,0,0
+r_1343_pad.2.1,no,r,drc,0,0
+r_1344_pad.3.1,no,r,drc,0,0
+r_1345_pad.4.1,no,r,drc,0,0
+r_1346_pad.5.1,no,r,drc,0,0
+r_1347_pad.6.1,no,r,drc,0,0
+r_1348_pad.6.1,no,r,drc,0,0
+r_1349_pad.6.1a,no,r,drc,0,0
+r_1350_pad.6.1b,no,r,drc,0,0
+r_1351_pad.6.1a/b,no,r,drc,0,0
+r_1352_pad.7.1,no,r,drc,0,0
+r_1353_pad.8.1,no,r,drc,0,0
+r_1354_pad_2/3.1,no,r,drc,0,0
+r_1355_pad_2/3.1,no,r,drc,0,0
+r_1356_pad_2/3.1,no,r,drc,0,0
+r_1357_pad.1.2,no,r,drc,0,0
+r_1358_pad.2.2,no,r,drc,0,0
+r_1359_pad.2.2a,no,r,drc,0,0
+r_1360_pad.3.2,no,r,drc,0,0
+r_1361_pad.4.2,no,r,drc,0,0
+r_1362_pad.9.1,no,r,drc,0,0
+r_1363_pad_6_2,no,r,drc,0,0
+r_1364_pad_6_2,no,r,drc,0,0
+r_1365_pad_5_2_4,no,r,drc,0,0
+r_1366_pad.7.2.1,no,r,drc,0,0
+r_1367_pad.7.2.2,no,r,drc,0,0
+r_1368_pad.7.2.2,no,r,drc,0,0
+r_1369_cupad.1,no,r,drc,0,0
+r_1370_cupad.2,no,r,drc,0,0
+r_1371_cupad.2,no,r,drc,0,0
+r_1372_cupad.3,no,r,drc,0,0
+r_1373_cupad.3,no,r,drc,0,0
+r_1374_cupad.4,no,r,drc,0,0
+r_1375_cupad.4,no,r,drc,0,0
+r_1376_scribe.5,no,r,drc,0,0
+r_1377_scribe.5,no,r,drc,0,0
+r_1378_scribe.5,no,r,drc,0,0
+r_1379_scribe.5,no,r,drc,0,0
+r_1380_scribe.5,no,r,drc,0,0
+r_1381_scribe.5,no,r,drc,0,0
+r_1382_scribe.5,no,r,drc,0,0
+r_1383_scribe.5,no,r,drc,0,0
+r_1384_scribe.5,no,r,drc,0,0
+r_1385_scribe.5,no,r,drc,0,0
+r_1386_scribe.5,no,r,drc,0,0
+r_1387_scribe.5,no,r,drc,0,0
+r_1388_scribe.5,no,r,drc,0,0
+r_1389_scribe.5,no,r,drc,0,0
+r_1390_scribe.5,no,r,drc,0,0
+r_1391_scribe.5,no,r,drc,0,0
+r_1392_scribe.5,no,r,drc,0,0
+r_1393_scribe.5,no,r,drc,0,0
+r_1394_scribe.5,no,r,drc,0,0
+r_1395_scribe.5,no,r,drc,0,0
+r_1396_scribe.5,no,r,drc,0,0
+r_1397_scribe.5,no,r,drc,0,0
+r_1398_scribe.5,no,r,drc,0,0
+r_1399_scribe.5,no,r,drc,0,0
+r_1400_scribe.5,no,r,drc,0,0
+r_1401_scribe.5,no,r,drc,0,0
+r_1402_scribe.5,no,r,drc,0,0
+r_1403_scribe.5,no,r,drc,0,0
+r_1404_scribe.6a,no,r,drc,0,0
+r_1405_scribe.6d,no,r,drc,0,0
+r_1406_scribe.6e,no,r,drc,0,0
+r_1407_scribe.7,no,r,drc,0,0
+r_1408_scribe.7,no,r,drc,0,0
+r_1409_scribe.7,no,r,drc,0,0
+r_1410_scribe.7,no,r,drc,0,0
+r_1411_scribe.7,no,r,drc,0,0
+r_1412_scribe.7,no,r,drc,0,0
+r_1413_scribe.7,no,r,drc,0,0
+r_1414_scribe.7,no,r,drc,0,0
+r_1415_scribe.7,no,r,drc,0,0
+r_1416_scribe.7,no,r,drc,0,0
+r_1417_scribe.7,no,r,drc,0,0
+r_1418_scribe.7,no,r,drc,0,0
+r_1419_scribe.7,no,r,drc,0,0
+r_1420_scribe.7,no,r,drc,0,0
+r_1421_scribe.7,no,r,drc,0,0
+r_1422_scribe.7,no,r,drc,0,0
+r_1423_scribe.7,no,r,drc,0,0
+r_1424_scribe.7,no,r,drc,0,0
+r_1425_scribe.7,no,r,drc,0,0
+r_1426_scribe.7,no,r,drc,0,0
+r_1427_scribe.7,no,r,drc,0,0
+r_1428_scribe.7,no,r,drc,0,0
+r_1429_scribe.7,no,r,drc,0,0
+r_1430_scribe.7,no,r,drc,0,0
+r_1431_scribe.7,no,r,drc,0,0
+r_1432_scribe.7,no,r,drc,0,0
+r_1433_scribe.7,no,r,drc,0,0
+r_1434_scribe.7,no,r,drc,0,0
+r_1435_scribe.7,no,r,drc,0,0
+r_1436_scribe.7,no,r,drc,0,0
+r_1437_scribe.7,no,r,drc,0,0
+r_1438_scribe.7,no,r,drc,0,0
+r_1439_scribe.7,no,r,drc,0,0
+r_1440_scribe.7,no,r,drc,0,0
+r_1441_scribe.7,no,r,drc,0,0
+r_1442_scribe.7,no,r,drc,0,0
+r_1443_scribe.7,no,r,drc,0,0
+r_1444_scribe.7,no,r,drc,0,0
+r_1445_scribe.7,no,r,drc,0,0
+r_1446_scribe.7,no,r,drc,0,0
+r_1447_scribe.8,no,r,drc,0,0
+r_1448_scribe.9,no,r,drc,0,0
+r_1449_scribe.10,no,r,drc,0,0
+r_1450_scribe.10,no,r,drc,0,0
+r_1451_scribe.11,no,r,drc,0,0
+r_1452_scribe.11,no,r,drc,0,0
+r_1453_scribe.12,no,r,drc,0,0
+r_1454_scribe.13,no,r,drc,0,0
+r_1455_scribe.13,no,r,drc,0,0
+r_1456_scribe.13,no,r,drc,0,0
+r_1457_scribe.13,no,r,drc,0,0
+r_1458_scribe.13,no,r,drc,0,0
+r_1459_scribe.13,no,r,drc,0,0
+r_1460_scribe.13,no,r,drc,0,0
+r_1461_scribe.13,no,r,drc,0,0
+r_1462_scribe.13,no,r,drc,0,0
+r_1463_scribe.13,no,r,drc,0,0
+r_1464_scribe.14,no,r,drc,0,0
+r_1465_scribe.15,no,r,drc,0,0
+r_1466_scribe.16,no,r,drc,0,0
+r_1467_scribe.17,no,r,drc,0,0
+r_1468_scribe.18,no,r,drc,0,0
+r_1469_scribe.18,no,r,drc,0,0
+r_1470_scribe.19,no,r,drc,0,0
+r_1471_scribe.20,no,r,drc,0,0
+r_1472_scribe.21,no,r,drc,0,0
+r_734_capm.1,no,r,drc,0,0
+r_735_capm.2a,no,r,drc,0,0
+r_736_capm.2b,no,r,drc,0,0
+r_737_capm.2b,no,r,drc,0,0
+r_738_capm.3,no,r,drc,0,0
+r_739_capm.4,no,r,drc,0,0
+r_740_capm.5,no,r,drc,0,0
+r_741_capm.6,no,r,drc,0,0
+r_742_capm.7,no,r,drc,0,0
+r_743_capm.8,no,r,drc,0,0
+r_744_capm.8,no,r,drc,0,0
+r_745_capm.9,no,r,drc,0,0
+r_746_cap2m.1,no,r,drc,0,0
+r_747_cap2m.2a,no,r,drc,0,0
+r_748_cap2m.2b,no,r,drc,0,0
+r_749_cap2m.2b,no,r,drc,0,0
+r_750_cap2m.3,no,r,drc,0,0
+r_751_cap2m.4,no,r,drc,0,0
+r_752_cap2m.5,no,r,drc,0,0
+r_753_cap2m.6,no,r,drc,0,0
+r_754_cap2m.7,no,r,drc,0,0
+r_755_cap2m.8,no,r,drc,0,0
+r_756_cap2m.8,no,r,drc,0,0
+r_1473_chvtpm.1,no,r,drc,0,0
+r_1474_chvtpm.2a,no,r,drc,0,0
+r_1475_chvtpm.3,no,r,drc,0,0
+r_1476_chvtpm.4,no,r,drc,0,0
+r_1477_clvtnm.1,no,r,drc,0,0
+r_1478_clvtnm.2,no,r,drc,0,0
+r_1479_cntm.2,no,r,drc,0,0
+r_1480_cntm.1,no,r,drc,0,0
+r_1481_cntm.3,no,r,drc,0,0
+r_1482_cntm.3,no,r,drc,0,0
+r_1483_cntm.4a,no,r,drc,0,0
+r_1484_cntm.4a,no,r,drc,0,0
+r_1485_cntm.7,no,r,drc,0,0
+r_1486_cntm.7,no,r,drc,0,0
+r_1487_chvntm.1,no,r,drc,0,0
+r_1488_chvntm.2a,no,r,drc,0,0
+r_1489_chvntm.4,no,r,drc,0,0
+r_1490_chvntm.4,no,r,drc,0,0
+r_1491_chvntm.5,no,r,drc,0,0
+r_1492_chvntm.5,no,r,drc,0,0
+r_1493_chvntm.3,no,r,drc,0,0
+r_1494_chvntm.6a,no,r,drc,0,0
+r_1495_chvntm.6a,no,r,drc,0,0
+r_1496_chvntm.6b,no,r,drc,0,0
+r_1497_chvntm.7,no,r,drc,0,0
diff --git a/signoff/cdrcpost/drcmr.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/drcmr.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..4b7a371
--- /dev/null
+++ b/signoff/cdrcpost/drcmr.caravel_00010017.drc.summary.csv
@@ -0,0 +1,172 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+MR_dnwell.2,no,M,drcmr,0,0
+MR_nwell.1,no,M,drcmr,0,0
+MR_nwell.2a,no,M,drcmr,0,0
+MR_hvtp.1,no,M,drcmr,0,0
+MR_hvtp.2,no,M,drcmr,0,0
+MR_hvtr.1,no,M,drcmr,0,0
+MR_hvtr.2,no,M,drcmr,0,0
+MR_hvtr.2_a,no,M,drcmr,0,0
+MR_lvtn.1a,no,M,drcmr,0,0
+MR_lvtn.2,no,M,drcmr,0,0
+MR_ncm.1,no,M,drcmr,0,0
+MR_ncm.2a,no,M,drcmr,0,0
+MR_difftap.1,no,M,drcmr,0,0
+MR_difftap.1_a,no,M,drcmr,0,0
+MR_difftap.1_b,no,M,drcmr,0,0
+MR_difftap.1_c,no,M,drcmr,0,0
+MR_difftap.3,no,M,drcmr,0,0
+MR_tunm.1,no,M,drcmr,0,0
+MR_tunm.2,no,M,drcmr,0,0
+MR_poly.1a,no,M,drcmr,0,0
+MR_poly.2,no,M,drcmr,0,0
+MR_rpm.1a,no,M,drcmr,0,0
+MR_rpm.2,no,M,drcmr,0,0
+MR_urpm.1a,no,M,drcmr,0,0
+MR_urpm.2,no,M,drcmr,0,0
+MR_npc.1,no,M,drcmr,0,0
+MR_npc.2,no,M,drcmr,0,0
+MR_licon.1,no,M,drcmr,0,0
+MR_licon.1_a,no,M,drcmr,0,0
+MR_licon.1_b,no,M,drcmr,0,0
+MR_licon.13,no,M,drcmr,0,0
+MR_licon.13_a,no,M,drcmr,0,0
+MR_licon.17,no,M,drcmr,0,0
+MR_li.1,no,M,drcmr,0,0
+MR_li.3,no,M,drcmr,0,0
+MR_li.5,no,M,drcmr,0,0
+MR_li.6,no,M,drcmr,0,0
+MR_ct.1,no,M,drcmr,0,0
+MR_ct.1_a,no,M,drcmr,0,0
+MR_ct.1_b,no,M,drcmr,0,0
+MR_ct.2,no,M,drcmr,0,0
+MR_ct.3,no,M,drcmr,0,0
+MR_ct.3_a,no,M,drcmr,0,0
+MR_ct.3_b,no,M,drcmr,0,0
+MR_ct.4,no,M,drcmr,0,0
+MR_capm.1,no,M,drcmr,0,0
+MR_capm.2a,no,M,drcmr,0,0
+MR_capm.2b,no,M,drcmr,0,0
+MR_capm.2b_a,no,M,drcmr,0,0
+MR_capm.3,no,M,drcmr,0,0
+MR_capm.4,no,M,drcmr,0,0
+MR_capm.5,no,M,drcmr,0,0
+MR_cap2m.1,no,M,drcmr,0,0
+MR_cap2m.2a,no,M,drcmr,0,0
+MR_cap2m.2b,no,M,drcmr,0,0
+MR_cap2m.2b_a,no,M,drcmr,0,0
+MR_cap2m.3,no,M,drcmr,0,0
+MR_cap2m.4,no,M,drcmr,0,0
+MR_cap2m.5,no,M,drcmr,0,0
+MR_m1.1,no,M,drcmr,0,0
+MR_m1.2,no,M,drcmr,0,0
+MR_m1.3b,no,M,drcmr,0,0
+MR_m1.3a,no,M,drcmr,0,0
+MR_791_m1.4,no,M,drcmr,0,0
+MR_m1.4,no,M,drcmr,0,0
+MR_m1.4a,no,M,drcmr,0,0
+MR_m1.4a_a,no,M,drcmr,0,0
+MR_m1.5,no,M,drcmr,0,0
+MR_m1.6,no,M,drcmr,0,0
+MR_m1.7,no,M,drcmr,0,0
+MR_m1.7_a,no,M,drcmr,0,0
+MR_via.1a,no,M,drcmr,0,0
+MR_via.1a_a,no,M,drcmr,0,0
+MR_via.1a_b,no,M,drcmr,0,0
+MR_via.2,no,M,drcmr,0,0
+MR_via.3,no,M,drcmr,0,0
+MR_via.3_a,no,M,drcmr,0,0
+MR_via.3_b,no,M,drcmr,0,0
+MR_via.4a,no,M,drcmr,0,0
+MR_via.4a_a,no,M,drcmr,0,0
+MR_via.5a,no,M,drcmr,0,0
+MR_m2.1,no,M,drcmr,0,0
+MR_m2.2,no,M,drcmr,0,0
+MR_m2.3b,no,M,drcmr,0,0
+MR_m2.3a,no,M,drcmr,0,0
+MR_m2.4,no,M,drcmr,0,0
+MR_m2.4_a,no,M,drcmr,0,0
+MR_m2.5,no,M,drcmr,0,0
+MR_m2.6,no,M,drcmr,0,0
+MR_m2.7,no,M,drcmr,0,0
+MR_m2.7_a,no,M,drcmr,0,0
+MR_via2.1a,no,M,drcmr,0,0
+MR_via2.1a_a,no,M,drcmr,0,0
+MR_via2.1a_b,no,M,drcmr,0,0
+MR_via2.2,no,M,drcmr,0,0
+MR_via2.3,no,M,drcmr,0,0
+MR_via2.3_a,no,M,drcmr,0,0
+MR_via2.3_b,no,M,drcmr,0,0
+MR_via2.4,no,M,drcmr,0,0
+MR_via2.4_a,no,M,drcmr,0,0
+MR_via2.5,no,M,drcmr,0,0
+MR_m3.1,no,M,drcmr,0,0
+MR_m3.2,no,M,drcmr,0,0
+MR_m3.4,no,M,drcmr,0,0
+MR_m3.4_a,no,M,drcmr,0,0
+MR_m3.3d,no,M,drcmr,0,0
+MR_m3.3c,no,M,drcmr,0,0
+MR_via3.1,no,M,drcmr,0,0
+MR_via3.1_a,no,M,drcmr,0,0
+MR_via3.1_b,no,M,drcmr,0,0
+MR_via3.2,no,M,drcmr,0,0
+MR_via3.4,no,M,drcmr,0,0
+MR_via3.4_a,no,M,drcmr,0,0
+MR_via3.5,no,M,drcmr,0,0
+MR_m4.1,no,M,drcmr,0,0
+MR_m4.2,no,M,drcmr,0,0
+MR_m4.3,no,M,drcmr,0,0
+MR_m4.3_a,no,M,drcmr,0,0
+MR_m4.4a,no,M,drcmr,0,0
+MR_m4.5b,no,M,drcmr,0,0
+MR_m4.5a,no,M,drcmr,0,0
+MR_via4.1,no,M,drcmr,0,0
+MR_via4.1_a,no,M,drcmr,0,0
+MR_via4.1_b,no,M,drcmr,0,0
+MR_via4.2,no,M,drcmr,0,0
+MR_via4.3,no,M,drcmr,0,0
+MR_via4.3_a,no,M,drcmr,0,0
+MR_via4.3_b,no,M,drcmr,0,0
+MR_via4.4,no,M,drcmr,0,0
+MR_via4.4_a,no,M,drcmr,0,0
+MR_m5.1,no,M,drcmr,0,0
+MR_m5.2,no,M,drcmr,0,0
+MR_m5.3,no,M,drcmr,0,0
+MR_m5.3_a,no,M,drcmr,0,0
+MR_m5.4,no,M,drcmr,0,0
+MR_pad.2,no,M,drcmr,0,0
+MR_hvi.1,no,M,drcmr,0,0
+MR_hvi.2a,no,M,drcmr,0,0
+MR_hvntm.1,no,M,drcmr,0,0
+MR_hvntm.2,no,M,drcmr,0,0
+MR_cfom.pd.1d,no,M,drcmr,0,0
+MR_cfom.pd.1e,no,M,drcmr,0,0
+MR_cfom.waffle.1,no,M,drcmr,0,0
+MR_cfom.waffle.2,no,M,drcmr,0,0
+MR_cfom.waffle.2a,no,M,drcmr,0,0
+MR_cp1m.waffle.1,no,M,drcmr,0,0
+MR_cp1m.waffle.2a,no,M,drcmr,0,0
+MR_cli1m.4,no,M,drcmr,0,0
+MR_cli1m.5,no,M,drcmr,0,0
+MR_li1m.waffle.1,no,M,drcmr,0,0
+MR_li1m.waffle.2a,no,M,drcmr,0,0
+MR_cmm1.pd.3,no,M,drcmr,0,0
+MR_cmm1.pd.4,no,M,drcmr,0,0
+MR_cmm1.waffle.1,no,M,drcmr,0,0
+MR_cmm1.waffle.2,no,M,drcmr,0,0
+MR_cmm2.pd.3,no,M,drcmr,0,0
+MR_cmm2.pd.4,no,M,drcmr,0,0
+MR_cmm2.waffle.1,no,M,drcmr,0,0
+MR_cmm2.waffle.2,no,M,drcmr,0,0
+MR_cmm3.pd.3,no,M,drcmr,0,0
+MR_cmm3.pd.4,no,M,drcmr,0,0
+MR_cmm3.waffle.1,no,M,drcmr,0,0
+MR_cmm3.waffle.2,no,M,drcmr,0,0
+MR_cmm4.pd.3,no,M,drcmr,0,0
+MR_cmm4.pd.4,no,M,drcmr,0,0
+MR_cmm4.waffle.1,no,M,drcmr,0,0
+MR_cmm4.waffle.2,no,M,drcmr,0,0
+MR_cmm5.pd.4,no,M,drcmr,0,0
+MR_cmm5.pd.5,no,M,drcmr,0,0
+MR_cmm5.waffle.1,no,M,drcmr,0,0
+MR_cmm5.waffle.2,no,M,drcmr,0,0
diff --git a/signoff/cdrcpost/fill.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/fill.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..ed97a7f
--- /dev/null
+++ b/signoff/cdrcpost/fill.caravel_00010017.drc.summary.csv
@@ -0,0 +1,7 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+LI1M,no,L,fill,0,0
+MM1,no,M,fill,15661,15661
+MM2,no,M,fill,9084,9084
+MM3,no,M,fill,3940,3940
+MM4,no,M,fill,3820,3820
+MM5,no,M,fill,283,283
diff --git a/signoff/cdrcpost/latchup.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/latchup.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..2ac0bb9
--- /dev/null
+++ b/signoff/cdrcpost/latchup.caravel_00010017.drc.summary.csv
@@ -0,0 +1,310 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+r_0_lu.5.7a,no,r,latchup,0,0
+r_1_lu.5.7b,no,r,latchup,0,0
+r_2_lu1.2.1a,no,r,latchup,0,0
+r_3_lu1.2.1b,no,r,latchup,0,0
+r_4_lu1.2.2a,no,r,latchup,0,0
+r_5_lu1.2.2b,no,r,latchup,0,0
+r_6_lu1.2.3a,no,r,latchup,0,0
+r_7_lu1.2.3b,no,r,latchup,0,0
+r_8_lu1.2.1a,no,r,latchup,0,0
+r_9_lu1.2.1b,no,r,latchup,0,0
+r_10_lu1.2.2a,no,r,latchup,0,0
+r_11_lu1.2.2b,no,r,latchup,0,0
+r_12_lu1.2.3a,no,r,latchup,0,0
+r_13_lu1.2.3b,no,r,latchup,0,0
+r_14_lu1.3.1a,no,r,latchup,0,0
+r_15_lu1.3.1b,no,r,latchup,0,0
+r_16_lu1.3.2a,no,r,latchup,0,0
+r_17_lu1.3.2b,no,r,latchup,0,0
+r_18_lu1.3.3a,no,r,latchup,0,0
+r_19_lu1.3.3b,no,r,latchup,0,0
+r_20_lu1.3.1a,no,r,latchup,0,0
+r_21_lu1.3.1b,no,r,latchup,0,0
+r_22_lu1.3.2a,no,r,latchup,0,0
+r_23_lu1.3.2b,no,r,latchup,0,0
+r_24_lu1.3.3a,no,r,latchup,0,0
+r_25_lu1.3.3b,no,r,latchup,0,0
+r_26_lu1.4,no,r,latchup,0,0
+r_27_lu1.4,no,r,latchup,0,0
+k_0_s8_esd_xmt,no,k,latchup,0,0
+r_28_lu1.5,no,r,latchup,0,0
+r_29_lu1.5,no,r,latchup,0,0
+r_30_lu1.5,no,r,latchup,0,0
+r_31_lu1.5,no,r,latchup,0,0
+r_32_lu1.5,no,r,latchup,0,0
+r_33_lu1.5,no,r,latchup,0,0
+r_34_lu1.5,no,r,latchup,0,0
+r_35_lu1.5,no,r,latchup,0,0
+r_36_lu1.5,no,r,latchup,0,0
+r_37_lu1.5,no,r,latchup,0,0
+r_38_lu1.5,no,r,latchup,0,0
+r_39_lu1.5,no,r,latchup,0,0
+r_40_lu1.5,no,r,latchup,0,0
+r_41_lu1.5,no,r,latchup,0,0
+r_42_lu1.5,no,r,latchup,0,0
+r_43_lu1.5,no,r,latchup,0,0
+r_44_lu1.5,no,r,latchup,0,0
+r_45_lu1.5,no,r,latchup,0,0
+r_46_lu1.5,no,r,latchup,0,0
+r_47_lu1.5,no,r,latchup,0,0
+r_48_lu1.5,no,r,latchup,0,0
+r_49_lu1.5,no,r,latchup,0,0
+r_50_lu1.5,no,r,latchup,0,0
+r_51_lu1.5,no,r,latchup,0,0
+r_52_lu1.5,no,r,latchup,0,0
+r_53_lu1.5,no,r,latchup,0,0
+r_54_lu1.5,no,r,latchup,0,0
+r_55_lu1.5,no,r,latchup,0,0
+r_56_lu1.5,no,r,latchup,0,0
+r_57_lu1.5,no,r,latchup,0,0
+r_58_lu1.5,no,r,latchup,0,0
+r_59_lu1.5,no,r,latchup,0,0
+r_60_lu1.5,no,r,latchup,0,0
+r_61_lu1.5,no,r,latchup,0,0
+r_62_lu1.5,no,r,latchup,0,0
+r_63_lu1.5,no,r,latchup,0,0
+r_64_lu1.5,no,r,latchup,0,0
+r_65_lu1.5,no,r,latchup,0,0
+r_66_lu1.5,no,r,latchup,0,0
+r_67_lu1.5,no,r,latchup,0,0
+r_68_lu1.5,no,r,latchup,0,0
+r_69_lu1.5,no,r,latchup,0,0
+r_70_lu1.5,no,r,latchup,0,0
+r_71_lu1.5,no,r,latchup,0,0
+r_72_lu1.5,no,r,latchup,0,0
+r_73_lu1.5,no,r,latchup,0,0
+r_74_lu1.5,no,r,latchup,0,0
+r_75_lu1.5,no,r,latchup,0,0
+r_76_lu1.5,no,r,latchup,0,0
+r_77_lu1.5,no,r,latchup,0,0
+r_78_lu1.5,no,r,latchup,0,0
+k_1_ptap_SGR,no,k,latchup,33,412
+k_2_hole_ptap_SGR,no,k,latchup,439,1533
+k_3_ntap_SGR,no,k,latchup,29,359
+k_4_hole_ntap_SGR,no,k,latchup,53,667
+k_5_inner_ptap_DGR,no,k,latchup,20,360
+k_6_inner_hole_ptap_DGR,no,k,latchup,415,1440
+k_7_PinnerToSecondReg_DGR,no,k,latchup,170,1440
+k_8_second_ntap_DGR,no,k,latchup,11,111
+k_9_inner_ntap_DGR,no,k,latchup,11,216
+k_10_inner_hole_ntap_DGR,no,k,latchup,24,369
+k_11_NinnerToSecondReg_DGR,no,k,latchup,50,1174
+k_12_second_ptap_DGR,no,k,latchup,4,39
+k_13_inner_ptap_TGR,no,k,latchup,0,0
+k_14_second_ntap_TGR,no,k,latchup,0,0
+k_15_inner_hole_ptap_TGR,no,k,latchup,0,0
+k_16_NinnerToSecondReg_TGR,no,k,latchup,0,0
+k_17_NsecondToThirdReg_TGR,no,k,latchup,0,0
+k_18_third_ptap_TGR,no,k,latchup,0,0
+k_19_inner_ntap_TGR,no,k,latchup,0,0
+k_20_second_ptap_TGR,no,k,latchup,0,0
+k_21_inner_hole_ntap_TGR,no,k,latchup,0,0
+k_22_PinnerToSecondReg_TGR,no,k,latchup,0,0
+k_23_PsecondToThirdReg_TGR,no,k,latchup,0,0
+k_24_third_ntap_TGR,no,k,latchup,0,0
+r_79_lu.11.4,no,r,latchup,0,0
+r_80_lu.11.4,no,r,latchup,0,0
+r_81_lu.4.12a,no,r,latchup,0,0
+r_82_lu.4.12a,no,r,latchup,0,0
+r_83_lu.4.12a,no,r,latchup,0,0
+r_84_lu.4.12b,no,r,latchup,0,0
+r_85_lu.4.12c,no,r,latchup,0,0
+r_86_lu.4.12d,no,r,latchup,0,0
+r_87_lu.4.12e,no,r,latchup,0,0
+r_88_lu.4.12f,no,r,latchup,0,0
+r_89_lu.4.12g,no,r,latchup,0,0
+r_90_lu.4.12h,no,r,latchup,0,0
+r_91_lu.4.12i,no,r,latchup,0,0
+r_92_lu.4.12j,no,r,latchup,0,0
+r_93_lu.4.12k,no,r,latchup,0,0
+r_94_lu.4.12l,no,r,latchup,0,0
+r_95_lu.4.12b,no,r,latchup,0,0
+r_96_lu.4.12k,no,r,latchup,0,0
+r_97_lu.4.1.1a,no,r,latchup,0,0
+r_98_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_99_lu.4.1.1a,no,r,latchup,0,0
+r_100_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_101_lu.4.1.1a/c/e,no,r,latchup,0,0
+r_102_lu.4.1.1b,no,r,latchup,0,0
+r_103_lu.4.1.1b,no,r,latchup,0,0
+r_104_lu.4.1.1b,no,r,latchup,0,0
+r_105_lu.4.1.1g,no,r,latchup,0,0
+r_106_lu.4.1.1g/c/e,no,r,latchup,0,0
+r_107_lu.4.1.1g,no,r,latchup,0,0
+r_108_lu.4.1.1g/c/e,no,r,latchup,0,0
+r_109_lu.4.1.1g,no,r,latchup,0,0
+r_110_lu.4.1.1h,no,r,latchup,0,0
+r_111_lu.4.1.1h,no,r,latchup,0,0
+r_112_lu.4.2.1b,no,r,latchup,0,0
+r_113_lu.11.3,no,r,latchup,21,63
+k_25_LU5_pDiffVccOrIo_conn_nwellNonVcc,no,k,latchup,0,0
+k_26_LU5_nonExemptPdiff,no,k,latchup,0,0
+k_27_LU5_nonExemptARNonVccNwell,no,k,latchup,0,0
+k_28_LU5_ExemptARNonVccNwell_1,no,k,latchup,0,0
+k_29_LU5_ioNSDOrNwellSz,no,k,latchup,104,1403
+k_30_LU5_ExemptARNonVccNwell_3,no,k,latchup,0,0
+k_31_LU5_ExemptARNonVccNwell_4,no,k,latchup,0,0
+k_32_LU5_ExemptARNonVccNwell_2,no,k,latchup,0,0
+k_33_LU5_AtRiskNonVccNwellNonExempt,no,k,latchup,0,0
+k_34_bad_pDiffVcc_and_nWellNonVcc_Norm,no,k,latchup,0,0
+k_35_bad_pDiffVcc_and_nWellNonVcc_3p3V,no,k,latchup,0,0
+r_114_lu.5.1a/b,no,r,latchup,0,0
+r_115_lu.5.6,no,r,latchup,0,0
+r_116_lu.5.1a/b,no,r,latchup,0,0
+k_36_q0lu5_1_innerPLicon1,no,k,latchup,0,0
+r_117_lu.5.1a/b,no,r,latchup,0,0
+r_118_lu.5.1a/b,no,r,latchup,0,0
+k_37_q0lu5_1_secondNLicon1,no,k,latchup,0,0
+r_119_lu.5.1a/b,no,r,latchup,0,0
+r_120_lu.5.1a,no,r,latchup,0,0
+r_121_lu.5.1b,no,r,latchup,0,0
+r_122_lu.5.6,no,r,latchup,0,0
+r_123_lu.5.6,no,r,latchup,0,0
+r_124_lu.5.6,no,r,latchup,0,0
+r_125_lu.5.6,no,r,latchup,0,0
+r_126_lu.5.2,no,r,latchup,0,0
+r_127_lu.5.2,no,r,latchup,0,0
+k_38_q0lu5_2_nonPnpPTapLicon1,no,k,latchup,0,0
+r_128_lu.5.2,no,r,latchup,0,0
+r_129_lu.5.2,no,r,latchup,0,0
+k_39_q0lu5_2_nonPnpNTapLicon1,no,k,latchup,0,0
+r_130_lu.5.2,no,r,latchup,0,0
+r_131_lu.5.2,no,r,latchup,0,0
+r_132_lu.5.2,no,r,latchup,0,0
+r_133_lu.4.12m,no,r,latchup,0,0
+r_134_lu.4.12n,no,r,latchup,0,0
+r_135_lu.4.12m,no,r,latchup,0,0
+r_136_lu.4.12n,no,r,latchup,0,0
+r_137_lu.4.12m,no,r,latchup,0,0
+r_138_lu.4.12n,no,r,latchup,0,0
+r_139_lu.4.12m,no,r,latchup,0,0
+r_140_lu.4.12n,no,r,latchup,0,0
+r_141_lu.4.12m,no,r,latchup,0,0
+r_142_lu.4.12n,no,r,latchup,0,0
+r_143_lu.4.12m,no,r,latchup,0,0
+r_144_lu.4.12n,no,r,latchup,0,0
+r_145_lu.4.12m,no,r,latchup,0,0
+r_146_lu.4.12n,no,r,latchup,0,0
+r_147_lu.4.12m,no,r,latchup,0,0
+r_148_lu.4.12n,no,r,latchup,0,0
+k_40_reg_mtdr_io_reg_mockup_met2,no,k,latchup,0,0
+k_41_reg_s8tee_reg_top_met2,no,k,latchup,0,0
+r_149_lu.4.2,no,r,latchup,0,0
+r_150_lu.4.2,no,r,latchup,0,0
+r_151_lu.4.2,no,r,latchup,0,0
+r_152_lu.4.3,no,r,latchup,0,0
+r_153_lu.4.3,no,r,latchup,0,0
+r_154_lu.4.3.1,no,r,latchup,0,0
+r_155_lu.4.3.1,no,r,latchup,0,0
+r_156_lu.4.3.1,no,r,latchup,2,30
+r_157_lu.4.3.1,no,r,latchup,0,0
+r_158_lu.4.3.1,no,r,latchup,0,0
+r_159_lu.4.2.1,no,r,latchup,0,0
+r_160_lu.4.2.1,no,r,latchup,0,0
+r_161_lu.4.2.1,no,r,latchup,0,0
+r_162_lu.4.2.1,no,r,latchup,0,0
+r_163_lu.4.2.1,no,r,latchup,0,0
+r_164_lu.4.2.1,no,r,latchup,4,32
+r_165_lu.4.2.1,no,r,latchup,0,0
+r_166_lu.4.2.1,no,r,latchup,0,0
+r_167_lu.4.2.1,no,r,latchup,2,30
+r_168_lu.4.2.1,no,r,latchup,3,59
+r_169_lu.4.2.1a,no,r,latchup,0,0
+r_170_lu.4.4,no,r,latchup,0,0
+r_171_lu.4.4,no,r,latchup,0,0
+r_172_lu.4.4,no,r,latchup,0,0
+r_173_lu.4.4,no,r,latchup,0,0
+r_174_lu.4.4,no,r,latchup,0,0
+r_175_lu.4.4,no,r,latchup,0,0
+r_176_lu.4.4,no,r,latchup,0,0
+r_177_lu.4.4,no,r,latchup,0,0
+r_178_lu.4.6,no,r,latchup,0,0
+r_179_lu.4.6,no,r,latchup,0,0
+r_180_lu.4.6,no,r,latchup,0,0
+r_181_lu.4.6,no,r,latchup,0,0
+r_182_lu.4.6,no,r,latchup,0,0
+r_183_lu.4.6,no,r,latchup,0,0
+r_184_lu.4.6,no,r,latchup,0,0
+r_185_lu.4.6,no,r,latchup,0,0
+r_186_lu.4.6.1,no,r,latchup,0,0
+r_187_lu.4.6.1,no,r,latchup,0,0
+r_188_lu.4.6.1,no,r,latchup,0,0
+r_189_lu.4.6.1,no,r,latchup,0,0
+r_190_lu.4.6.1,no,r,latchup,0,0
+r_191_lu.4.6.1,no,r,latchup,0,0
+r_192_lu.4.6.1,no,r,latchup,0,0
+r_193_lu.4.6.1,no,r,latchup,0,0
+r_194_lu.4.7/8.ptap,no,r,latchup,0,0
+r_195_lu.4.7/8.ntap,no,r,latchup,0,0
+r_196_lu.4.9,no,r,latchup,0,0
+r_197_lu.4.9,no,r,latchup,0,0
+r_198_lu.4.13,no,r,latchup,0,0
+r_199_lu.4.14,no,r,latchup,0,0
+k_42_ioNsrcDrnShrtRes,no,k,latchup,155,3428
+k_43_ioPsrcDrnShrtRes,no,k,latchup,155,3428
+k_44_esdIpRes_blocks_res,no,k,latchup,0,0
+k_45_poly_resNoEsd,no,k,latchup,54,735
+s_0_res.1a,no,s,latchup,0,0
+r_200_X.25,no,r,latchup,0,0
+r_201_X.25,no,r,latchup,0,0
+r_202_lu.12.1a,no,r,latchup,0,0
+r_203_lu.12.1a,no,r,latchup,0,0
+r_204_lu.12.1a,no,r,latchup,0,0
+r_205_lu.12.1a,no,r,latchup,0,0
+r_206_lu.12.1b,no,r,latchup,0,0
+r_207_lu.12.1c,no,r,latchup,0,0
+r_208_lu.12.1c,no,r,latchup,0,0
+r_209_lu.12.1c,no,r,latchup,0,0
+r_210_lu.12.1c,no,r,latchup,0,0
+r_211_lu.12.1c,no,r,latchup,0,0
+s_1_lu.12.2a,no,s,latchup,0,0
+s_2_lu.12.2a,no,s,latchup,0,0
+s_3_lu.12.2a,no,s,latchup,0,0
+s_4_lu.12.2a,no,s,latchup,0,0
+s_5_lu.12.2b,no,s,latchup,0,0
+r_212_lu.13.1,no,r,latchup,0,0
+r_213_lu.13.2,no,r,latchup,0,0
+r_214_lu.13.2,no,r,latchup,0,0
+r_215_lu.13.2,no,r,latchup,0,0
+r_216_lu.13.3a,no,r,latchup,0,0
+r_217_lu.13.3b,no,r,latchup,0,0
+r_218_lu.13.4,no,r,latchup,0,0
+r_219_lu.13.4,no,r,latchup,0,0
+r_220_lu.13.4,no,r,latchup,0,0
+r_221_lu.13.4,no,r,latchup,0,0
+r_222_lu.4.12o,no,r,latchup,0,0
+r_223_lu.4.12p,no,r,latchup,0,0
+r_224_subiso.2,no,r,latchup,0,0
+r_225_subiso.3,no,r,latchup,0,0
+r_226_subiso.4,no,r,latchup,0,0
+r_227_subiso.4,no,r,latchup,0,0
+r_228_subiso.5,no,r,latchup,0,0
+r_229_subiso.6,no,r,latchup,0,0
+r_230_subiso.7,no,r,latchup,0,0
+r_231_subiso.7,no,r,latchup,0,0
+r_232_subiso.8,no,r,latchup,0,0
+r_233_subiso.8,no,r,latchup,0,0
+r_234_subiso.9,no,r,latchup,0,0
+k_46_q0licon1_ring,no,k,latchup,0,0
+r_235_subiso.10/11,no,r,latchup,0,0
+k_47_q0mcon_ring,no,k,latchup,0,0
+r_236_subiso.10/11,no,r,latchup,0,0
+r_237_subiso.12,no,r,latchup,0,0
+r_238_subiso.13,no,r,latchup,0,0
+r_239_subiso.14,no,r,latchup,0,0
+r_240_subiso.15,no,r,latchup,0,0
+r_241_subiso.16,no,r,latchup,0,0
+r_242_ar.poly.1,no,r,latchup,0,0
+r_243_ar.licon.1,no,r,latchup,0,0
+r_244_ar_Li1.1,no,r,latchup,0,0
+r_245_ar.mcon.1,no,r,latchup,0,0
+r_246_ar.met1.1,no,r,latchup,0,0
+r_247_ar.via.1,no,r,latchup,0,0
+r_248_ar.met2.1,no,r,latchup,0,0
+r_249_ar.via2.1,no,r,latchup,0,0
+r_250_ar.met3.1,no,r,latchup,0,0
+r_251_ar.via3.1,no,r,latchup,0,0
+r_252_ar.met4.1,no,r,latchup,2,2
+r_253_ar.via4.1,no,r,latchup,0,0
+r_254_ar.met5.1,no,r,latchup,0,0
diff --git a/signoff/cdrcpost/luRes.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/luRes.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..819c304
--- /dev/null
+++ b/signoff/cdrcpost/luRes.caravel_00010017.drc.summary.csv
@@ -0,0 +1,15 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+r_0_lu4.5,no,r,luRes,0,0
+r_1_lu4.5,no,r,luRes,0,0
+s_0_lu4.15,no,s,luRes,0,0
+s_1_lu4.15,no,s,luRes,0,0
+r_2_luB1.14,no,r,luRes,0,0
+r_3_luB1.14,no,r,luRes,0,0
+k_0_PTAPringVictim,no,k,luRes,0,0
+k_1_PTAPringAggressor,no,k,luRes,0,0
+k_2_AgrRingCorners,no,k,luRes,0,0
+k_3_VicRingCorners,no,k,luRes,0,0
+k_4_Pad_vsub_vic_cond,no,k,luRes,0,0
+k_5_Pad_vsub_agr_cond,no,k,luRes,0,0
+r_4_subiso.18,no,r,luRes,0,0
+r_5_subiso.18,no,r,luRes,0,0
diff --git a/signoff/cdrcpost/soft.soft.drc.summary.csv b/signoff/cdrcpost/soft.soft.drc.summary.csv
new file mode 100644
index 0000000..501687d
--- /dev/null
+++ b/signoff/cdrcpost/soft.soft.drc.summary.csv
@@ -0,0 +1,8 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+r_0_soft_majority_diff_tap,no,r,soft,0,0
+r_1_soft_majority_Nwell_cond,no,r,soft,0,0
+r_2_soft_float_Nwell_cond,no,r,soft,0,0
+r_3_soft_float_substrate,no,r,soft,0,0
+r_4_soft_majority_substrate,no,r,soft,1000,4422
+r_5_soft_multinet_Poly_check,no,r,soft,0,0
+r_6_soft_majority_dnwell,no,r,soft,0,0
diff --git a/signoff/cdrcpost/stress.caravel_00010017.drc.summary.csv b/signoff/cdrcpost/stress.caravel_00010017.drc.summary.csv
new file mode 100644
index 0000000..38fc3ef
--- /dev/null
+++ b/signoff/cdrcpost/stress.caravel_00010017.drc.summary.csv
@@ -0,0 +1,142 @@
+RULE,Waivable,rule_letter,category,COUNT 1, COUNT 2
+k_0_met1slotCutPad,no,k,stress,0,0
+k_1_met1slot,no,k,stress,0,0
+k_2_met1OverCA,no,k,stress,6991,183472
+k_3_met2slotCutPad,no,k,stress,0,0
+k_4_met2slot,no,k,stress,0,0
+k_5_met2OverCA,no,k,stress,3279,53175
+k_6_met3slotCutPad,no,k,stress,0,0
+k_7_met3slot,no,k,stress,0,0
+k_8_met3OverCA,no,k,stress,1264,14045
+k_9_met4slotCutPad,no,k,stress,0,0
+k_10_met4slot,no,k,stress,6,43
+k_11_met4OverCA,no,k,stress,924,35243
+k_12_met5slotCutPad,no,k,stress,0,0
+k_13_met5slot,no,k,stress,0,0
+k_14_met5OverCA,no,k,stress,456,21115
+r_0_stress.5,no,r,stress,0,0
+r_1_stress.5,no,r,stress,0,0
+r_2_stress.6,no,r,stress,0,0
+r_3_stress.7,no,r,stress,0,0
+r_4_stress.7,no,r,stress,0,0
+r_5_stress.7,no,r,stress,20,28
+r_6_stress.7,no,r,stress,0,0
+r_7_stress.7,no,r,stress,3,3
+r_8_stress.7,no,r,stress,0,0
+r_9_stress.7,no,r,stress,0,0
+r_10_stress.7,no,r,stress,0,0
+r_11_stress.7,no,r,stress,0,0
+r_12_stress.7,no,r,stress,0,0
+r_13_stress.9,no,r,stress,0,0
+r_14_stress.9,no,r,stress,0,0
+r_15_stress.9,no,r,stress,0,0
+r_16_stress.9,no,r,stress,0,0
+r_17_stress.9,no,r,stress,0,0
+r_18_stress.10,no,r,stress,0,0
+r_19_stress.11,no,r,stress,0,0
+r_20_stress.10,no,r,stress,105,166
+r_21_stress.11,no,r,stress,0,0
+r_22_stress.10,no,r,stress,146,172
+r_23_stress.11,no,r,stress,0,0
+r_24_stress.10,no,r,stress,30,30
+r_25_stress.11,no,r,stress,0,0
+r_26_stress.10,no,r,stress,129,185
+r_27_stress.11,no,r,stress,0,0
+r_28_stress.12,no,r,stress,0,0
+r_29_stress.13,no,r,stress,0,0
+r_30_stress.14,no,r,stress,0,0
+r_31_stress.12,no,r,stress,0,0
+r_32_stress.13,no,r,stress,0,0
+r_33_stress.14,no,r,stress,0,0
+r_34_stress.12,no,r,stress,0,0
+r_35_stress.13,no,r,stress,0,0
+r_36_stress.14,no,r,stress,0,0
+r_37_stress.12,no,r,stress,3,3
+r_38_stress.13,no,r,stress,0,0
+r_39_stress.14,no,r,stress,0,0
+r_40_stress.12,no,r,stress,3,3
+r_41_stress.13,no,r,stress,0,0
+r_42_stress.14,no,r,stress,0,0
+r_43_stress.15,no,r,stress,0,0
+r_44_stress.15,no,r,stress,0,0
+r_45_stress.18,no,r,stress,0,0
+r_46_stress.18,no,r,stress,0,0
+r_47_slot.13,no,r,stress,0,0
+r_48_slot.14_w,no,r,stress,0,0
+r_49_slot.14_l,no,r,stress,0,0
+r_50_slot.13,no,r,stress,0,0
+r_51_slot.14_w,no,r,stress,0,0
+r_52_slot.14_l,no,r,stress,0,0
+r_53_slot.13,no,r,stress,0,0
+r_54_slot.14_w,no,r,stress,0,0
+r_55_slot.14_l,no,r,stress,0,0
+r_56_slot.13,no,r,stress,0,0
+r_57_slot.14_w,no,r,stress,6,43
+r_58_slot.14_l,no,r,stress,0,0
+r_59_slot.13,no,r,stress,0,0
+r_60_slot.14_w,no,r,stress,0,0
+r_61_slot.14_l,no,r,stress,0,0
+r_62_slot.11,no,r,stress,0,0
+r_63_slot.12,no,r,stress,0,0
+r_64_slot.6/slot.8,no,r,stress,0,0
+r_65_slot.4,no,r,stress,0,0
+r_66_slot.11,no,r,stress,0,0
+r_67_slot.12,no,r,stress,0,0
+r_68_slot.6/slot.8,no,r,stress,0,0
+r_69_slot.4,no,r,stress,0,0
+r_70_slot.11,no,r,stress,0,0
+r_71_slot.12,no,r,stress,0,0
+r_72_slot.6/slot.8,no,r,stress,12,17
+r_73_slot.4,no,r,stress,0,0
+r_74_slot.11,no,r,stress,6,43
+r_75_slot.12,no,r,stress,0,0
+r_76_slot.6/slot.8,no,r,stress,10,10
+r_77_slot.4,no,r,stress,0,0
+r_78_slot.15,no,r,stress,0,0
+r_79_slot.15,no,r,stress,0,0
+r_80_slot.15,no,r,stress,0,0
+r_81_slot.15,no,r,stress,0,0
+r_82_slot.15,no,r,stress,0,0
+r_83_slot.9/16,no,r,stress,0,0
+r_84_slot.17,no,r,stress,0,0
+r_85_slot.9/16,no,r,stress,0,0
+r_86_slot.17,no,r,stress,0,0
+r_87_slot.9/16,no,r,stress,7,12
+r_88_slot.17,no,r,stress,0,0
+r_89_slot.9/16,no,r,stress,1,6
+r_90_slot.17,no,r,stress,0,0
+r_91_slot.9/16,no,r,stress,27,69
+r_92_slot.17,no,r,stress,0,0
+r_93_slot.18,no,r,stress,0,0
+r_94_slot.18,no,r,stress,0,0
+r_95_slot.18,no,r,stress,0,0
+r_96_slot.18,no,r,stress,0,0
+r_97_slot.18,no,r,stress,0,0
+r_98_anchor.1,no,r,stress,0,0
+r_99_anchor.4,no,r,stress,0,0
+r_100_anchor.4,no,r,stress,0,0
+r_101_anchor.4,no,r,stress,0,0
+r_102_anchor.4,no,r,stress,0,0
+r_103_anchor.4,no,r,stress,0,0
+r_104_anchor.4,no,r,stress,0,0
+r_105_anchor.5,no,r,stress,0,0
+r_106_anchor.6,no,r,stress,0,0
+r_107_anchor.6,no,r,stress,0,0
+r_108_anchor.6,no,r,stress,0,0
+r_109_anchor.6,no,r,stress,0,0
+r_110_anchor.6,no,r,stress,0,0
+r_111_anchor.6,no,r,stress,0,0
+r_112_anchor.6,no,r,stress,0,0
+r_113_anchor.6,no,r,stress,0,0
+r_114_anchor.6,no,r,stress,0,0
+r_115_anchor.6,no,r,stress,0,0
+r_116_anchor.6,no,r,stress,0,0
+r_117_anchor.6,no,r,stress,0,0
+r_118_anchor.6,no,r,stress,0,0
+r_119_anchor.6,no,r,stress,0,0
+r_120_anchor.6,no,r,stress,0,0
+r_121_anchor.3,no,r,stress,0,0
+r_122_anchor.3,no,r,stress,0,0
+r_123_anchor.3,no,r,stress,0,0
+r_124_anchor.3,no,r,stress,0,0
+r_125_anchor.3,no,r,stress,0,0