blob: a0e252e66d1d03f6fcd52cd1b0a190de3e25d015 [file] [log] [blame]
$date
Thu Aug 20 00:22:06 2020
$end
$version
Icarus Verilog
$end
$timescale
1ps
$end
$scope module ldo_wb_tb $end
$var wire 32 ! ldo_data_adr_0 [31:0] $end
$var wire 32 " ldo_data_adr_1 [31:0] $end
$var wire 32 # ldo_data_adr_2 [31:0] $end
$var wire 32 $ ldo_data_adr_3 [31:0] $end
$var wire 32 % ldo_ena_adr_0 [31:0] $end
$var wire 32 & ldo_ena_adr_1 [31:0] $end
$var wire 32 ' ldo_ena_adr_2 [31:0] $end
$var wire 32 ( ldo_ena_adr_3 [31:0] $end
$var wire 32 ) wb_dat_o [31:0] $end
$var wire 1 * wb_ack_o $end
$var reg 32 + ldo_data_0 [31:0] $end
$var reg 32 , ldo_data_1 [31:0] $end
$var reg 32 - ldo_data_2 [31:0] $end
$var reg 32 . ldo_data_3 [31:0] $end
$var reg 32 / ldo_ena_0 [31:0] $end
$var reg 32 0 ldo_ena_1 [31:0] $end
$var reg 32 1 ldo_ena_2 [31:0] $end
$var reg 32 2 ldo_ena_3 [31:0] $end
$var reg 32 3 wb_adr_i [31:0] $end
$var reg 1 4 wb_clk_i $end
$var reg 1 5 wb_cyc_i $end
$var reg 32 6 wb_dat_i [31:0] $end
$var reg 1 7 wb_rst_i $end
$var reg 4 8 wb_sel_i [3:0] $end
$var reg 1 9 wb_stb_i $end
$var reg 1 : wb_we_i $end
$scope module uut $end
$var wire 4 ; iomem_we [3:0] $end
$var wire 1 < resetn $end
$var wire 1 = valid $end
$var wire 1 * wb_ack_o $end
$var wire 32 > wb_adr_i [31:0] $end
$var wire 1 4 wb_clk_i $end
$var wire 1 5 wb_cyc_i $end
$var wire 32 ? wb_dat_i [31:0] $end
$var wire 1 7 wb_rst_i $end
$var wire 4 @ wb_sel_i [3:0] $end
$var wire 1 9 wb_stb_i $end
$var wire 1 : wb_we_i $end
$var wire 32 A wb_dat_o [31:0] $end
$var wire 1 B ready $end
$var wire 128 C ldo_ena [127:0] $end
$var wire 128 D ldo_data [127:0] $end
$scope module ldo_ctrl $end
$var wire 1 4 clk $end
$var wire 32 E iomem_addr [31:0] $end
$var wire 1 = iomem_valid $end
$var wire 32 F iomem_wdata [31:0] $end
$var wire 4 G iomem_wstrb [3:0] $end
$var wire 1 < resetn $end
$var wire 4 H ldo_ena_sel [3:0] $end
$var wire 128 I ldo_ena [127:0] $end
$var wire 4 J ldo_data_sel [3:0] $end
$var wire 128 K ldo_data [127:0] $end
$var reg 32 L iomem_rdata [31:0] $end
$var reg 1 B iomem_ready $end
$var reg 32 M ldo_data_0 [31:0] $end
$var reg 32 N ldo_data_1 [31:0] $end
$var reg 32 O ldo_data_2 [31:0] $end
$var reg 32 P ldo_data_3 [31:0] $end
$var reg 32 Q ldo_ena_0 [31:0] $end
$var reg 32 R ldo_ena_1 [31:0] $end
$var reg 32 S ldo_ena_2 [31:0] $end
$var reg 32 T ldo_ena_3 [31:0] $end
$upscope $end
$upscope $end
$scope task read $end
$var reg 33 U addr [32:0] $end
$upscope $end
$scope task write $end
$var reg 33 V addr [32:0] $end
$var reg 33 W data [32:0] $end
$upscope $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
bx W
bx V
bx U
bx T
bx S
bx R
bx Q
bx P
bx O
bx N
bx M
bx L
bx K
b1 J
bx I
b0 H
b0 G
b0 F
b0 E
bx D
bx C
xB
bx A
b0 @
b0 ?
b0 >
0=
0<
b0 ;
0:
09
b0 8
17
b0 6
05
04
b0 3
bx 2
bx 1
bx 0
bx /
bx .
bx -
bx ,
bx +
x*
bx )
b100010000000000000000000011100 (
b100010000000000000000000011000 '
b100010000000000000000000010100 &
b100010000000000000000000010000 %
b100010000000000000000000001100 $
b100010000000000000000000001000 #
b100010000000000000000000000100 "
b100010000000000000000000000000 !
$end
#1000
b0 T
b0 S
b0 R
b0 C
b0 I
b0 Q
b0 P
b0 O
b0 N
b0 D
b0 K
b0 M
14
#2000
1<
04
07
#3000
0*
0B
14
#4000
04
b0 W
b100010000000000000000000000000 V
b0 .
b0 -
b0 ,
b0 +
#5000
b1111 ;
b1111 G
1=
b100010000000000000000000000000 3
b100010000000000000000000000000 >
b100010000000000000000000000000 E
1:
b1111 8
b1111 @
15
19
14
#6000
04
#7000
b0 )
b0 A
b0 L
1*
1B
14
#8000
04
#9000
0=
b100010000000000000000000000100 V
09
05
0*
0B
14
#10000
04
#11000
b10 J
1=
b100010000000000000000000000100 3
b100010000000000000000000000100 >
b100010000000000000000000000100 E
15
19
14
#12000
04
#13000
1*
1B
14
#14000
04
#15000
0=
b100010000000000000000000001000 V
09
05
0*
0B
14
#16000
04
#17000
b100 J
1=
b100010000000000000000000001000 3
b100010000000000000000000001000 >
b100010000000000000000000001000 E
15
19
14
#18000
04
#19000
1*
1B
14
#20000
04
#21000
0=
b100010000000000000000000001100 V
09
05
0*
0B
14
#22000
04
#23000
b1000 J
1=
b100010000000000000000000001100 3
b100010000000000000000000001100 >
b100010000000000000000000001100 E
15
19
14
#24000
04
#25000
1*
1B
14
#26000
04
#27000
0=
09
05
0*
0B
14
#28000
04
#29000
b1 J
b0 ;
b0 G
1=
b100010000000000000000000000000 3
b100010000000000000000000000000 >
b100010000000000000000000000000 E
0:
15
19
14
b100010000000000000000000000000 U
#30000
04
#31000
1*
1B
14
#32000
04
#33000
0=
b100010000000000000000000000100 U
09
05
0*
0B
14
#34000
04
#35000
b10 J
1=
b100010000000000000000000000100 3
b100010000000000000000000000100 >
b100010000000000000000000000100 E
15
19
14
#36000
04
#37000
1*
1B
14
#38000
04
#39000
0=
b100010000000000000000000001000 U
09
05
0*
0B
14
#40000
04
#41000
b100 J
1=
b100010000000000000000000001000 3
b100010000000000000000000001000 >
b100010000000000000000000001000 E
15
19
14
#42000
04
#43000
1*
1B
14
#44000
04
#45000
0=
b100010000000000000000000001100 U
09
05
0*
0B
14
#46000
04
#47000
b1000 J
1=
b100010000000000000000000001100 3
b100010000000000000000000001100 >
b100010000000000000000000001100 E
15
19
14
#48000
04
#49000
1*
1B
14
#50000
04
#51000
0=
b100010000000000000000000010000 V
b0 2
b0 1
b0 0
b0 /
09
05
0*
0B
14
#52000
04
#53000
b0 J
b1 H
b1111 ;
b1111 G
1=
b100010000000000000000000010000 3
b100010000000000000000000010000 >
b100010000000000000000000010000 E
1:
15
19
14
#54000
04
#55000
1*
1B
14
#56000
04
#57000
0=
b100010000000000000000000010100 V
09
05
0*
0B
14
#58000
04
#59000
b10 H
1=
b100010000000000000000000010100 3
b100010000000000000000000010100 >
b100010000000000000000000010100 E
15
19
14
#60000
04
#61000
1*
1B
14
#62000
04
#63000
0=
b100010000000000000000000011000 V
09
05
0*
0B
14
#64000
04
#65000
b100 H
1=
b100010000000000000000000011000 3
b100010000000000000000000011000 >
b100010000000000000000000011000 E
15
19
14
#66000
04
#67000
1*
1B
14
#68000
04
#69000
0=
b100010000000000000000000011100 V
09
05
0*
0B
14
#70000
04
#71000
b1000 H
1=
b100010000000000000000000011100 3
b100010000000000000000000011100 >
b100010000000000000000000011100 E
15
19
14
#72000
04
#73000
1*
1B
14
#74000
04
#75000
0=
09
05
0*
0B
14
#76000
04
#77000
b1 H
b0 ;
b0 G
1=
b100010000000000000000000010000 3
b100010000000000000000000010000 >
b100010000000000000000000010000 E
0:
15
19
14
b100010000000000000000000010000 U
#78000
04
#79000
1*
1B
14
#80000
04
#81000
0=
b100010000000000000000000010100 U
09
05
0*
0B
14
#82000
04
#83000
b10 H
1=
b100010000000000000000000010100 3
b100010000000000000000000010100 >
b100010000000000000000000010100 E
15
19
14
#84000
04
#85000
1*
1B
14
#86000
04
#87000
0=
b100010000000000000000000011000 U
09
05
0*
0B
14
#88000
04
#89000
b100 H
1=
b100010000000000000000000011000 3
b100010000000000000000000011000 >
b100010000000000000000000011000 E
15
19
14
#90000
04
#91000
1*
1B
14
#92000
04
#93000
0=
b100010000000000000000000011100 U
09
05
0*
0B
14
#94000
04
#95000
b1000 H
1=
b100010000000000000000000011100 3
b100010000000000000000000011100 >
b100010000000000000000000011100 E
15
19
14
#96000
04
#97000
1*
1B
14
#98000
04
#99000
0=
09
05
0*
0B
14
#100000
04
#101000
14
#102000
04
#103000
14
#104000
04
#105000
14