blob: 12f7ae8b821435765836f49b4bfcd10bf82dd20d [file] [log] [blame]
$date
Fri Aug 21 14:18:15 2020
$end
$version
Icarus Verilog
$end
$timescale
1ps
$end
$scope module distributor_tb $end
$var wire 4 ! wbs_we_o [3:0] $end
$var wire 4 " wbs_stb_o [3:0] $end
$var wire 16 # wbs_sel_o [15:0] $end
$var wire 128 $ wbs_dat_o [127:0] $end
$var wire 128 % wbs_dat_i [127:0] $end
$var wire 4 & wbs_cyc_o [3:0] $end
$var wire 128 ' wbs_adr_o [127:0] $end
$var wire 4 ( wbs_ack_o [3:0] $end
$var wire 32 ) wbm_dat_o [31:0] $end
$var wire 1 * wbm_ack_o $end
$var reg 32 + slave_adr [31:0] $end
$var reg 32 , slave_data [31:0] $end
$var reg 1 - wb_clk_i $end
$var reg 1 . wb_rst_i $end
$var reg 32 / wbm_adr_i [31:0] $end
$var reg 1 0 wbm_cyc_i $end
$var reg 32 1 wbm_dat_i [31:0] $end
$var reg 4 2 wbm_sel_i [3:0] $end
$var reg 1 3 wbm_stb_i $end
$var reg 1 4 wbm_we_i $end
$scope module dummy_slaves[0] $end
$var wire 1 5 valid $end
$var wire 32 6 wb_adr_i [31:0] $end
$var wire 1 7 wb_clk_i $end
$var wire 1 8 wb_cyc_i $end
$var wire 32 9 wb_dat_i [31:0] $end
$var wire 1 : wb_rst_i $end
$var wire 4 ; wb_sel_i [3:0] $end
$var wire 1 < wb_stb_i $end
$var wire 1 = wb_we_i $end
$var reg 32 > store [31:0] $end
$var reg 1 ? wb_ack_o $end
$var reg 32 @ wb_dat_o [31:0] $end
$upscope $end
$scope module dummy_slaves[1] $end
$var wire 1 A valid $end
$var wire 32 B wb_adr_i [31:0] $end
$var wire 1 C wb_clk_i $end
$var wire 1 D wb_cyc_i $end
$var wire 32 E wb_dat_i [31:0] $end
$var wire 1 F wb_rst_i $end
$var wire 4 G wb_sel_i [3:0] $end
$var wire 1 H wb_stb_i $end
$var wire 1 I wb_we_i $end
$var reg 32 J store [31:0] $end
$var reg 1 K wb_ack_o $end
$var reg 32 L wb_dat_o [31:0] $end
$upscope $end
$scope module dummy_slaves[2] $end
$var wire 1 M valid $end
$var wire 32 N wb_adr_i [31:0] $end
$var wire 1 O wb_clk_i $end
$var wire 1 P wb_cyc_i $end
$var wire 32 Q wb_dat_i [31:0] $end
$var wire 1 R wb_rst_i $end
$var wire 4 S wb_sel_i [3:0] $end
$var wire 1 T wb_stb_i $end
$var wire 1 U wb_we_i $end
$var reg 32 V store [31:0] $end
$var reg 1 W wb_ack_o $end
$var reg 32 X wb_dat_o [31:0] $end
$upscope $end
$scope module dummy_slaves[3] $end
$var wire 1 Y valid $end
$var wire 32 Z wb_adr_i [31:0] $end
$var wire 1 [ wb_clk_i $end
$var wire 1 \ wb_cyc_i $end
$var wire 32 ] wb_dat_i [31:0] $end
$var wire 1 ^ wb_rst_i $end
$var wire 4 _ wb_sel_i [3:0] $end
$var wire 1 ` wb_stb_i $end
$var wire 1 a wb_we_i $end
$var reg 32 b store [31:0] $end
$var reg 1 c wb_ack_o $end
$var reg 32 d wb_dat_o [31:0] $end
$upscope $end
$scope module uut $end
$var wire 1 - wb_clk_i $end
$var wire 1 . wb_rst_i $end
$var wire 32 e wbm_adr_i [31:0] $end
$var wire 1 0 wbm_cyc_i $end
$var wire 32 f wbm_dat_i [31:0] $end
$var wire 4 g wbm_sel_i [3:0] $end
$var wire 1 3 wbm_stb_i $end
$var wire 1 4 wbm_we_i $end
$var wire 4 h wbs_ack_i [3:0] $end
$var wire 4 i wbs_cyc_o [3:0] $end
$var wire 128 j wbs_dat_i [127:0] $end
$var wire 4 k wbs_stb_o [3:0] $end
$var wire 4 l wbs_we_o [3:0] $end
$var wire 16 m wbs_sel_o [15:0] $end
$var wire 128 n wbs_dat_o [127:0] $end
$var wire 128 o wbs_adr_o [127:0] $end
$var wire 1 * wbm_ack_o $end
$var wire 4 p slave_sel [3:0] $end
$var reg 32 q wbm_dat_o [31:0] $end
$var integer 32 r i [31:0] $end
$scope begin genblk1[0] $end
$upscope $end
$scope begin genblk1[1] $end
$upscope $end
$scope begin genblk1[2] $end
$upscope $end
$scope begin genblk1[3] $end
$upscope $end
$upscope $end
$scope task read $end
$var reg 32 s addr [31:0] $end
$upscope $end
$scope task write $end
$var reg 32 t adr [31:0] $end
$var reg 32 u data [31:0] $end
$upscope $end
$upscope $end
$enddefinitions $end
#0
$dumpvars
bx u
bx t
bx s
b10000000 r
b0 q
b0 p
b0 o
b0 n
b0 m
b0 l
b0 k
bx j
b0 i
bx h
b0 g
b0 f
b0 e
bx d
xc
bx b
0a
0`
b0 _
1^
b0 ]
0\
0[
b0 Z
0Y
bx X
xW
bx V
0U
0T
b0 S
1R
b0 Q
0P
0O
b0 N
0M
bx L
xK
bx J
0I
0H
b0 G
1F
b0 E
0D
0C
b0 B
0A
bx @
x?
bx >
0=
0<
b0 ;
1:
b0 9
08
07
b0 6
05
04
03
b0 2
b0 1
00
b0 /
1.
0-
bx ,
bx +
0*
b0 )
bx (
b0 '
b0 &
b0 %
bx $
b0 #
b0 "
b0 !
$end
#1000
0?
0K
0W
b0 (
b0 h
0c
17
1C
1O
1[
1-
#2000
07
0C
0O
0[
0:
0F
0R
0^
0-
0.
#3000
17
1C
1O
1[
1-
#4000
07
0C
0O
0[
0-
b100100100001010100110101001001 u
b10000000000000000000000000000000 t
b100100100001010100110101001001 ,
b10000000000000000000000000000000 +
#5000
b100100100001010100110101001001 >
b100100100001010100110101001001 J
b100100100001010100110101001001 V
b100100100001010100110101001001 b
15
1<
18
b1 "
b1 k
b1 &
b1 i
bx )
bx q
b10000000 r
b100100100001010100110101001001 9
b100100100001010100110101001001 E
b100100100001010100110101001001 Q
b100100100001010100110101001001 ]
b10000000000000000000000000000000 6
b10000000000000000000000000000000 B
b10000000000000000000000000000000 N
b10000000000000000000000000000000 Z
b1 p
b1111 ;
b1111 G
b1111 S
b1111 _
1=
1I
1U
1a
17
1C
1O
1[
b100100100001010100110101001001001001001000010101001101010010010010010010000101010011010100100100100100100001010100110101001001 %
b100100100001010100110101001001001001001000010101001101010010010010010010000101010011010100100100100100100001010100110101001001 n
b100100100001010100110101001001 1
b100100100001010100110101001001 f
b10000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000 '
b10000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000 o
b10000000000000000000000000000000 /
b10000000000000000000000000000000 e
b1111111111111111 #
b1111111111111111 m
b1111 2
b1111 g
b1111 !
b1111 l
14
10
13
1-
#6000
07
0C
0O
0[
0-
#7000
1*
b10000000 r
b100100100001010100110101001001 )
b100100100001010100110101001001 q
b1 (
b1 h
1?
b100100100001010100110101001001 @
b100100100001010100110101001001 L
b100100100001010100110101001001 X
b100100100001010100110101001001001001001000010101001101010010010010010010000101010011010100100100100100100001010100110101001001 $
b100100100001010100110101001001001001001000010101001101010010010010010010000101010011010100100100100100100001010100110101001001 j
b100100100001010100110101001001 d
17
1C
1O
1[
1-
#8000
07
0C
0O
0[
0-
#9000
05
08
0<
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0?
17
1C
1O
1[
1-
#10000
07
0C
0O
0[
0-
#11000
15
18
1<
0=
0I
0U
0a
b1 &
b1 i
b1 "
b1 k
17
1C
1O
1[
b0 !
b0 l
04
10
13
1-
b10000000000000000000000000000000 s
#12000
07
0C
0O
0[
0-
#13000
1*
b1 (
b1 h
1?
17
1C
1O
1[
1-
#14000
07
0C
0O
0[
0-
#15000
05
08
0<
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0?
17
1C
1O
1[
1-
#16000
07
0C
0O
0[
0-
#17000
b10000001000100101011110100000 >
b10000001000100101011110100000 J
b10000001000100101011110100000 V
b10000001000100101011110100000 b
1A
1H
1D
05
b10000000 r
b100100100001010100110101001001 )
b100100100001010100110101001001 q
08
0<
b10000001000100101011110100000 9
b10000001000100101011110100000 E
b10000001000100101011110100000 Q
b10000001000100101011110100000 ]
b10010000000000000000000000000000 6
b10010000000000000000000000000000 B
b10010000000000000000000000000000 N
b10010000000000000000000000000000 Z
b10 p
1=
1I
1U
1a
b10 &
b10 i
b10 "
b10 k
17
1C
1O
1[
b10000001000100101011110100000000100000010001001010111101000000001000000100010010101111010000000010000001000100101011110100000 %
b10000001000100101011110100000000100000010001001010111101000000001000000100010010101111010000000010000001000100101011110100000 n
b10000001000100101011110100000 1
b10000001000100101011110100000 f
b10010000000000000000000000000000100100000000000000000000000000001001000000000000000000000000000010010000000000000000000000000000 '
b10010000000000000000000000000000100100000000000000000000000000001001000000000000000000000000000010010000000000000000000000000000 o
b10010000000000000000000000000000 /
b10010000000000000000000000000000 e
b1111 !
b1111 l
14
10
13
1-
b10000001000100101011110100000 u
b10010000000000000000000000000000 t
b10000001000100101011110100000 ,
b10010000000000000000000000000000 +
#18000
07
0C
0O
0[
0-
#19000
1*
b10000000 r
b10000001000100101011110100000 )
b10000001000100101011110100000 q
b10000001000100101011110100000 @
b10 (
b10 h
1K
b10000001000100101011110100000 L
b10000001000100101011110100000 X
b10000001000100101011110100000000100000010001001010111101000000001000000100010010101111010000000010000001000100101011110100000 $
b10000001000100101011110100000000100000010001001010111101000000001000000100010010101111010000000010000001000100101011110100000 j
b10000001000100101011110100000 d
17
1C
1O
1[
1-
#20000
07
0C
0O
0[
0-
#21000
0A
0D
0H
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0K
17
1C
1O
1[
1-
#22000
07
0C
0O
0[
0-
#23000
1A
1D
1H
0=
0I
0U
0a
b10 &
b10 i
b10 "
b10 k
17
1C
1O
1[
b0 !
b0 l
04
10
13
1-
b10010000000000000000000000000000 s
#24000
07
0C
0O
0[
0-
#25000
1*
b10 (
b10 h
1K
17
1C
1O
1[
1-
#26000
07
0C
0O
0[
0-
#27000
0A
0D
0H
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0K
17
1C
1O
1[
1-
#28000
07
0C
0O
0[
0-
#29000
b1001000010011010110000010 >
b1001000010011010110000010 J
b1001000010011010110000010 V
b1001000010011010110000010 b
1M
1T
1P
0A
b10000000 r
b10000001000100101011110100000 )
b10000001000100101011110100000 q
0D
0H
b1001000010011010110000010 9
b1001000010011010110000010 E
b1001000010011010110000010 Q
b1001000010011010110000010 ]
b10100000000000000000000000000000 6
b10100000000000000000000000000000 B
b10100000000000000000000000000000 N
b10100000000000000000000000000000 Z
b100 p
1=
1I
1U
1a
b100 &
b100 i
b100 "
b100 k
17
1C
1O
1[
b1001000010011010110000010000000010010000100110101100000100000000100100001001101011000001000000001001000010011010110000010 %
b1001000010011010110000010000000010010000100110101100000100000000100100001001101011000001000000001001000010011010110000010 n
b1001000010011010110000010 1
b1001000010011010110000010 f
b10100000000000000000000000000000101000000000000000000000000000001010000000000000000000000000000010100000000000000000000000000000 '
b10100000000000000000000000000000101000000000000000000000000000001010000000000000000000000000000010100000000000000000000000000000 o
b10100000000000000000000000000000 /
b10100000000000000000000000000000 e
b1111 !
b1111 l
14
10
13
1-
b1001000010011010110000010 u
b10100000000000000000000000000000 t
b1001000010011010110000010 ,
b10100000000000000000000000000000 +
#30000
07
0C
0O
0[
0-
#31000
1*
b10000000 r
b1001000010011010110000010 )
b1001000010011010110000010 q
b1001000010011010110000010 @
b1001000010011010110000010 L
b100 (
b100 h
1W
b1001000010011010110000010 X
b1001000010011010110000010000000010010000100110101100000100000000100100001001101011000001000000001001000010011010110000010 $
b1001000010011010110000010000000010010000100110101100000100000000100100001001101011000001000000001001000010011010110000010 j
b1001000010011010110000010 d
17
1C
1O
1[
1-
#32000
07
0C
0O
0[
0-
#33000
0M
0P
0T
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0W
17
1C
1O
1[
1-
#34000
07
0C
0O
0[
0-
#35000
1M
1P
1T
0=
0I
0U
0a
b100 &
b100 i
b100 "
b100 k
17
1C
1O
1[
b0 !
b0 l
04
10
13
1-
b10100000000000000000000000000000 s
#36000
07
0C
0O
0[
0-
#37000
1*
b100 (
b100 h
1W
17
1C
1O
1[
1-
#38000
07
0C
0O
0[
0-
#39000
0M
0P
0T
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0W
17
1C
1O
1[
1-
#40000
07
0C
0O
0[
0-
#41000
b1100011111000001010110011001 >
b1100011111000001010110011001 J
b1100011111000001010110011001 V
b1100011111000001010110011001 b
1Y
1`
1\
0M
b10000000 r
b1001000010011010110000010 )
b1001000010011010110000010 q
0P
0T
b1100011111000001010110011001 9
b1100011111000001010110011001 E
b1100011111000001010110011001 Q
b1100011111000001010110011001 ]
b10110000000000000000000000000000 6
b10110000000000000000000000000000 B
b10110000000000000000000000000000 N
b10110000000000000000000000000000 Z
b1000 p
1=
1I
1U
1a
b1000 &
b1000 i
b1000 "
b1000 k
17
1C
1O
1[
b1100011111000001010110011001000011000111110000010101100110010000110001111100000101011001100100001100011111000001010110011001 %
b1100011111000001010110011001000011000111110000010101100110010000110001111100000101011001100100001100011111000001010110011001 n
b1100011111000001010110011001 1
b1100011111000001010110011001 f
b10110000000000000000000000000000101100000000000000000000000000001011000000000000000000000000000010110000000000000000000000000000 '
b10110000000000000000000000000000101100000000000000000000000000001011000000000000000000000000000010110000000000000000000000000000 o
b10110000000000000000000000000000 /
b10110000000000000000000000000000 e
b1111 !
b1111 l
14
10
13
1-
b1100011111000001010110011001 u
b10110000000000000000000000000000 t
b1100011111000001010110011001 ,
b10110000000000000000000000000000 +
#42000
07
0C
0O
0[
0-
#43000
1*
b10000000 r
b1100011111000001010110011001 )
b1100011111000001010110011001 q
b1100011111000001010110011001 @
b1100011111000001010110011001 L
b1100011111000001010110011001 X
b1000 (
b1000 h
1c
b1100011111000001010110011001000011000111110000010101100110010000110001111100000101011001100100001100011111000001010110011001 $
b1100011111000001010110011001000011000111110000010101100110010000110001111100000101011001100100001100011111000001010110011001 j
b1100011111000001010110011001 d
17
1C
1O
1[
1-
#44000
07
0C
0O
0[
0-
#45000
0Y
0\
0`
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0c
17
1C
1O
1[
1-
#46000
07
0C
0O
0[
0-
#47000
1Y
1\
1`
0=
0I
0U
0a
b1000 &
b1000 i
b1000 "
b1000 k
17
1C
1O
1[
b0 !
b0 l
04
10
13
1-
b10110000000000000000000000000000 s
#48000
07
0C
0O
0[
0-
#49000
1*
b1000 (
b1000 h
1c
17
1C
1O
1[
1-
#50000
07
0C
0O
0[
0-
#51000
0Y
0\
0`
b0 &
b0 i
b0 "
b0 k
00
03
0*
b0 (
b0 h
0c
17
1C
1O
1[
1-