blob: 7ec065f0e27bc1569c507925eeff478bff58efcf [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module mgmt_protect(vccd, vssd, vccd1, vssd1, vccd2, vssd2, vdda1, vssa1, vdda2, vssa2, caravel_clk, caravel_clk2, caravel_rstn, mprj_cyc_o_core, mprj_stb_o_core, mprj_we_o_core, mprj_sel_o_core, mprj_adr_o_core, mprj_dat_o_core, la_output_core, la_oen, user_clock, user_clock2, user_resetn, mprj_cyc_o_user, mprj_stb_o_user, mprj_we_o_user, mprj_sel_o_user, mprj_adr_o_user, mprj_dat_o_user, la_data_in_mprj, user1_vcc_powergood, user2_vcc_powergood, user1_vdd_powergood, user2_vdd_powergood);
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
wire _243_;
wire _244_;
wire _245_;
wire _246_;
wire _247_;
wire _248_;
wire _249_;
wire _250_;
wire _251_;
wire _252_;
wire _253_;
wire _254_;
wire _255_;
wire _256_;
wire _257_;
wire _258_;
wire _259_;
wire _260_;
wire _261_;
wire _262_;
wire _263_;
wire _264_;
wire _265_;
wire _266_;
wire _267_;
wire _268_;
wire _269_;
wire _270_;
wire _271_;
wire _272_;
wire _273_;
wire _274_;
wire _275_;
wire _276_;
wire _277_;
wire _278_;
wire _279_;
wire _280_;
wire _281_;
wire _282_;
wire _283_;
wire _284_;
wire _285_;
wire _286_;
wire _287_;
wire _288_;
wire _289_;
wire _290_;
wire _291_;
wire _292_;
wire _293_;
wire _294_;
wire _295_;
wire _296_;
wire _297_;
wire _298_;
wire _299_;
wire _300_;
wire _301_;
wire _302_;
wire _303_;
wire _304_;
wire _305_;
wire _306_;
wire _307_;
wire _308_;
wire _309_;
wire _310_;
wire _311_;
wire _312_;
wire _313_;
wire _314_;
wire _315_;
wire _316_;
wire _317_;
wire _318_;
wire _319_;
wire _320_;
wire _321_;
wire _322_;
wire _323_;
wire _324_;
wire _325_;
wire _326_;
wire _327_;
wire _328_;
wire _329_;
input caravel_clk;
input caravel_clk2;
input caravel_rstn;
output [127:0] la_data_in_mprj;
input [127:0] la_oen;
input [127:0] la_output_core;
wire mprj2_logic1;
wire mprj2_vdd_logic1;
wire mprj2_vdd_logic1_h;
input [31:0] mprj_adr_o_core;
output [31:0] mprj_adr_o_user;
input mprj_cyc_o_core;
output mprj_cyc_o_user;
input [31:0] mprj_dat_o_core;
output [31:0] mprj_dat_o_user;
wire \mprj_logic1[0] ;
wire \mprj_logic1[10] ;
wire \mprj_logic1[11] ;
wire \mprj_logic1[12] ;
wire \mprj_logic1[13] ;
wire \mprj_logic1[14] ;
wire \mprj_logic1[15] ;
wire \mprj_logic1[16] ;
wire \mprj_logic1[17] ;
wire \mprj_logic1[18] ;
wire \mprj_logic1[19] ;
wire \mprj_logic1[1] ;
wire \mprj_logic1[20] ;
wire \mprj_logic1[21] ;
wire \mprj_logic1[22] ;
wire \mprj_logic1[23] ;
wire \mprj_logic1[24] ;
wire \mprj_logic1[25] ;
wire \mprj_logic1[26] ;
wire \mprj_logic1[27] ;
wire \mprj_logic1[28] ;
wire \mprj_logic1[29] ;
wire \mprj_logic1[2] ;
wire \mprj_logic1[30] ;
wire \mprj_logic1[31] ;
wire \mprj_logic1[32] ;
wire \mprj_logic1[33] ;
wire \mprj_logic1[34] ;
wire \mprj_logic1[35] ;
wire \mprj_logic1[36] ;
wire \mprj_logic1[37] ;
wire \mprj_logic1[38] ;
wire \mprj_logic1[39] ;
wire \mprj_logic1[3] ;
wire \mprj_logic1[40] ;
wire \mprj_logic1[41] ;
wire \mprj_logic1[42] ;
wire \mprj_logic1[43] ;
wire \mprj_logic1[44] ;
wire \mprj_logic1[45] ;
wire \mprj_logic1[46] ;
wire \mprj_logic1[47] ;
wire \mprj_logic1[48] ;
wire \mprj_logic1[49] ;
wire \mprj_logic1[4] ;
wire \mprj_logic1[50] ;
wire \mprj_logic1[51] ;
wire \mprj_logic1[52] ;
wire \mprj_logic1[53] ;
wire \mprj_logic1[54] ;
wire \mprj_logic1[55] ;
wire \mprj_logic1[56] ;
wire \mprj_logic1[57] ;
wire \mprj_logic1[58] ;
wire \mprj_logic1[59] ;
wire \mprj_logic1[5] ;
wire \mprj_logic1[60] ;
wire \mprj_logic1[61] ;
wire \mprj_logic1[62] ;
wire \mprj_logic1[63] ;
wire \mprj_logic1[64] ;
wire \mprj_logic1[65] ;
wire \mprj_logic1[66] ;
wire \mprj_logic1[67] ;
wire \mprj_logic1[68] ;
wire \mprj_logic1[69] ;
wire \mprj_logic1[6] ;
wire \mprj_logic1[70] ;
wire \mprj_logic1[71] ;
wire \mprj_logic1[72] ;
wire \mprj_logic1[73] ;
wire \mprj_logic1[74] ;
wire \mprj_logic1[7] ;
wire \mprj_logic1[8] ;
wire \mprj_logic1[9] ;
input [3:0] mprj_sel_o_core;
output [3:0] mprj_sel_o_user;
input mprj_stb_o_core;
output mprj_stb_o_user;
input mprj_we_o_core;
output mprj_we_o_user;
output user1_vcc_powergood;
output user1_vdd_powergood;
output user2_vcc_powergood;
output user2_vdd_powergood;
output user_clock;
output user_clock2;
output user_resetn;
inout vccd;
inout vccd1;
inout vccd2;
inout vdda1;
inout vdda2;
inout vssa1;
inout vssa2;
inout vssd;
inout vssd1;
inout vssd2;
sky130_fd_sc_hd__inv_2 _330_ (
.A(la_output_core[65]),
.Y(_163_)
);
sky130_fd_sc_hd__inv_2 _331_ (
.A(la_output_core[66]),
.Y(_164_)
);
sky130_fd_sc_hd__inv_2 _332_ (
.A(la_output_core[67]),
.Y(_165_)
);
sky130_fd_sc_hd__inv_2 _333_ (
.A(la_output_core[68]),
.Y(_166_)
);
sky130_fd_sc_hd__inv_2 _334_ (
.A(la_output_core[69]),
.Y(_167_)
);
sky130_fd_sc_hd__inv_2 _335_ (
.A(la_output_core[70]),
.Y(_169_)
);
sky130_fd_sc_hd__inv_2 _336_ (
.A(la_output_core[71]),
.Y(_170_)
);
sky130_fd_sc_hd__inv_2 _337_ (
.A(la_output_core[72]),
.Y(_171_)
);
sky130_fd_sc_hd__inv_2 _338_ (
.A(la_output_core[73]),
.Y(_172_)
);
sky130_fd_sc_hd__inv_2 _339_ (
.A(la_output_core[74]),
.Y(_173_)
);
sky130_fd_sc_hd__inv_2 _340_ (
.A(la_output_core[75]),
.Y(_174_)
);
sky130_fd_sc_hd__inv_2 _341_ (
.A(la_output_core[76]),
.Y(_175_)
);
sky130_fd_sc_hd__inv_2 _342_ (
.A(la_output_core[77]),
.Y(_176_)
);
sky130_fd_sc_hd__inv_2 _343_ (
.A(la_output_core[78]),
.Y(_177_)
);
sky130_fd_sc_hd__inv_2 _344_ (
.A(la_output_core[79]),
.Y(_178_)
);
sky130_fd_sc_hd__inv_2 _345_ (
.A(la_output_core[80]),
.Y(_180_)
);
sky130_fd_sc_hd__inv_2 _346_ (
.A(la_output_core[81]),
.Y(_181_)
);
sky130_fd_sc_hd__inv_2 _347_ (
.A(la_output_core[82]),
.Y(_182_)
);
sky130_fd_sc_hd__inv_2 _348_ (
.A(la_output_core[83]),
.Y(_183_)
);
sky130_fd_sc_hd__inv_2 _349_ (
.A(la_output_core[84]),
.Y(_184_)
);
sky130_fd_sc_hd__inv_2 _350_ (
.A(la_output_core[85]),
.Y(_185_)
);
sky130_fd_sc_hd__inv_2 _351_ (
.A(la_output_core[86]),
.Y(_186_)
);
sky130_fd_sc_hd__inv_2 _352_ (
.A(la_output_core[87]),
.Y(_187_)
);
sky130_fd_sc_hd__inv_2 _353_ (
.A(la_output_core[88]),
.Y(_188_)
);
sky130_fd_sc_hd__inv_2 _354_ (
.A(la_output_core[89]),
.Y(_189_)
);
sky130_fd_sc_hd__inv_2 _355_ (
.A(la_output_core[90]),
.Y(_191_)
);
sky130_fd_sc_hd__inv_2 _356_ (
.A(la_output_core[91]),
.Y(_192_)
);
sky130_fd_sc_hd__inv_2 _357_ (
.A(la_output_core[92]),
.Y(_193_)
);
sky130_fd_sc_hd__inv_2 _358_ (
.A(la_output_core[93]),
.Y(_194_)
);
sky130_fd_sc_hd__inv_2 _359_ (
.A(la_output_core[94]),
.Y(_195_)
);
sky130_fd_sc_hd__inv_2 _360_ (
.A(la_output_core[95]),
.Y(_196_)
);
sky130_fd_sc_hd__inv_2 _361_ (
.A(la_output_core[96]),
.Y(_197_)
);
sky130_fd_sc_hd__inv_2 _362_ (
.A(la_output_core[97]),
.Y(_198_)
);
sky130_fd_sc_hd__inv_2 _363_ (
.A(la_output_core[98]),
.Y(_199_)
);
sky130_fd_sc_hd__inv_2 _364_ (
.A(la_output_core[99]),
.Y(_200_)
);
sky130_fd_sc_hd__inv_2 _365_ (
.A(la_output_core[100]),
.Y(_075_)
);
sky130_fd_sc_hd__inv_2 _366_ (
.A(la_output_core[101]),
.Y(_076_)
);
sky130_fd_sc_hd__inv_2 _367_ (
.A(la_output_core[102]),
.Y(_077_)
);
sky130_fd_sc_hd__inv_2 _368_ (
.A(la_output_core[103]),
.Y(_078_)
);
sky130_fd_sc_hd__inv_2 _369_ (
.A(la_output_core[104]),
.Y(_079_)
);
sky130_fd_sc_hd__inv_2 _370_ (
.A(la_output_core[105]),
.Y(_080_)
);
sky130_fd_sc_hd__inv_2 _371_ (
.A(la_output_core[106]),
.Y(_081_)
);
sky130_fd_sc_hd__inv_2 _372_ (
.A(la_output_core[107]),
.Y(_082_)
);
sky130_fd_sc_hd__inv_2 _373_ (
.A(la_output_core[108]),
.Y(_083_)
);
sky130_fd_sc_hd__inv_2 _374_ (
.A(la_output_core[109]),
.Y(_084_)
);
sky130_fd_sc_hd__inv_2 _375_ (
.A(la_output_core[110]),
.Y(_086_)
);
sky130_fd_sc_hd__inv_2 _376_ (
.A(la_output_core[111]),
.Y(_087_)
);
sky130_fd_sc_hd__inv_2 _377_ (
.A(la_output_core[112]),
.Y(_088_)
);
sky130_fd_sc_hd__inv_2 _378_ (
.A(la_output_core[113]),
.Y(_089_)
);
sky130_fd_sc_hd__inv_2 _379_ (
.A(la_output_core[114]),
.Y(_090_)
);
sky130_fd_sc_hd__inv_2 _380_ (
.A(la_output_core[115]),
.Y(_091_)
);
sky130_fd_sc_hd__inv_2 _381_ (
.A(la_output_core[116]),
.Y(_092_)
);
sky130_fd_sc_hd__inv_2 _382_ (
.A(la_output_core[117]),
.Y(_093_)
);
sky130_fd_sc_hd__inv_2 _383_ (
.A(la_output_core[118]),
.Y(_094_)
);
sky130_fd_sc_hd__inv_2 _384_ (
.A(la_output_core[119]),
.Y(_095_)
);
sky130_fd_sc_hd__inv_2 _385_ (
.A(la_output_core[120]),
.Y(_097_)
);
sky130_fd_sc_hd__inv_2 _386_ (
.A(la_output_core[121]),
.Y(_098_)
);
sky130_fd_sc_hd__inv_2 _387_ (
.A(la_output_core[122]),
.Y(_099_)
);
sky130_fd_sc_hd__inv_2 _388_ (
.A(la_output_core[123]),
.Y(_100_)
);
sky130_fd_sc_hd__inv_2 _389_ (
.A(la_output_core[124]),
.Y(_101_)
);
sky130_fd_sc_hd__inv_2 _390_ (
.A(la_output_core[125]),
.Y(_102_)
);
sky130_fd_sc_hd__inv_2 _391_ (
.A(la_output_core[126]),
.Y(_103_)
);
sky130_fd_sc_hd__inv_2 _392_ (
.A(la_output_core[127]),
.Y(_104_)
);
sky130_fd_sc_hd__inv_2 _393_ (
.A(caravel_rstn),
.Y(_000_)
);
sky130_fd_sc_hd__inv_2 _394_ (
.A(caravel_clk),
.Y(_001_)
);
sky130_fd_sc_hd__inv_2 _395_ (
.A(caravel_clk2),
.Y(_002_)
);
sky130_fd_sc_hd__inv_2 _396_ (
.A(mprj_cyc_o_core),
.Y(_003_)
);
sky130_fd_sc_hd__inv_2 _397_ (
.A(mprj_stb_o_core),
.Y(_004_)
);
sky130_fd_sc_hd__inv_2 _398_ (
.A(mprj_we_o_core),
.Y(_005_)
);
sky130_fd_sc_hd__inv_2 _399_ (
.A(mprj_sel_o_core[0]),
.Y(_006_)
);
sky130_fd_sc_hd__inv_2 _400_ (
.A(mprj_sel_o_core[1]),
.Y(_007_)
);
sky130_fd_sc_hd__inv_2 _401_ (
.A(mprj_sel_o_core[2]),
.Y(_008_)
);
sky130_fd_sc_hd__inv_2 _402_ (
.A(mprj_sel_o_core[3]),
.Y(_009_)
);
sky130_fd_sc_hd__inv_2 _403_ (
.A(mprj_adr_o_core[0]),
.Y(_010_)
);
sky130_fd_sc_hd__inv_2 _404_ (
.A(mprj_adr_o_core[1]),
.Y(_021_)
);
sky130_fd_sc_hd__inv_2 _405_ (
.A(mprj_adr_o_core[2]),
.Y(_032_)
);
sky130_fd_sc_hd__inv_2 _406_ (
.A(mprj_adr_o_core[3]),
.Y(_035_)
);
sky130_fd_sc_hd__inv_2 _407_ (
.A(mprj_adr_o_core[4]),
.Y(_036_)
);
sky130_fd_sc_hd__inv_2 _408_ (
.A(mprj_adr_o_core[5]),
.Y(_037_)
);
sky130_fd_sc_hd__inv_2 _409_ (
.A(mprj_adr_o_core[6]),
.Y(_038_)
);
sky130_fd_sc_hd__inv_2 _410_ (
.A(mprj_adr_o_core[7]),
.Y(_039_)
);
sky130_fd_sc_hd__inv_2 _411_ (
.A(mprj_adr_o_core[8]),
.Y(_040_)
);
sky130_fd_sc_hd__inv_2 _412_ (
.A(mprj_adr_o_core[9]),
.Y(_041_)
);
sky130_fd_sc_hd__inv_2 _413_ (
.A(mprj_adr_o_core[10]),
.Y(_011_)
);
sky130_fd_sc_hd__inv_2 _414_ (
.A(mprj_adr_o_core[11]),
.Y(_012_)
);
sky130_fd_sc_hd__inv_2 _415_ (
.A(mprj_adr_o_core[12]),
.Y(_013_)
);
sky130_fd_sc_hd__inv_2 _416_ (
.A(mprj_adr_o_core[13]),
.Y(_014_)
);
sky130_fd_sc_hd__inv_2 _417_ (
.A(mprj_adr_o_core[14]),
.Y(_015_)
);
sky130_fd_sc_hd__inv_2 _418_ (
.A(mprj_adr_o_core[15]),
.Y(_016_)
);
sky130_fd_sc_hd__inv_2 _419_ (
.A(mprj_adr_o_core[16]),
.Y(_017_)
);
sky130_fd_sc_hd__inv_2 _420_ (
.A(mprj_adr_o_core[17]),
.Y(_018_)
);
sky130_fd_sc_hd__inv_2 _421_ (
.A(mprj_adr_o_core[18]),
.Y(_019_)
);
sky130_fd_sc_hd__inv_2 _422_ (
.A(mprj_adr_o_core[19]),
.Y(_020_)
);
sky130_fd_sc_hd__inv_2 _423_ (
.A(mprj_adr_o_core[20]),
.Y(_022_)
);
sky130_fd_sc_hd__inv_2 _424_ (
.A(mprj_adr_o_core[21]),
.Y(_023_)
);
sky130_fd_sc_hd__inv_2 _425_ (
.A(mprj_adr_o_core[22]),
.Y(_024_)
);
sky130_fd_sc_hd__inv_2 _426_ (
.A(mprj_adr_o_core[23]),
.Y(_025_)
);
sky130_fd_sc_hd__inv_2 _427_ (
.A(mprj_adr_o_core[24]),
.Y(_026_)
);
sky130_fd_sc_hd__inv_2 _428_ (
.A(mprj_adr_o_core[25]),
.Y(_027_)
);
sky130_fd_sc_hd__inv_2 _429_ (
.A(mprj_adr_o_core[26]),
.Y(_028_)
);
sky130_fd_sc_hd__inv_2 _430_ (
.A(mprj_adr_o_core[27]),
.Y(_029_)
);
sky130_fd_sc_hd__inv_2 _431_ (
.A(mprj_adr_o_core[28]),
.Y(_030_)
);
sky130_fd_sc_hd__inv_2 _432_ (
.A(mprj_adr_o_core[29]),
.Y(_031_)
);
sky130_fd_sc_hd__inv_2 _433_ (
.A(mprj_adr_o_core[30]),
.Y(_033_)
);
sky130_fd_sc_hd__inv_2 _434_ (
.A(mprj_adr_o_core[31]),
.Y(_034_)
);
sky130_fd_sc_hd__inv_2 _435_ (
.A(mprj_dat_o_core[0]),
.Y(_042_)
);
sky130_fd_sc_hd__inv_2 _436_ (
.A(mprj_dat_o_core[1]),
.Y(_053_)
);
sky130_fd_sc_hd__inv_2 _437_ (
.A(mprj_dat_o_core[2]),
.Y(_064_)
);
sky130_fd_sc_hd__inv_2 _438_ (
.A(mprj_dat_o_core[3]),
.Y(_067_)
);
sky130_fd_sc_hd__inv_2 _439_ (
.A(mprj_dat_o_core[4]),
.Y(_068_)
);
sky130_fd_sc_hd__inv_2 _440_ (
.A(mprj_dat_o_core[5]),
.Y(_069_)
);
sky130_fd_sc_hd__inv_2 _441_ (
.A(mprj_dat_o_core[6]),
.Y(_070_)
);
sky130_fd_sc_hd__inv_2 _442_ (
.A(mprj_dat_o_core[7]),
.Y(_071_)
);
sky130_fd_sc_hd__inv_2 _443_ (
.A(mprj_dat_o_core[8]),
.Y(_072_)
);
sky130_fd_sc_hd__inv_2 _444_ (
.A(mprj_dat_o_core[9]),
.Y(_073_)
);
sky130_fd_sc_hd__inv_2 _445_ (
.A(mprj_dat_o_core[10]),
.Y(_043_)
);
sky130_fd_sc_hd__inv_2 _446_ (
.A(mprj_dat_o_core[11]),
.Y(_044_)
);
sky130_fd_sc_hd__inv_2 _447_ (
.A(mprj_dat_o_core[12]),
.Y(_045_)
);
sky130_fd_sc_hd__inv_2 _448_ (
.A(mprj_dat_o_core[13]),
.Y(_046_)
);
sky130_fd_sc_hd__inv_2 _449_ (
.A(mprj_dat_o_core[14]),
.Y(_047_)
);
sky130_fd_sc_hd__inv_2 _450_ (
.A(mprj_dat_o_core[15]),
.Y(_048_)
);
sky130_fd_sc_hd__inv_2 _451_ (
.A(mprj_dat_o_core[16]),
.Y(_049_)
);
sky130_fd_sc_hd__inv_2 _452_ (
.A(mprj_dat_o_core[17]),
.Y(_050_)
);
sky130_fd_sc_hd__inv_2 _453_ (
.A(mprj_dat_o_core[18]),
.Y(_051_)
);
sky130_fd_sc_hd__inv_2 _454_ (
.A(mprj_dat_o_core[19]),
.Y(_052_)
);
sky130_fd_sc_hd__inv_2 _455_ (
.A(mprj_dat_o_core[20]),
.Y(_054_)
);
sky130_fd_sc_hd__inv_2 _456_ (
.A(mprj_dat_o_core[21]),
.Y(_055_)
);
sky130_fd_sc_hd__inv_2 _457_ (
.A(mprj_dat_o_core[22]),
.Y(_056_)
);
sky130_fd_sc_hd__inv_2 _458_ (
.A(mprj_dat_o_core[23]),
.Y(_057_)
);
sky130_fd_sc_hd__inv_2 _459_ (
.A(mprj_dat_o_core[24]),
.Y(_058_)
);
sky130_fd_sc_hd__inv_2 _460_ (
.A(mprj_dat_o_core[25]),
.Y(_059_)
);
sky130_fd_sc_hd__inv_2 _461_ (
.A(mprj_dat_o_core[26]),
.Y(_060_)
);
sky130_fd_sc_hd__inv_2 _462_ (
.A(mprj_dat_o_core[27]),
.Y(_061_)
);
sky130_fd_sc_hd__inv_2 _463_ (
.A(mprj_dat_o_core[28]),
.Y(_062_)
);
sky130_fd_sc_hd__inv_2 _464_ (
.A(mprj_dat_o_core[29]),
.Y(_063_)
);
sky130_fd_sc_hd__inv_2 _465_ (
.A(mprj_dat_o_core[30]),
.Y(_065_)
);
sky130_fd_sc_hd__inv_2 _466_ (
.A(mprj_dat_o_core[31]),
.Y(_066_)
);
sky130_fd_sc_hd__inv_2 _467_ (
.A(la_oen[0]),
.Y(_202_)
);
sky130_fd_sc_hd__inv_2 _468_ (
.A(la_oen[1]),
.Y(_241_)
);
sky130_fd_sc_hd__inv_2 _469_ (
.A(la_oen[2]),
.Y(_252_)
);
sky130_fd_sc_hd__inv_2 _470_ (
.A(la_oen[3]),
.Y(_263_)
);
sky130_fd_sc_hd__inv_2 _471_ (
.A(la_oen[4]),
.Y(_274_)
);
sky130_fd_sc_hd__inv_2 _472_ (
.A(la_oen[5]),
.Y(_285_)
);
sky130_fd_sc_hd__inv_2 _473_ (
.A(la_oen[6]),
.Y(_296_)
);
sky130_fd_sc_hd__inv_2 _474_ (
.A(la_oen[7]),
.Y(_307_)
);
sky130_fd_sc_hd__inv_2 _475_ (
.A(la_oen[8]),
.Y(_318_)
);
sky130_fd_sc_hd__inv_2 _476_ (
.A(la_oen[9]),
.Y(_329_)
);
sky130_fd_sc_hd__inv_2 _477_ (
.A(la_oen[10]),
.Y(_213_)
);
sky130_fd_sc_hd__inv_2 _478_ (
.A(la_oen[11]),
.Y(_224_)
);
sky130_fd_sc_hd__inv_2 _479_ (
.A(la_oen[12]),
.Y(_233_)
);
sky130_fd_sc_hd__inv_2 _480_ (
.A(la_oen[13]),
.Y(_234_)
);
sky130_fd_sc_hd__inv_2 _481_ (
.A(la_oen[14]),
.Y(_235_)
);
sky130_fd_sc_hd__inv_2 _482_ (
.A(la_oen[15]),
.Y(_236_)
);
sky130_fd_sc_hd__inv_2 _483_ (
.A(la_oen[16]),
.Y(_237_)
);
sky130_fd_sc_hd__inv_2 _484_ (
.A(la_oen[17]),
.Y(_238_)
);
sky130_fd_sc_hd__inv_2 _485_ (
.A(la_oen[18]),
.Y(_239_)
);
sky130_fd_sc_hd__inv_2 _486_ (
.A(la_oen[19]),
.Y(_240_)
);
sky130_fd_sc_hd__inv_2 _487_ (
.A(la_oen[20]),
.Y(_242_)
);
sky130_fd_sc_hd__inv_2 _488_ (
.A(la_oen[21]),
.Y(_243_)
);
sky130_fd_sc_hd__inv_2 _489_ (
.A(la_oen[22]),
.Y(_244_)
);
sky130_fd_sc_hd__inv_2 _490_ (
.A(la_oen[23]),
.Y(_245_)
);
sky130_fd_sc_hd__inv_2 _491_ (
.A(la_oen[24]),
.Y(_246_)
);
sky130_fd_sc_hd__inv_2 _492_ (
.A(la_oen[25]),
.Y(_247_)
);
sky130_fd_sc_hd__inv_2 _493_ (
.A(la_oen[26]),
.Y(_248_)
);
sky130_fd_sc_hd__inv_2 _494_ (
.A(la_oen[27]),
.Y(_249_)
);
sky130_fd_sc_hd__inv_2 _495_ (
.A(la_oen[28]),
.Y(_250_)
);
sky130_fd_sc_hd__inv_2 _496_ (
.A(la_oen[29]),
.Y(_251_)
);
sky130_fd_sc_hd__inv_2 _497_ (
.A(la_oen[30]),
.Y(_253_)
);
sky130_fd_sc_hd__inv_2 _498_ (
.A(la_oen[31]),
.Y(_254_)
);
sky130_fd_sc_hd__inv_2 _499_ (
.A(la_oen[32]),
.Y(_255_)
);
sky130_fd_sc_hd__inv_2 _500_ (
.A(la_oen[33]),
.Y(_256_)
);
sky130_fd_sc_hd__inv_2 _501_ (
.A(la_oen[34]),
.Y(_257_)
);
sky130_fd_sc_hd__inv_2 _502_ (
.A(la_oen[35]),
.Y(_258_)
);
sky130_fd_sc_hd__inv_2 _503_ (
.A(la_oen[36]),
.Y(_259_)
);
sky130_fd_sc_hd__inv_2 _504_ (
.A(la_oen[37]),
.Y(_260_)
);
sky130_fd_sc_hd__inv_2 _505_ (
.A(la_oen[38]),
.Y(_261_)
);
sky130_fd_sc_hd__inv_2 _506_ (
.A(la_oen[39]),
.Y(_262_)
);
sky130_fd_sc_hd__inv_2 _507_ (
.A(la_oen[40]),
.Y(_264_)
);
sky130_fd_sc_hd__inv_2 _508_ (
.A(la_oen[41]),
.Y(_265_)
);
sky130_fd_sc_hd__inv_2 _509_ (
.A(la_oen[42]),
.Y(_266_)
);
sky130_fd_sc_hd__inv_2 _510_ (
.A(la_oen[43]),
.Y(_267_)
);
sky130_fd_sc_hd__inv_2 _511_ (
.A(la_oen[44]),
.Y(_268_)
);
sky130_fd_sc_hd__inv_2 _512_ (
.A(la_oen[45]),
.Y(_269_)
);
sky130_fd_sc_hd__inv_2 _513_ (
.A(la_oen[46]),
.Y(_270_)
);
sky130_fd_sc_hd__inv_2 _514_ (
.A(la_oen[47]),
.Y(_271_)
);
sky130_fd_sc_hd__inv_2 _515_ (
.A(la_oen[48]),
.Y(_272_)
);
sky130_fd_sc_hd__inv_2 _516_ (
.A(la_oen[49]),
.Y(_273_)
);
sky130_fd_sc_hd__inv_2 _517_ (
.A(la_oen[50]),
.Y(_275_)
);
sky130_fd_sc_hd__inv_2 _518_ (
.A(la_oen[51]),
.Y(_276_)
);
sky130_fd_sc_hd__inv_2 _519_ (
.A(la_oen[52]),
.Y(_277_)
);
sky130_fd_sc_hd__inv_2 _520_ (
.A(la_oen[53]),
.Y(_278_)
);
sky130_fd_sc_hd__inv_2 _521_ (
.A(la_oen[54]),
.Y(_279_)
);
sky130_fd_sc_hd__inv_2 _522_ (
.A(la_oen[55]),
.Y(_280_)
);
sky130_fd_sc_hd__inv_2 _523_ (
.A(la_oen[56]),
.Y(_281_)
);
sky130_fd_sc_hd__inv_2 _524_ (
.A(la_oen[57]),
.Y(_282_)
);
sky130_fd_sc_hd__inv_2 _525_ (
.A(la_oen[58]),
.Y(_283_)
);
sky130_fd_sc_hd__inv_2 _526_ (
.A(la_oen[59]),
.Y(_284_)
);
sky130_fd_sc_hd__inv_2 _527_ (
.A(la_oen[60]),
.Y(_286_)
);
sky130_fd_sc_hd__inv_2 _528_ (
.A(la_oen[61]),
.Y(_287_)
);
sky130_fd_sc_hd__inv_2 _529_ (
.A(la_oen[62]),
.Y(_288_)
);
sky130_fd_sc_hd__inv_2 _530_ (
.A(la_oen[63]),
.Y(_289_)
);
sky130_fd_sc_hd__inv_2 _531_ (
.A(la_oen[64]),
.Y(_290_)
);
sky130_fd_sc_hd__inv_2 _532_ (
.A(la_oen[65]),
.Y(_291_)
);
sky130_fd_sc_hd__inv_2 _533_ (
.A(la_oen[66]),
.Y(_292_)
);
sky130_fd_sc_hd__inv_2 _534_ (
.A(la_oen[67]),
.Y(_293_)
);
sky130_fd_sc_hd__inv_2 _535_ (
.A(la_oen[68]),
.Y(_294_)
);
sky130_fd_sc_hd__inv_2 _536_ (
.A(la_oen[69]),
.Y(_295_)
);
sky130_fd_sc_hd__inv_2 _537_ (
.A(la_oen[70]),
.Y(_297_)
);
sky130_fd_sc_hd__inv_2 _538_ (
.A(la_oen[71]),
.Y(_298_)
);
sky130_fd_sc_hd__inv_2 _539_ (
.A(la_oen[72]),
.Y(_299_)
);
sky130_fd_sc_hd__inv_2 _540_ (
.A(la_oen[73]),
.Y(_300_)
);
sky130_fd_sc_hd__inv_2 _541_ (
.A(la_oen[74]),
.Y(_301_)
);
sky130_fd_sc_hd__inv_2 _542_ (
.A(la_oen[75]),
.Y(_302_)
);
sky130_fd_sc_hd__inv_2 _543_ (
.A(la_oen[76]),
.Y(_303_)
);
sky130_fd_sc_hd__inv_2 _544_ (
.A(la_oen[77]),
.Y(_304_)
);
sky130_fd_sc_hd__inv_2 _545_ (
.A(la_oen[78]),
.Y(_305_)
);
sky130_fd_sc_hd__inv_2 _546_ (
.A(la_oen[79]),
.Y(_306_)
);
sky130_fd_sc_hd__inv_2 _547_ (
.A(la_oen[80]),
.Y(_308_)
);
sky130_fd_sc_hd__inv_2 _548_ (
.A(la_oen[81]),
.Y(_309_)
);
sky130_fd_sc_hd__inv_2 _549_ (
.A(la_oen[82]),
.Y(_310_)
);
sky130_fd_sc_hd__inv_2 _550_ (
.A(la_oen[83]),
.Y(_311_)
);
sky130_fd_sc_hd__inv_2 _551_ (
.A(la_oen[84]),
.Y(_312_)
);
sky130_fd_sc_hd__inv_2 _552_ (
.A(la_oen[85]),
.Y(_313_)
);
sky130_fd_sc_hd__inv_2 _553_ (
.A(la_oen[86]),
.Y(_314_)
);
sky130_fd_sc_hd__inv_2 _554_ (
.A(la_oen[87]),
.Y(_315_)
);
sky130_fd_sc_hd__inv_2 _555_ (
.A(la_oen[88]),
.Y(_316_)
);
sky130_fd_sc_hd__inv_2 _556_ (
.A(la_oen[89]),
.Y(_317_)
);
sky130_fd_sc_hd__inv_2 _557_ (
.A(la_oen[90]),
.Y(_319_)
);
sky130_fd_sc_hd__inv_2 _558_ (
.A(la_oen[91]),
.Y(_320_)
);
sky130_fd_sc_hd__inv_2 _559_ (
.A(la_oen[92]),
.Y(_321_)
);
sky130_fd_sc_hd__inv_2 _560_ (
.A(la_oen[93]),
.Y(_322_)
);
sky130_fd_sc_hd__inv_2 _561_ (
.A(la_oen[94]),
.Y(_323_)
);
sky130_fd_sc_hd__inv_2 _562_ (
.A(la_oen[95]),
.Y(_324_)
);
sky130_fd_sc_hd__inv_2 _563_ (
.A(la_oen[96]),
.Y(_325_)
);
sky130_fd_sc_hd__inv_2 _564_ (
.A(la_oen[97]),
.Y(_326_)
);
sky130_fd_sc_hd__inv_2 _565_ (
.A(la_oen[98]),
.Y(_327_)
);
sky130_fd_sc_hd__inv_2 _566_ (
.A(la_oen[99]),
.Y(_328_)
);
sky130_fd_sc_hd__inv_2 _567_ (
.A(la_oen[100]),
.Y(_203_)
);
sky130_fd_sc_hd__inv_2 _568_ (
.A(la_oen[101]),
.Y(_204_)
);
sky130_fd_sc_hd__inv_2 _569_ (
.A(la_oen[102]),
.Y(_205_)
);
sky130_fd_sc_hd__inv_2 _570_ (
.A(la_oen[103]),
.Y(_206_)
);
sky130_fd_sc_hd__inv_2 _571_ (
.A(la_oen[104]),
.Y(_207_)
);
sky130_fd_sc_hd__inv_2 _572_ (
.A(la_oen[105]),
.Y(_208_)
);
sky130_fd_sc_hd__inv_2 _573_ (
.A(la_oen[106]),
.Y(_209_)
);
sky130_fd_sc_hd__inv_2 _574_ (
.A(la_oen[107]),
.Y(_210_)
);
sky130_fd_sc_hd__inv_2 _575_ (
.A(la_oen[108]),
.Y(_211_)
);
sky130_fd_sc_hd__inv_2 _576_ (
.A(la_oen[109]),
.Y(_212_)
);
sky130_fd_sc_hd__inv_2 _577_ (
.A(la_oen[110]),
.Y(_214_)
);
sky130_fd_sc_hd__inv_2 _578_ (
.A(la_oen[111]),
.Y(_215_)
);
sky130_fd_sc_hd__inv_2 _579_ (
.A(la_oen[112]),
.Y(_216_)
);
sky130_fd_sc_hd__inv_2 _580_ (
.A(la_oen[113]),
.Y(_217_)
);
sky130_fd_sc_hd__inv_2 _581_ (
.A(la_oen[114]),
.Y(_218_)
);
sky130_fd_sc_hd__inv_2 _582_ (
.A(la_oen[115]),
.Y(_219_)
);
sky130_fd_sc_hd__inv_2 _583_ (
.A(la_oen[116]),
.Y(_220_)
);
sky130_fd_sc_hd__inv_2 _584_ (
.A(la_oen[117]),
.Y(_221_)
);
sky130_fd_sc_hd__inv_2 _585_ (
.A(la_oen[118]),
.Y(_222_)
);
sky130_fd_sc_hd__inv_2 _586_ (
.A(la_oen[119]),
.Y(_223_)
);
sky130_fd_sc_hd__inv_2 _587_ (
.A(la_oen[120]),
.Y(_225_)
);
sky130_fd_sc_hd__inv_2 _588_ (
.A(la_oen[121]),
.Y(_226_)
);
sky130_fd_sc_hd__inv_2 _589_ (
.A(la_oen[122]),
.Y(_227_)
);
sky130_fd_sc_hd__inv_2 _590_ (
.A(la_oen[123]),
.Y(_228_)
);
sky130_fd_sc_hd__inv_2 _591_ (
.A(la_oen[124]),
.Y(_229_)
);
sky130_fd_sc_hd__inv_2 _592_ (
.A(la_oen[125]),
.Y(_230_)
);
sky130_fd_sc_hd__inv_2 _593_ (
.A(la_oen[126]),
.Y(_231_)
);
sky130_fd_sc_hd__inv_2 _594_ (
.A(la_oen[127]),
.Y(_232_)
);
sky130_fd_sc_hd__inv_2 _595_ (
.A(la_output_core[0]),
.Y(_074_)
);
sky130_fd_sc_hd__inv_2 _596_ (
.A(la_output_core[1]),
.Y(_113_)
);
sky130_fd_sc_hd__inv_2 _597_ (
.A(la_output_core[2]),
.Y(_124_)
);
sky130_fd_sc_hd__inv_2 _598_ (
.A(la_output_core[3]),
.Y(_135_)
);
sky130_fd_sc_hd__inv_2 _599_ (
.A(la_output_core[4]),
.Y(_146_)
);
sky130_fd_sc_hd__inv_2 _600_ (
.A(la_output_core[5]),
.Y(_157_)
);
sky130_fd_sc_hd__inv_2 _601_ (
.A(la_output_core[6]),
.Y(_168_)
);
sky130_fd_sc_hd__inv_2 _602_ (
.A(la_output_core[7]),
.Y(_179_)
);
sky130_fd_sc_hd__inv_2 _603_ (
.A(la_output_core[8]),
.Y(_190_)
);
sky130_fd_sc_hd__inv_2 _604_ (
.A(la_output_core[9]),
.Y(_201_)
);
sky130_fd_sc_hd__inv_2 _605_ (
.A(la_output_core[10]),
.Y(_085_)
);
sky130_fd_sc_hd__inv_2 _606_ (
.A(la_output_core[11]),
.Y(_096_)
);
sky130_fd_sc_hd__inv_2 _607_ (
.A(la_output_core[12]),
.Y(_105_)
);
sky130_fd_sc_hd__inv_2 _608_ (
.A(la_output_core[13]),
.Y(_106_)
);
sky130_fd_sc_hd__inv_2 _609_ (
.A(la_output_core[14]),
.Y(_107_)
);
sky130_fd_sc_hd__inv_2 _610_ (
.A(la_output_core[15]),
.Y(_108_)
);
sky130_fd_sc_hd__inv_2 _611_ (
.A(la_output_core[16]),
.Y(_109_)
);
sky130_fd_sc_hd__inv_2 _612_ (
.A(la_output_core[17]),
.Y(_110_)
);
sky130_fd_sc_hd__inv_2 _613_ (
.A(la_output_core[18]),
.Y(_111_)
);
sky130_fd_sc_hd__inv_2 _614_ (
.A(la_output_core[19]),
.Y(_112_)
);
sky130_fd_sc_hd__inv_2 _615_ (
.A(la_output_core[20]),
.Y(_114_)
);
sky130_fd_sc_hd__inv_2 _616_ (
.A(la_output_core[21]),
.Y(_115_)
);
sky130_fd_sc_hd__inv_2 _617_ (
.A(la_output_core[22]),
.Y(_116_)
);
sky130_fd_sc_hd__inv_2 _618_ (
.A(la_output_core[23]),
.Y(_117_)
);
sky130_fd_sc_hd__inv_2 _619_ (
.A(la_output_core[24]),
.Y(_118_)
);
sky130_fd_sc_hd__inv_2 _620_ (
.A(la_output_core[25]),
.Y(_119_)
);
sky130_fd_sc_hd__inv_2 _621_ (
.A(la_output_core[26]),
.Y(_120_)
);
sky130_fd_sc_hd__inv_2 _622_ (
.A(la_output_core[27]),
.Y(_121_)
);
sky130_fd_sc_hd__inv_2 _623_ (
.A(la_output_core[28]),
.Y(_122_)
);
sky130_fd_sc_hd__inv_2 _624_ (
.A(la_output_core[29]),
.Y(_123_)
);
sky130_fd_sc_hd__inv_2 _625_ (
.A(la_output_core[30]),
.Y(_125_)
);
sky130_fd_sc_hd__inv_2 _626_ (
.A(la_output_core[31]),
.Y(_126_)
);
sky130_fd_sc_hd__inv_2 _627_ (
.A(la_output_core[32]),
.Y(_127_)
);
sky130_fd_sc_hd__inv_2 _628_ (
.A(la_output_core[33]),
.Y(_128_)
);
sky130_fd_sc_hd__inv_2 _629_ (
.A(la_output_core[34]),
.Y(_129_)
);
sky130_fd_sc_hd__inv_2 _630_ (
.A(la_output_core[35]),
.Y(_130_)
);
sky130_fd_sc_hd__inv_2 _631_ (
.A(la_output_core[36]),
.Y(_131_)
);
sky130_fd_sc_hd__inv_2 _632_ (
.A(la_output_core[37]),
.Y(_132_)
);
sky130_fd_sc_hd__inv_2 _633_ (
.A(la_output_core[38]),
.Y(_133_)
);
sky130_fd_sc_hd__inv_2 _634_ (
.A(la_output_core[39]),
.Y(_134_)
);
sky130_fd_sc_hd__inv_2 _635_ (
.A(la_output_core[40]),
.Y(_136_)
);
sky130_fd_sc_hd__inv_2 _636_ (
.A(la_output_core[41]),
.Y(_137_)
);
sky130_fd_sc_hd__inv_2 _637_ (
.A(la_output_core[42]),
.Y(_138_)
);
sky130_fd_sc_hd__inv_2 _638_ (
.A(la_output_core[43]),
.Y(_139_)
);
sky130_fd_sc_hd__inv_2 _639_ (
.A(la_output_core[44]),
.Y(_140_)
);
sky130_fd_sc_hd__inv_2 _640_ (
.A(la_output_core[45]),
.Y(_141_)
);
sky130_fd_sc_hd__inv_2 _641_ (
.A(la_output_core[46]),
.Y(_142_)
);
sky130_fd_sc_hd__inv_2 _642_ (
.A(la_output_core[47]),
.Y(_143_)
);
sky130_fd_sc_hd__inv_2 _643_ (
.A(la_output_core[48]),
.Y(_144_)
);
sky130_fd_sc_hd__inv_2 _644_ (
.A(la_output_core[49]),
.Y(_145_)
);
sky130_fd_sc_hd__inv_2 _645_ (
.A(la_output_core[50]),
.Y(_147_)
);
sky130_fd_sc_hd__inv_2 _646_ (
.A(la_output_core[51]),
.Y(_148_)
);
sky130_fd_sc_hd__inv_2 _647_ (
.A(la_output_core[52]),
.Y(_149_)
);
sky130_fd_sc_hd__inv_2 _648_ (
.A(la_output_core[53]),
.Y(_150_)
);
sky130_fd_sc_hd__inv_2 _649_ (
.A(la_output_core[54]),
.Y(_151_)
);
sky130_fd_sc_hd__inv_2 _650_ (
.A(la_output_core[55]),
.Y(_152_)
);
sky130_fd_sc_hd__inv_2 _651_ (
.A(la_output_core[56]),
.Y(_153_)
);
sky130_fd_sc_hd__inv_2 _652_ (
.A(la_output_core[57]),
.Y(_154_)
);
sky130_fd_sc_hd__inv_2 _653_ (
.A(la_output_core[58]),
.Y(_155_)
);
sky130_fd_sc_hd__inv_2 _654_ (
.A(la_output_core[59]),
.Y(_156_)
);
sky130_fd_sc_hd__inv_2 _655_ (
.A(la_output_core[60]),
.Y(_158_)
);
sky130_fd_sc_hd__inv_2 _656_ (
.A(la_output_core[61]),
.Y(_159_)
);
sky130_fd_sc_hd__inv_2 _657_ (
.A(la_output_core[62]),
.Y(_160_)
);
sky130_fd_sc_hd__inv_2 _658_ (
.A(la_output_core[63]),
.Y(_161_)
);
sky130_fd_sc_hd__inv_2 _659_ (
.A(la_output_core[64]),
.Y(_162_)
);
sky130_fd_sc_hd__conb_1 _660_ (
.LO(user1_vdd_powergood)
);
sky130_fd_sc_hd__einvp_8 \la_buf[0] (
.A(_074_),
.TE(_202_),
.Z(la_data_in_mprj[0])
);
sky130_fd_sc_hd__einvp_8 \la_buf[100] (
.A(_075_),
.TE(_203_),
.Z(la_data_in_mprj[100])
);
sky130_fd_sc_hd__einvp_8 \la_buf[101] (
.A(_076_),
.TE(_204_),
.Z(la_data_in_mprj[101])
);
sky130_fd_sc_hd__einvp_8 \la_buf[102] (
.A(_077_),
.TE(_205_),
.Z(la_data_in_mprj[102])
);
sky130_fd_sc_hd__einvp_8 \la_buf[103] (
.A(_078_),
.TE(_206_),
.Z(la_data_in_mprj[103])
);
sky130_fd_sc_hd__einvp_8 \la_buf[104] (
.A(_079_),
.TE(_207_),
.Z(la_data_in_mprj[104])
);
sky130_fd_sc_hd__einvp_8 \la_buf[105] (
.A(_080_),
.TE(_208_),
.Z(la_data_in_mprj[105])
);
sky130_fd_sc_hd__einvp_8 \la_buf[106] (
.A(_081_),
.TE(_209_),
.Z(la_data_in_mprj[106])
);
sky130_fd_sc_hd__einvp_8 \la_buf[107] (
.A(_082_),
.TE(_210_),
.Z(la_data_in_mprj[107])
);
sky130_fd_sc_hd__einvp_8 \la_buf[108] (
.A(_083_),
.TE(_211_),
.Z(la_data_in_mprj[108])
);
sky130_fd_sc_hd__einvp_8 \la_buf[109] (
.A(_084_),
.TE(_212_),
.Z(la_data_in_mprj[109])
);
sky130_fd_sc_hd__einvp_8 \la_buf[10] (
.A(_085_),
.TE(_213_),
.Z(la_data_in_mprj[10])
);
sky130_fd_sc_hd__einvp_8 \la_buf[110] (
.A(_086_),
.TE(_214_),
.Z(la_data_in_mprj[110])
);
sky130_fd_sc_hd__einvp_8 \la_buf[111] (
.A(_087_),
.TE(_215_),
.Z(la_data_in_mprj[111])
);
sky130_fd_sc_hd__einvp_8 \la_buf[112] (
.A(_088_),
.TE(_216_),
.Z(la_data_in_mprj[112])
);
sky130_fd_sc_hd__einvp_8 \la_buf[113] (
.A(_089_),
.TE(_217_),
.Z(la_data_in_mprj[113])
);
sky130_fd_sc_hd__einvp_8 \la_buf[114] (
.A(_090_),
.TE(_218_),
.Z(la_data_in_mprj[114])
);
sky130_fd_sc_hd__einvp_8 \la_buf[115] (
.A(_091_),
.TE(_219_),
.Z(la_data_in_mprj[115])
);
sky130_fd_sc_hd__einvp_8 \la_buf[116] (
.A(_092_),
.TE(_220_),
.Z(la_data_in_mprj[116])
);
sky130_fd_sc_hd__einvp_8 \la_buf[117] (
.A(_093_),
.TE(_221_),
.Z(la_data_in_mprj[117])
);
sky130_fd_sc_hd__einvp_8 \la_buf[118] (
.A(_094_),
.TE(_222_),
.Z(la_data_in_mprj[118])
);
sky130_fd_sc_hd__einvp_8 \la_buf[119] (
.A(_095_),
.TE(_223_),
.Z(la_data_in_mprj[119])
);
sky130_fd_sc_hd__einvp_8 \la_buf[11] (
.A(_096_),
.TE(_224_),
.Z(la_data_in_mprj[11])
);
sky130_fd_sc_hd__einvp_8 \la_buf[120] (
.A(_097_),
.TE(_225_),
.Z(la_data_in_mprj[120])
);
sky130_fd_sc_hd__einvp_8 \la_buf[121] (
.A(_098_),
.TE(_226_),
.Z(la_data_in_mprj[121])
);
sky130_fd_sc_hd__einvp_8 \la_buf[122] (
.A(_099_),
.TE(_227_),
.Z(la_data_in_mprj[122])
);
sky130_fd_sc_hd__einvp_8 \la_buf[123] (
.A(_100_),
.TE(_228_),
.Z(la_data_in_mprj[123])
);
sky130_fd_sc_hd__einvp_8 \la_buf[124] (
.A(_101_),
.TE(_229_),
.Z(la_data_in_mprj[124])
);
sky130_fd_sc_hd__einvp_8 \la_buf[125] (
.A(_102_),
.TE(_230_),
.Z(la_data_in_mprj[125])
);
sky130_fd_sc_hd__einvp_8 \la_buf[126] (
.A(_103_),
.TE(_231_),
.Z(la_data_in_mprj[126])
);
sky130_fd_sc_hd__einvp_8 \la_buf[127] (
.A(_104_),
.TE(_232_),
.Z(la_data_in_mprj[127])
);
sky130_fd_sc_hd__einvp_8 \la_buf[12] (
.A(_105_),
.TE(_233_),
.Z(la_data_in_mprj[12])
);
sky130_fd_sc_hd__einvp_8 \la_buf[13] (
.A(_106_),
.TE(_234_),
.Z(la_data_in_mprj[13])
);
sky130_fd_sc_hd__einvp_8 \la_buf[14] (
.A(_107_),
.TE(_235_),
.Z(la_data_in_mprj[14])
);
sky130_fd_sc_hd__einvp_8 \la_buf[15] (
.A(_108_),
.TE(_236_),
.Z(la_data_in_mprj[15])
);
sky130_fd_sc_hd__einvp_8 \la_buf[16] (
.A(_109_),
.TE(_237_),
.Z(la_data_in_mprj[16])
);
sky130_fd_sc_hd__einvp_8 \la_buf[17] (
.A(_110_),
.TE(_238_),
.Z(la_data_in_mprj[17])
);
sky130_fd_sc_hd__einvp_8 \la_buf[18] (
.A(_111_),
.TE(_239_),
.Z(la_data_in_mprj[18])
);
sky130_fd_sc_hd__einvp_8 \la_buf[19] (
.A(_112_),
.TE(_240_),
.Z(la_data_in_mprj[19])
);
sky130_fd_sc_hd__einvp_8 \la_buf[1] (
.A(_113_),
.TE(_241_),
.Z(la_data_in_mprj[1])
);
sky130_fd_sc_hd__einvp_8 \la_buf[20] (
.A(_114_),
.TE(_242_),
.Z(la_data_in_mprj[20])
);
sky130_fd_sc_hd__einvp_8 \la_buf[21] (
.A(_115_),
.TE(_243_),
.Z(la_data_in_mprj[21])
);
sky130_fd_sc_hd__einvp_8 \la_buf[22] (
.A(_116_),
.TE(_244_),
.Z(la_data_in_mprj[22])
);
sky130_fd_sc_hd__einvp_8 \la_buf[23] (
.A(_117_),
.TE(_245_),
.Z(la_data_in_mprj[23])
);
sky130_fd_sc_hd__einvp_8 \la_buf[24] (
.A(_118_),
.TE(_246_),
.Z(la_data_in_mprj[24])
);
sky130_fd_sc_hd__einvp_8 \la_buf[25] (
.A(_119_),
.TE(_247_),
.Z(la_data_in_mprj[25])
);
sky130_fd_sc_hd__einvp_8 \la_buf[26] (
.A(_120_),
.TE(_248_),
.Z(la_data_in_mprj[26])
);
sky130_fd_sc_hd__einvp_8 \la_buf[27] (
.A(_121_),
.TE(_249_),
.Z(la_data_in_mprj[27])
);
sky130_fd_sc_hd__einvp_8 \la_buf[28] (
.A(_122_),
.TE(_250_),
.Z(la_data_in_mprj[28])
);
sky130_fd_sc_hd__einvp_8 \la_buf[29] (
.A(_123_),
.TE(_251_),
.Z(la_data_in_mprj[29])
);
sky130_fd_sc_hd__einvp_8 \la_buf[2] (
.A(_124_),
.TE(_252_),
.Z(la_data_in_mprj[2])
);
sky130_fd_sc_hd__einvp_8 \la_buf[30] (
.A(_125_),
.TE(_253_),
.Z(la_data_in_mprj[30])
);
sky130_fd_sc_hd__einvp_8 \la_buf[31] (
.A(_126_),
.TE(_254_),
.Z(la_data_in_mprj[31])
);
sky130_fd_sc_hd__einvp_8 \la_buf[32] (
.A(_127_),
.TE(_255_),
.Z(la_data_in_mprj[32])
);
sky130_fd_sc_hd__einvp_8 \la_buf[33] (
.A(_128_),
.TE(_256_),
.Z(la_data_in_mprj[33])
);
sky130_fd_sc_hd__einvp_8 \la_buf[34] (
.A(_129_),
.TE(_257_),
.Z(la_data_in_mprj[34])
);
sky130_fd_sc_hd__einvp_8 \la_buf[35] (
.A(_130_),
.TE(_258_),
.Z(la_data_in_mprj[35])
);
sky130_fd_sc_hd__einvp_8 \la_buf[36] (
.A(_131_),
.TE(_259_),
.Z(la_data_in_mprj[36])
);
sky130_fd_sc_hd__einvp_8 \la_buf[37] (
.A(_132_),
.TE(_260_),
.Z(la_data_in_mprj[37])
);
sky130_fd_sc_hd__einvp_8 \la_buf[38] (
.A(_133_),
.TE(_261_),
.Z(la_data_in_mprj[38])
);
sky130_fd_sc_hd__einvp_8 \la_buf[39] (
.A(_134_),
.TE(_262_),
.Z(la_data_in_mprj[39])
);
sky130_fd_sc_hd__einvp_8 \la_buf[3] (
.A(_135_),
.TE(_263_),
.Z(la_data_in_mprj[3])
);
sky130_fd_sc_hd__einvp_8 \la_buf[40] (
.A(_136_),
.TE(_264_),
.Z(la_data_in_mprj[40])
);
sky130_fd_sc_hd__einvp_8 \la_buf[41] (
.A(_137_),
.TE(_265_),
.Z(la_data_in_mprj[41])
);
sky130_fd_sc_hd__einvp_8 \la_buf[42] (
.A(_138_),
.TE(_266_),
.Z(la_data_in_mprj[42])
);
sky130_fd_sc_hd__einvp_8 \la_buf[43] (
.A(_139_),
.TE(_267_),
.Z(la_data_in_mprj[43])
);
sky130_fd_sc_hd__einvp_8 \la_buf[44] (
.A(_140_),
.TE(_268_),
.Z(la_data_in_mprj[44])
);
sky130_fd_sc_hd__einvp_8 \la_buf[45] (
.A(_141_),
.TE(_269_),
.Z(la_data_in_mprj[45])
);
sky130_fd_sc_hd__einvp_8 \la_buf[46] (
.A(_142_),
.TE(_270_),
.Z(la_data_in_mprj[46])
);
sky130_fd_sc_hd__einvp_8 \la_buf[47] (
.A(_143_),
.TE(_271_),
.Z(la_data_in_mprj[47])
);
sky130_fd_sc_hd__einvp_8 \la_buf[48] (
.A(_144_),
.TE(_272_),
.Z(la_data_in_mprj[48])
);
sky130_fd_sc_hd__einvp_8 \la_buf[49] (
.A(_145_),
.TE(_273_),
.Z(la_data_in_mprj[49])
);
sky130_fd_sc_hd__einvp_8 \la_buf[4] (
.A(_146_),
.TE(_274_),
.Z(la_data_in_mprj[4])
);
sky130_fd_sc_hd__einvp_8 \la_buf[50] (
.A(_147_),
.TE(_275_),
.Z(la_data_in_mprj[50])
);
sky130_fd_sc_hd__einvp_8 \la_buf[51] (
.A(_148_),
.TE(_276_),
.Z(la_data_in_mprj[51])
);
sky130_fd_sc_hd__einvp_8 \la_buf[52] (
.A(_149_),
.TE(_277_),
.Z(la_data_in_mprj[52])
);
sky130_fd_sc_hd__einvp_8 \la_buf[53] (
.A(_150_),
.TE(_278_),
.Z(la_data_in_mprj[53])
);
sky130_fd_sc_hd__einvp_8 \la_buf[54] (
.A(_151_),
.TE(_279_),
.Z(la_data_in_mprj[54])
);
sky130_fd_sc_hd__einvp_8 \la_buf[55] (
.A(_152_),
.TE(_280_),
.Z(la_data_in_mprj[55])
);
sky130_fd_sc_hd__einvp_8 \la_buf[56] (
.A(_153_),
.TE(_281_),
.Z(la_data_in_mprj[56])
);
sky130_fd_sc_hd__einvp_8 \la_buf[57] (
.A(_154_),
.TE(_282_),
.Z(la_data_in_mprj[57])
);
sky130_fd_sc_hd__einvp_8 \la_buf[58] (
.A(_155_),
.TE(_283_),
.Z(la_data_in_mprj[58])
);
sky130_fd_sc_hd__einvp_8 \la_buf[59] (
.A(_156_),
.TE(_284_),
.Z(la_data_in_mprj[59])
);
sky130_fd_sc_hd__einvp_8 \la_buf[5] (
.A(_157_),
.TE(_285_),
.Z(la_data_in_mprj[5])
);
sky130_fd_sc_hd__einvp_8 \la_buf[60] (
.A(_158_),
.TE(_286_),
.Z(la_data_in_mprj[60])
);
sky130_fd_sc_hd__einvp_8 \la_buf[61] (
.A(_159_),
.TE(_287_),
.Z(la_data_in_mprj[61])
);
sky130_fd_sc_hd__einvp_8 \la_buf[62] (
.A(_160_),
.TE(_288_),
.Z(la_data_in_mprj[62])
);
sky130_fd_sc_hd__einvp_8 \la_buf[63] (
.A(_161_),
.TE(_289_),
.Z(la_data_in_mprj[63])
);
sky130_fd_sc_hd__einvp_8 \la_buf[64] (
.A(_162_),
.TE(_290_),
.Z(la_data_in_mprj[64])
);
sky130_fd_sc_hd__einvp_8 \la_buf[65] (
.A(_163_),
.TE(_291_),
.Z(la_data_in_mprj[65])
);
sky130_fd_sc_hd__einvp_8 \la_buf[66] (
.A(_164_),
.TE(_292_),
.Z(la_data_in_mprj[66])
);
sky130_fd_sc_hd__einvp_8 \la_buf[67] (
.A(_165_),
.TE(_293_),
.Z(la_data_in_mprj[67])
);
sky130_fd_sc_hd__einvp_8 \la_buf[68] (
.A(_166_),
.TE(_294_),
.Z(la_data_in_mprj[68])
);
sky130_fd_sc_hd__einvp_8 \la_buf[69] (
.A(_167_),
.TE(_295_),
.Z(la_data_in_mprj[69])
);
sky130_fd_sc_hd__einvp_8 \la_buf[6] (
.A(_168_),
.TE(_296_),
.Z(la_data_in_mprj[6])
);
sky130_fd_sc_hd__einvp_8 \la_buf[70] (
.A(_169_),
.TE(_297_),
.Z(la_data_in_mprj[70])
);
sky130_fd_sc_hd__einvp_8 \la_buf[71] (
.A(_170_),
.TE(_298_),
.Z(la_data_in_mprj[71])
);
sky130_fd_sc_hd__einvp_8 \la_buf[72] (
.A(_171_),
.TE(_299_),
.Z(la_data_in_mprj[72])
);
sky130_fd_sc_hd__einvp_8 \la_buf[73] (
.A(_172_),
.TE(_300_),
.Z(la_data_in_mprj[73])
);
sky130_fd_sc_hd__einvp_8 \la_buf[74] (
.A(_173_),
.TE(_301_),
.Z(la_data_in_mprj[74])
);
sky130_fd_sc_hd__einvp_8 \la_buf[75] (
.A(_174_),
.TE(_302_),
.Z(la_data_in_mprj[75])
);
sky130_fd_sc_hd__einvp_8 \la_buf[76] (
.A(_175_),
.TE(_303_),
.Z(la_data_in_mprj[76])
);
sky130_fd_sc_hd__einvp_8 \la_buf[77] (
.A(_176_),
.TE(_304_),
.Z(la_data_in_mprj[77])
);
sky130_fd_sc_hd__einvp_8 \la_buf[78] (
.A(_177_),
.TE(_305_),
.Z(la_data_in_mprj[78])
);
sky130_fd_sc_hd__einvp_8 \la_buf[79] (
.A(_178_),
.TE(_306_),
.Z(la_data_in_mprj[79])
);
sky130_fd_sc_hd__einvp_8 \la_buf[7] (
.A(_179_),
.TE(_307_),
.Z(la_data_in_mprj[7])
);
sky130_fd_sc_hd__einvp_8 \la_buf[80] (
.A(_180_),
.TE(_308_),
.Z(la_data_in_mprj[80])
);
sky130_fd_sc_hd__einvp_8 \la_buf[81] (
.A(_181_),
.TE(_309_),
.Z(la_data_in_mprj[81])
);
sky130_fd_sc_hd__einvp_8 \la_buf[82] (
.A(_182_),
.TE(_310_),
.Z(la_data_in_mprj[82])
);
sky130_fd_sc_hd__einvp_8 \la_buf[83] (
.A(_183_),
.TE(_311_),
.Z(la_data_in_mprj[83])
);
sky130_fd_sc_hd__einvp_8 \la_buf[84] (
.A(_184_),
.TE(_312_),
.Z(la_data_in_mprj[84])
);
sky130_fd_sc_hd__einvp_8 \la_buf[85] (
.A(_185_),
.TE(_313_),
.Z(la_data_in_mprj[85])
);
sky130_fd_sc_hd__einvp_8 \la_buf[86] (
.A(_186_),
.TE(_314_),
.Z(la_data_in_mprj[86])
);
sky130_fd_sc_hd__einvp_8 \la_buf[87] (
.A(_187_),
.TE(_315_),
.Z(la_data_in_mprj[87])
);
sky130_fd_sc_hd__einvp_8 \la_buf[88] (
.A(_188_),
.TE(_316_),
.Z(la_data_in_mprj[88])
);
sky130_fd_sc_hd__einvp_8 \la_buf[89] (
.A(_189_),
.TE(_317_),
.Z(la_data_in_mprj[89])
);
sky130_fd_sc_hd__einvp_8 \la_buf[8] (
.A(_190_),
.TE(_318_),
.Z(la_data_in_mprj[8])
);
sky130_fd_sc_hd__einvp_8 \la_buf[90] (
.A(_191_),
.TE(_319_),
.Z(la_data_in_mprj[90])
);
sky130_fd_sc_hd__einvp_8 \la_buf[91] (
.A(_192_),
.TE(_320_),
.Z(la_data_in_mprj[91])
);
sky130_fd_sc_hd__einvp_8 \la_buf[92] (
.A(_193_),
.TE(_321_),
.Z(la_data_in_mprj[92])
);
sky130_fd_sc_hd__einvp_8 \la_buf[93] (
.A(_194_),
.TE(_322_),
.Z(la_data_in_mprj[93])
);
sky130_fd_sc_hd__einvp_8 \la_buf[94] (
.A(_195_),
.TE(_323_),
.Z(la_data_in_mprj[94])
);
sky130_fd_sc_hd__einvp_8 \la_buf[95] (
.A(_196_),
.TE(_324_),
.Z(la_data_in_mprj[95])
);
sky130_fd_sc_hd__einvp_8 \la_buf[96] (
.A(_197_),
.TE(_325_),
.Z(la_data_in_mprj[96])
);
sky130_fd_sc_hd__einvp_8 \la_buf[97] (
.A(_198_),
.TE(_326_),
.Z(la_data_in_mprj[97])
);
sky130_fd_sc_hd__einvp_8 \la_buf[98] (
.A(_199_),
.TE(_327_),
.Z(la_data_in_mprj[98])
);
sky130_fd_sc_hd__einvp_8 \la_buf[99] (
.A(_200_),
.TE(_328_),
.Z(la_data_in_mprj[99])
);
sky130_fd_sc_hd__einvp_8 \la_buf[9] (
.A(_201_),
.TE(_329_),
.Z(la_data_in_mprj[9])
);
sky130_fd_sc_hd__conb_1 mprj2_logic_high (
.HI(mprj2_logic1),
.LO()
);
sky130_fd_sc_hvl__conb_1 mprj2_logic_high_hvl (
.HI(mprj2_vdd_logic1_h),
.LO()
);
sky130_fd_sc_hvl__lsbufhv2lv_1 mprj2_logic_high_lv (
.A(mprj2_vdd_logic1_h),
.X(mprj2_vdd_logic1)
);
sky130_fd_sc_hd__buf_8 mprj2_pwrgood (
.A(mprj2_logic1),
.X(user2_vcc_powergood)
);
sky130_fd_sc_hd__buf_8 mprj2_vdd_pwrgood (
.A(mprj2_vdd_logic1),
.X(user2_vdd_powergood)
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[0] (
.A(_010_),
.TE(\mprj_logic1[10] ),
.Z(mprj_adr_o_user[0])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[10] (
.A(_011_),
.TE(\mprj_logic1[20] ),
.Z(mprj_adr_o_user[10])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[11] (
.A(_012_),
.TE(\mprj_logic1[21] ),
.Z(mprj_adr_o_user[11])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[12] (
.A(_013_),
.TE(\mprj_logic1[22] ),
.Z(mprj_adr_o_user[12])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[13] (
.A(_014_),
.TE(\mprj_logic1[23] ),
.Z(mprj_adr_o_user[13])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[14] (
.A(_015_),
.TE(\mprj_logic1[24] ),
.Z(mprj_adr_o_user[14])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[15] (
.A(_016_),
.TE(\mprj_logic1[25] ),
.Z(mprj_adr_o_user[15])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[16] (
.A(_017_),
.TE(\mprj_logic1[26] ),
.Z(mprj_adr_o_user[16])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[17] (
.A(_018_),
.TE(\mprj_logic1[27] ),
.Z(mprj_adr_o_user[17])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[18] (
.A(_019_),
.TE(\mprj_logic1[28] ),
.Z(mprj_adr_o_user[18])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[19] (
.A(_020_),
.TE(\mprj_logic1[29] ),
.Z(mprj_adr_o_user[19])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[1] (
.A(_021_),
.TE(\mprj_logic1[11] ),
.Z(mprj_adr_o_user[1])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[20] (
.A(_022_),
.TE(\mprj_logic1[30] ),
.Z(mprj_adr_o_user[20])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[21] (
.A(_023_),
.TE(\mprj_logic1[31] ),
.Z(mprj_adr_o_user[21])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[22] (
.A(_024_),
.TE(\mprj_logic1[32] ),
.Z(mprj_adr_o_user[22])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[23] (
.A(_025_),
.TE(\mprj_logic1[33] ),
.Z(mprj_adr_o_user[23])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[24] (
.A(_026_),
.TE(\mprj_logic1[34] ),
.Z(mprj_adr_o_user[24])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[25] (
.A(_027_),
.TE(\mprj_logic1[35] ),
.Z(mprj_adr_o_user[25])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[26] (
.A(_028_),
.TE(\mprj_logic1[36] ),
.Z(mprj_adr_o_user[26])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[27] (
.A(_029_),
.TE(\mprj_logic1[37] ),
.Z(mprj_adr_o_user[27])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[28] (
.A(_030_),
.TE(\mprj_logic1[38] ),
.Z(mprj_adr_o_user[28])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[29] (
.A(_031_),
.TE(\mprj_logic1[39] ),
.Z(mprj_adr_o_user[29])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[2] (
.A(_032_),
.TE(\mprj_logic1[12] ),
.Z(mprj_adr_o_user[2])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[30] (
.A(_033_),
.TE(\mprj_logic1[40] ),
.Z(mprj_adr_o_user[30])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[31] (
.A(_034_),
.TE(\mprj_logic1[41] ),
.Z(mprj_adr_o_user[31])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[3] (
.A(_035_),
.TE(\mprj_logic1[13] ),
.Z(mprj_adr_o_user[3])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[4] (
.A(_036_),
.TE(\mprj_logic1[14] ),
.Z(mprj_adr_o_user[4])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[5] (
.A(_037_),
.TE(\mprj_logic1[15] ),
.Z(mprj_adr_o_user[5])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[6] (
.A(_038_),
.TE(\mprj_logic1[16] ),
.Z(mprj_adr_o_user[6])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[7] (
.A(_039_),
.TE(\mprj_logic1[17] ),
.Z(mprj_adr_o_user[7])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[8] (
.A(_040_),
.TE(\mprj_logic1[18] ),
.Z(mprj_adr_o_user[8])
);
sky130_fd_sc_hd__einvp_8 \mprj_adr_buf[9] (
.A(_041_),
.TE(\mprj_logic1[19] ),
.Z(mprj_adr_o_user[9])
);
sky130_fd_sc_hd__einvp_8 mprj_clk2_buf (
.A(_002_),
.TE(\mprj_logic1[2] ),
.Z(user_clock2)
);
sky130_fd_sc_hd__einvp_8 mprj_clk_buf (
.A(_001_),
.TE(\mprj_logic1[1] ),
.Z(user_clock)
);
sky130_fd_sc_hd__einvp_8 mprj_cyc_buf (
.A(_003_),
.TE(\mprj_logic1[3] ),
.Z(mprj_cyc_o_user)
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[0] (
.A(_042_),
.TE(\mprj_logic1[42] ),
.Z(mprj_dat_o_user[0])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[10] (
.A(_043_),
.TE(\mprj_logic1[52] ),
.Z(mprj_dat_o_user[10])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[11] (
.A(_044_),
.TE(\mprj_logic1[53] ),
.Z(mprj_dat_o_user[11])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[12] (
.A(_045_),
.TE(\mprj_logic1[54] ),
.Z(mprj_dat_o_user[12])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[13] (
.A(_046_),
.TE(\mprj_logic1[55] ),
.Z(mprj_dat_o_user[13])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[14] (
.A(_047_),
.TE(\mprj_logic1[56] ),
.Z(mprj_dat_o_user[14])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[15] (
.A(_048_),
.TE(\mprj_logic1[57] ),
.Z(mprj_dat_o_user[15])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[16] (
.A(_049_),
.TE(\mprj_logic1[58] ),
.Z(mprj_dat_o_user[16])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[17] (
.A(_050_),
.TE(\mprj_logic1[59] ),
.Z(mprj_dat_o_user[17])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[18] (
.A(_051_),
.TE(\mprj_logic1[60] ),
.Z(mprj_dat_o_user[18])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[19] (
.A(_052_),
.TE(\mprj_logic1[61] ),
.Z(mprj_dat_o_user[19])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[1] (
.A(_053_),
.TE(\mprj_logic1[43] ),
.Z(mprj_dat_o_user[1])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[20] (
.A(_054_),
.TE(\mprj_logic1[62] ),
.Z(mprj_dat_o_user[20])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[21] (
.A(_055_),
.TE(\mprj_logic1[63] ),
.Z(mprj_dat_o_user[21])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[22] (
.A(_056_),
.TE(\mprj_logic1[64] ),
.Z(mprj_dat_o_user[22])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[23] (
.A(_057_),
.TE(\mprj_logic1[65] ),
.Z(mprj_dat_o_user[23])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[24] (
.A(_058_),
.TE(\mprj_logic1[66] ),
.Z(mprj_dat_o_user[24])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[25] (
.A(_059_),
.TE(\mprj_logic1[67] ),
.Z(mprj_dat_o_user[25])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[26] (
.A(_060_),
.TE(\mprj_logic1[68] ),
.Z(mprj_dat_o_user[26])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[27] (
.A(_061_),
.TE(\mprj_logic1[69] ),
.Z(mprj_dat_o_user[27])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[28] (
.A(_062_),
.TE(\mprj_logic1[70] ),
.Z(mprj_dat_o_user[28])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[29] (
.A(_063_),
.TE(\mprj_logic1[71] ),
.Z(mprj_dat_o_user[29])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[2] (
.A(_064_),
.TE(\mprj_logic1[44] ),
.Z(mprj_dat_o_user[2])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[30] (
.A(_065_),
.TE(\mprj_logic1[72] ),
.Z(mprj_dat_o_user[30])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[31] (
.A(_066_),
.TE(\mprj_logic1[73] ),
.Z(mprj_dat_o_user[31])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[3] (
.A(_067_),
.TE(\mprj_logic1[45] ),
.Z(mprj_dat_o_user[3])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[4] (
.A(_068_),
.TE(\mprj_logic1[46] ),
.Z(mprj_dat_o_user[4])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[5] (
.A(_069_),
.TE(\mprj_logic1[47] ),
.Z(mprj_dat_o_user[5])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[6] (
.A(_070_),
.TE(\mprj_logic1[48] ),
.Z(mprj_dat_o_user[6])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[7] (
.A(_071_),
.TE(\mprj_logic1[49] ),
.Z(mprj_dat_o_user[7])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[8] (
.A(_072_),
.TE(\mprj_logic1[50] ),
.Z(mprj_dat_o_user[8])
);
sky130_fd_sc_hd__einvp_8 \mprj_dat_buf[9] (
.A(_073_),
.TE(\mprj_logic1[51] ),
.Z(mprj_dat_o_user[9])
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[0] (
.HI(\mprj_logic1[0] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[10] (
.HI(\mprj_logic1[10] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[11] (
.HI(\mprj_logic1[11] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[12] (
.HI(\mprj_logic1[12] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[13] (
.HI(\mprj_logic1[13] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[14] (
.HI(\mprj_logic1[14] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[15] (
.HI(\mprj_logic1[15] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[16] (
.HI(\mprj_logic1[16] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[17] (
.HI(\mprj_logic1[17] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[18] (
.HI(\mprj_logic1[18] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[19] (
.HI(\mprj_logic1[19] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[1] (
.HI(\mprj_logic1[1] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[20] (
.HI(\mprj_logic1[20] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[21] (
.HI(\mprj_logic1[21] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[22] (
.HI(\mprj_logic1[22] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[23] (
.HI(\mprj_logic1[23] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[24] (
.HI(\mprj_logic1[24] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[25] (
.HI(\mprj_logic1[25] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[26] (
.HI(\mprj_logic1[26] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[27] (
.HI(\mprj_logic1[27] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[28] (
.HI(\mprj_logic1[28] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[29] (
.HI(\mprj_logic1[29] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[2] (
.HI(\mprj_logic1[2] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[30] (
.HI(\mprj_logic1[30] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[31] (
.HI(\mprj_logic1[31] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[32] (
.HI(\mprj_logic1[32] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[33] (
.HI(\mprj_logic1[33] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[34] (
.HI(\mprj_logic1[34] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[35] (
.HI(\mprj_logic1[35] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[36] (
.HI(\mprj_logic1[36] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[37] (
.HI(\mprj_logic1[37] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[38] (
.HI(\mprj_logic1[38] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[39] (
.HI(\mprj_logic1[39] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[3] (
.HI(\mprj_logic1[3] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[40] (
.HI(\mprj_logic1[40] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[41] (
.HI(\mprj_logic1[41] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[42] (
.HI(\mprj_logic1[42] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[43] (
.HI(\mprj_logic1[43] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[44] (
.HI(\mprj_logic1[44] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[45] (
.HI(\mprj_logic1[45] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[46] (
.HI(\mprj_logic1[46] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[47] (
.HI(\mprj_logic1[47] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[48] (
.HI(\mprj_logic1[48] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[49] (
.HI(\mprj_logic1[49] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[4] (
.HI(\mprj_logic1[4] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[50] (
.HI(\mprj_logic1[50] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[51] (
.HI(\mprj_logic1[51] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[52] (
.HI(\mprj_logic1[52] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[53] (
.HI(\mprj_logic1[53] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[54] (
.HI(\mprj_logic1[54] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[55] (
.HI(\mprj_logic1[55] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[56] (
.HI(\mprj_logic1[56] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[57] (
.HI(\mprj_logic1[57] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[58] (
.HI(\mprj_logic1[58] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[59] (
.HI(\mprj_logic1[59] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[5] (
.HI(\mprj_logic1[5] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[60] (
.HI(\mprj_logic1[60] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[61] (
.HI(\mprj_logic1[61] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[62] (
.HI(\mprj_logic1[62] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[63] (
.HI(\mprj_logic1[63] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[64] (
.HI(\mprj_logic1[64] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[65] (
.HI(\mprj_logic1[65] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[66] (
.HI(\mprj_logic1[66] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[67] (
.HI(\mprj_logic1[67] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[68] (
.HI(\mprj_logic1[68] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[69] (
.HI(\mprj_logic1[69] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[6] (
.HI(\mprj_logic1[6] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[70] (
.HI(\mprj_logic1[70] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[71] (
.HI(\mprj_logic1[71] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[72] (
.HI(\mprj_logic1[72] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[73] (
.HI(\mprj_logic1[73] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[74] (
.HI(\mprj_logic1[74] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[7] (
.HI(\mprj_logic1[7] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[8] (
.HI(\mprj_logic1[8] ),
.LO()
);
sky130_fd_sc_hd__conb_1 \mprj_logic_high[9] (
.HI(\mprj_logic1[9] ),
.LO()
);
sky130_fd_sc_hd__buf_8 mprj_pwrgood (
.A(\mprj_logic1[74] ),
.X(user1_vcc_powergood)
);
sky130_fd_sc_hd__einvp_8 mprj_rstn_buf (
.A(_000_),
.TE(\mprj_logic1[0] ),
.Z(user_resetn)
);
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[0] (
.A(_006_),
.TE(\mprj_logic1[6] ),
.Z(mprj_sel_o_user[0])
);
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[1] (
.A(_007_),
.TE(\mprj_logic1[7] ),
.Z(mprj_sel_o_user[1])
);
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[2] (
.A(_008_),
.TE(\mprj_logic1[8] ),
.Z(mprj_sel_o_user[2])
);
sky130_fd_sc_hd__einvp_8 \mprj_sel_buf[3] (
.A(_009_),
.TE(\mprj_logic1[9] ),
.Z(mprj_sel_o_user[3])
);
sky130_fd_sc_hd__einvp_8 mprj_stb_buf (
.A(_004_),
.TE(\mprj_logic1[4] ),
.Z(mprj_stb_o_user)
);
sky130_fd_sc_hd__einvp_8 mprj_we_buf (
.A(_005_),
.TE(\mprj_logic1[5] ),
.Z(mprj_we_o_user)
);
endmodule