blob: 9d247f6aadfae1c655b1166b2b76e131d1a1bac0 [file] [log] [blame]
OpenSTA 2.2.0 0d73b5b65a Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /home/xrex/usr/devel/pdks/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 112917 module digital_pll not found. Creating black box for pll.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 112954 module DFFRAM not found. Creating black box for \soc.soc_mem.mem.SRAM .
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 114036 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_1004.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 214463 module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_4644.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 214466 module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_1_23.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75174 instance ANTENNA_soc.soc_mem.mem.SRAM_A[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75219 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75290 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75316 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75384 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75384 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75385 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75423 instance ANTENNA_soc.soc_mem.mem.SRAM_A[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75435 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75564 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75575 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75659 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75659 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75660 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75735 instance ANTENNA_soc.soc_mem.mem.SRAM_A[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75789 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75794 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75899 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75901 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75964 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 75964 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76008 instance ANTENNA_soc.soc_mem.mem.SRAM_A[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76036 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76134 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76152 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76225 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76225 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76226 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76281 instance ANTENNA_soc.soc_mem.mem.SRAM_A[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76358 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76361 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76492 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76494 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76495 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76495 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76588 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76588 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76589 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76630 instance ANTENNA_soc.soc_mem.mem.SRAM_A[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76731 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76735 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76817 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76819 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76881 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76881 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 76882 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86123 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86249 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86255 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86394 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86398 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86487 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86487 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86518 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[10]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86537 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[10].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86542 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86613 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[10].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86617 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86671 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86671 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86709 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[11]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86752 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[11].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86882 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 86910 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[11].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87012 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87012 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87013 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87074 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[12]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87112 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[12].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87209 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87231 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[12].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87306 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87306 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87307 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87358 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[13]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87616 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[13].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87635 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87801 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[13].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87917 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87917 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87918 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 87930 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[14]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88023 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88025 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[14].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88256 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88257 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[14].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88410 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88410 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88486 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[15]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88535 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88537 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[15].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88750 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88751 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[15].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88892 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88892 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 88977 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[16]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89028 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89034 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[16].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89148 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89151 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[16].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89153 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89153 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89231 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89231 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89233 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89273 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[17]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89384 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[17].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89404 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89478 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[17].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89542 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89542 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89543 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89552 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[18]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89603 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[18].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89704 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89738 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[18].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89826 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89826 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89827 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89874 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[19]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89903 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 89905 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[19].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90092 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90093 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[19].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90222 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90222 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90224 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90305 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90475 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90478 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90595 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90597 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90676 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90676 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90679 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90691 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[20]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90739 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[20].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90855 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90883 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[20].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90980 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90980 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 90981 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91039 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[21]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91119 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[21].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91123 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91283 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[21].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91285 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91386 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91386 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91387 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91443 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[22]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91579 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[22].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91587 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91679 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[22].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91731 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91731 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91732 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91741 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[23]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91779 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[23].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91867 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91885 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[23].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91961 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91961 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 91962 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92007 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[24]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92081 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[24].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92109 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92157 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[24].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92200 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92200 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92201 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92219 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[25]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92268 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92270 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[25].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92380 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92381 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[25].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92457 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92457 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92492 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[26]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92523 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92525 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[26].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92672 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92673 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[26].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92772 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92772 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92834 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[27]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92972 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 92974 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[27].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93101 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93102 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[27].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93190 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93190 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93215 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[28]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93262 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[28].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93334 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93362 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[28].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93426 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93426 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93427 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93469 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[29]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93568 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93570 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[29].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93744 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93745 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[29].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93861 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93861 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93921 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93973 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 93975 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94070 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94071 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94145 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94145 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94173 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[30]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94301 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94303 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[30].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94407 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94408 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[30].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94470 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94470 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94484 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[31]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94512 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94514 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[31].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94670 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94671 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[31].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94774 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94774 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94840 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94976 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 94981 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95066 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95120 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95120 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95121 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95129 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95169 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95174 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95301 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95301 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95304 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95394 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95394 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95395 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95439 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95524 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95535 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95643 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95651 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95651 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95652 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95654 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95735 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95735 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95738 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95763 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95823 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95884 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 95959 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96000 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96044 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96044 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96046 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96093 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96236 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96239 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96355 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96356 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96359 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96429 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96429 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96448 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[8]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96580 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[8].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96613 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96689 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[8].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96763 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96763 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96764 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96786 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[9]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96865 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96911 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 96958 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 97026 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 97026 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 97027 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101310 instance ANTENNA_soc.soc_mem.mem.SRAM_A[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101321 soc.soc_mem.mem.SRAM not connected to net mprj_adr_o[8].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101371 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101378 soc.soc_mem.mem.SRAM not connected to net mprj_adr_o[8].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101413 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101413 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101414 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101451 instance ANTENNA_soc.soc_mem.mem.SRAM_A[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101461 soc.soc_mem.mem.SRAM not connected to net mprj_adr_o[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101535 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101539 soc.soc_mem.mem.SRAM not connected to net mprj_adr_o[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101600 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101600 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 101601 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1846209 pll not connected to net clocking.divider.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1846361 pll not connected to net clocking.divider.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1848342 pll not connected to net clocking.divider2.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1848459 pll not connected to net clocking.divider2.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853660 instance ANTENNA_pll_div[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853667 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853712 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853743 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853743 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853766 instance ANTENNA_pll_div[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853794 pll not connected to net housekeeping.pll_div\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853807 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853826 pll not connected to net housekeeping.pll_div\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853836 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853836 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853837 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853852 instance ANTENNA_pll_div[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853867 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853890 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853905 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853905 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853919 instance ANTENNA_pll_div[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853933 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853952 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853966 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853966 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853979 instance ANTENNA_pll_div[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853986 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1853988 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854010 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854011 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854015 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854016 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854016 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854027 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854027 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854135 instance ANTENNA_pll_ext_trim[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854142 pll not connected to net housekeeping.pll_trim\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854192 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854195 pll not connected to net housekeeping.pll_trim\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854249 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854249 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854250 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854257 instance ANTENNA_pll_ext_trim[10]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854265 pll not connected to net housekeeping.pll_trim\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854279 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854282 pll not connected to net housekeeping.pll_trim\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854298 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854298 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854299 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854304 instance ANTENNA_pll_ext_trim[11]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854314 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854331 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854337 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854339 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854339 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854344 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854352 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854352 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854353 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854366 instance ANTENNA_pll_ext_trim[12]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854382 pll not connected to net housekeeping.pll_trim\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854386 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854402 pll not connected to net housekeeping.pll_trim\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854404 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854413 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854413 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854430 instance ANTENNA_pll_ext_trim[13]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854438 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854450 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854484 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854487 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854488 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854489 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854494 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854526 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854526 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854543 instance ANTENNA_pll_ext_trim[14]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854550 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854566 pll not connected to net housekeeping.pll_trim\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854575 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854584 pll not connected to net housekeeping.pll_trim\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854590 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854590 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854607 instance ANTENNA_pll_ext_trim[15]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854614 pll not connected to net housekeeping.pll_trim\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854647 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854649 pll not connected to net housekeeping.pll_trim\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854652 pll not connected to net housekeeping.pll_trim\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854673 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854673 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854674 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854693 instance ANTENNA_pll_ext_trim[16]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854710 pll not connected to net housekeeping.pll_trim\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854713 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854754 pll not connected to net housekeeping.pll_trim\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854755 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854773 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854773 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854798 instance ANTENNA_pll_ext_trim[17]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854837 pll not connected to net housekeeping.pll_trim\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854840 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854863 pll not connected to net housekeeping.pll_trim\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854871 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854871 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854872 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854886 instance ANTENNA_pll_ext_trim[18]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854892 pll not connected to net housekeeping.pll_trim\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854910 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854914 pll not connected to net housekeeping.pll_trim\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854926 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854926 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854927 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854941 instance ANTENNA_pll_ext_trim[19]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854949 pll not connected to net housekeeping.pll_trim\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854953 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854981 pll not connected to net housekeeping.pll_trim\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854984 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854985 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1854985 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855005 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855005 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855006 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855020 instance ANTENNA_pll_ext_trim[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855030 pll not connected to net housekeeping.pll_trim\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855033 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855049 pll not connected to net housekeeping.pll_trim\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855050 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855062 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855062 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855074 instance ANTENNA_pll_ext_trim[20]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855093 pll not connected to net housekeeping.pll_trim\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855099 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855109 pll not connected to net housekeeping.pll_trim\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855111 pll not connected to net housekeeping.pll_trim\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855119 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855119 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855120 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855128 instance ANTENNA_pll_ext_trim[21]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855158 pll not connected to net housekeeping.pll_trim\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855168 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855184 pll not connected to net housekeeping.pll_trim\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855200 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855200 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855201 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855212 instance ANTENNA_pll_ext_trim[22]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855228 pll not connected to net housekeeping.pll_trim\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855250 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855278 pll not connected to net housekeeping.pll_trim\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855289 pll not connected to net housekeeping.pll_trim\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855290 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855315 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855315 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855335 instance ANTENNA_pll_ext_trim[23]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855342 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855368 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855370 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855372 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855372 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855374 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855387 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855387 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855388 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855407 instance ANTENNA_pll_ext_trim[24]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855415 pll not connected to net housekeeping.pll_trim\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855443 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855446 pll not connected to net housekeeping.pll_trim\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855465 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855465 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855466 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855486 instance ANTENNA_pll_ext_trim[25]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855507 pll not connected to net housekeeping.pll_trim\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855510 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855535 pll not connected to net housekeeping.pll_trim\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855536 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855546 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855546 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855565 instance ANTENNA_pll_ext_trim[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855586 pll not connected to net housekeeping.pll_trim\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855593 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855621 pll not connected to net housekeeping.pll_trim\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855624 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855638 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855638 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855664 instance ANTENNA_pll_ext_trim[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855690 pll not connected to net housekeeping.pll_trim\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855700 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855713 pll not connected to net housekeeping.pll_trim\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855732 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855732 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855733 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855741 instance ANTENNA_pll_ext_trim[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855750 pll not connected to net housekeeping.pll_trim\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855788 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855792 pll not connected to net housekeeping.pll_trim\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855820 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855820 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855821 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855840 instance ANTENNA_pll_ext_trim[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855847 pll not connected to net housekeeping.pll_trim\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855877 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855882 pll not connected to net housekeeping.pll_trim\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855902 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855902 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855903 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855925 instance ANTENNA_pll_ext_trim[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855945 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855949 pll not connected to net housekeeping.pll_trim\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855977 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1855980 pll not connected to net housekeeping.pll_trim\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856000 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856000 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856016 instance ANTENNA_pll_ext_trim[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856023 pll not connected to net housekeeping.pll_trim\[7\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856065 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856067 pll not connected to net housekeeping.pll_trim\[7\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856114 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856114 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856115 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856121 instance ANTENNA_pll_ext_trim[8]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856128 pll not connected to net housekeeping.pll_trim\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856161 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856163 pll not connected to net housekeeping.pll_trim\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856191 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856191 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856192 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856206 instance ANTENNA_pll_ext_trim[9]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856212 pll not connected to net housekeeping.pll_trim\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856229 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856237 pll not connected to net housekeeping.pll_trim\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856241 pll not connected to net housekeeping.pll_trim\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856247 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856262 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1856262 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2031681 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2031726 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032034 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032074 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032381 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032441 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032705 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032768 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032849 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032864 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032890 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032908 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032940 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032959 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032994 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2032999 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033017 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033024 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033047 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033052 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033069 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033074 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033098 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033109 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033134 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033139 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033160 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033165 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033186 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033195 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033211 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033223 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033246 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033258 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033280 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033294 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033318 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[26\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033332 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[26\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033357 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[27\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033372 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[27\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033481 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[28\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2033498 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[28\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034307 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[29\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034330 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[29\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034389 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034411 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034920 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[30\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2034942 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[30\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2035550 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[31\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2035560 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[31\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2036711 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2036724 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037743 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037758 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037787 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037848 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037934 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037945 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037972 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[7\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2037995 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[7\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2038028 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2038044 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2038185 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2038198 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084702 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084717 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084724 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084747 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084753 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084771 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084771 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084772 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084780 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084796 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084801 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084824 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084826 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084834 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084840 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084840 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084850 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084868 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084873 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084885 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084887 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084895 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084895 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084902 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084914 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084925 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084930 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084941 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 2084941 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set IO_PCT 0.2
set input_delay_value [expr $::env(CLOCK_PERIOD) * $IO_PCT]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $IO_PCT]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 10.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 10.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]
tns 0.00
wns 0.00