blob: b93acf6e0c7dc0b2f17bab03bd589372fdebaf2e [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN gpio_control_block ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 50000 125000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 FS DO 84 BY 1 STEP 460 0 ;
TRACKS X 230 DO 109 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 368 STEP 340 LAYER li1 ;
TRACKS X 170 DO 147 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 368 STEP 340 LAYER met1 ;
TRACKS X 230 DO 109 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 272 STEP 460 LAYER met2 ;
TRACKS X 340 DO 74 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 184 STEP 680 LAYER met3 ;
TRACKS X 460 DO 54 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 136 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 15 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 37 STEP 3400 LAYER met5 ;
VIAS 6 ;
- via2_FR + RECT met2 ( -140 -185 ) ( 140 185 ) + RECT via2 ( -100 -100 ) ( 100 100 ) + RECT met3 ( -165 -165 ) ( 165 165 ) ;
- via4_FR + RECT met4 ( -590 -590 ) ( 590 590 ) + RECT via4 ( -400 -400 ) ( 400 400 ) + RECT met5 ( -710 -710 ) ( 710 710 ) ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 245 165 55 165 + ROWCOL 1 4 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
- via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
END VIAS
COMPONENTS 450 ;
- _039_ sky130_fd_sc_hd__or2_4 + PLACED ( 24840 19040 ) N ;
- _040_ sky130_fd_sc_hd__buf_2 + PLACED ( 22080 40800 ) N ;
- _041_ sky130_fd_sc_hd__buf_2 + PLACED ( 26680 46240 ) N ;
- _042_ sky130_fd_sc_hd__buf_2 + PLACED ( 21160 95200 ) N ;
- _043_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 92480 ) FS ;
- _044_ sky130_fd_sc_hd__buf_2 + PLACED ( 26680 95200 ) N ;
- _045_ sky130_fd_sc_hd__buf_2 + PLACED ( 21160 35360 ) N ;
- _046_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 48960 ) FS ;
- _047_ sky130_fd_sc_hd__buf_2 + PLACED ( 11040 27200 ) FS ;
- _048_ sky130_fd_sc_hd__buf_2 + PLACED ( 10580 32640 ) FS ;
- _049_ sky130_fd_sc_hd__buf_2 + PLACED ( 10580 59840 ) FS ;
- _050_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 48960 ) FS ;
- _051_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 43520 ) FS ;
- _052_ sky130_fd_sc_hd__buf_2 + PLACED ( 16560 40800 ) N ;
- _053_ sky130_fd_sc_hd__buf_2 + PLACED ( 27600 40800 ) N ;
- _054_ sky130_fd_sc_hd__buf_2 + PLACED ( 26680 35360 ) N ;
- _055_ sky130_fd_sc_hd__buf_2 + PLACED ( 21620 13600 ) N ;
- _056_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 21760 ) FS ;
- _057_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 16320 ) FS ;
- _058_ sky130_fd_sc_hd__buf_2 + PLACED ( 20240 65280 ) FS ;
- _059_ sky130_fd_sc_hd__buf_2 + PLACED ( 23920 68000 ) N ;
- _060_ sky130_fd_sc_hd__buf_2 + PLACED ( 25300 59840 ) FS ;
- _061_ sky130_fd_sc_hd__buf_2 + PLACED ( 25300 81600 ) FS ;
- _062_ sky130_fd_sc_hd__buf_2 + PLACED ( 30820 59840 ) FS ;
- _063_ sky130_fd_sc_hd__buf_2 + PLACED ( 14260 65280 ) FS ;
- _064_ sky130_fd_sc_hd__buf_2 + PLACED ( 36340 43520 ) FS ;
- _065_ sky130_fd_sc_hd__buf_2 + PLACED ( 10580 76160 ) FS ;
- _066_ sky130_fd_sc_hd__buf_2 + PLACED ( 8740 92480 ) FS ;
- _067_ sky130_fd_sc_hd__buf_2 + PLACED ( 10580 97920 ) FS ;
- _068_ sky130_fd_sc_hd__buf_2 + PLACED ( 11040 54400 ) FS ;
- _069_ sky130_fd_sc_hd__buf_2 + PLACED ( 34040 46240 ) N ;
- _070_ sky130_fd_sc_hd__inv_2 + PLACED ( 37720 78880 ) N ;
- _071_ sky130_fd_sc_hd__a32o_4 + PLACED ( 22080 73440 ) N ;
- _072_ sky130_fd_sc_hd__inv_2 + PLACED ( 34040 62560 ) N ;
- _073_ sky130_fd_sc_hd__and3_4 + PLACED ( 34040 68000 ) N ;
- _074_ sky130_fd_sc_hd__or2_4 + PLACED ( 35880 70720 ) FS ;
- _075_ sky130_fd_sc_hd__nand2_4 + PLACED ( 34040 73440 ) N ;
- _076_ sky130_fd_sc_hd__a32o_4 + PLACED ( 22080 78880 ) N ;
- _077_ sky130_fd_sc_hd__nand2_4 + PLACED ( 34960 76160 ) FS ;
- _078_ sky130_fd_sc_hd__inv_2 + PLACED ( 34040 19040 ) N ;
- _079_ sky130_fd_sc_hd__and2_4 + PLACED ( 24840 16320 ) FS ;
- _080_ sky130_fd_sc_hd__and2_4 + PLACED ( 35880 51680 ) N ;
- _081_ sky130_fd_sc_hd__buf_2 + PLACED ( 13800 62560 ) N ;
- _082_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 25300 48960 ) FS ;
- _083_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 51680 ) N ;
- _084_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 95200 ) N ;
- _085_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 100640 ) N ;
- _086_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 73440 ) N ;
- _087_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 9660 68000 ) N ;
- _088_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 25760 65280 ) FS ;
- _089_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 20700 87040 ) FS ;
- _090_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 21160 70720 ) FS ;
- _091_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19320 62560 ) N ;
- _092_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 19040 ) N ;
- _093_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 12880 24480 ) N ;
- _094_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 20240 21760 ) FS ;
- _095_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 21620 38080 ) FS ;
- _096_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 22080 43520 ) FS ;
- _097_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 12420 46240 ) N ;
- _098_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 57120 ) N ;
- _099_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 78880 ) N ;
- _100_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 29920 ) N ;
- _101_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 35360 ) N ;
- _102_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 20240 27200 ) FS ;
- _103_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 20240 97920 ) FS ;
- _104_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6900 89760 ) N ;
- _105_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 20240 92480 ) FS ;
- _106_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 15640 84320 ) N ;
- _107_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 20240 76160 ) FS ;
- gpio_in_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 30820 81600 ) FS ;
- gpio_logic_high sky130_fd_sc_hd__conb_1 + PLACED ( 35420 87040 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 10880 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 13600 ) FN ;
- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 16320 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 19040 ) FN ;
- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 21760 ) S ;
- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 24480 ) FN ;
- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 27200 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 29920 ) FN ;
- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 32640 ) S ;
- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 35360 ) FN ;
- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 38080 ) S ;
- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 40800 ) FN ;
- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 43520 ) S ;
- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 46240 ) FN ;
- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 48960 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 51680 ) FN ;
- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 54400 ) S ;
- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 57120 ) FN ;
- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 59840 ) S ;
- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 62560 ) FN ;
- PHY_40 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 65280 ) FS ;
- PHY_41 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 65280 ) S ;
- PHY_42 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 68000 ) N ;
- PHY_43 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 68000 ) FN ;
- PHY_44 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 70720 ) FS ;
- PHY_45 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 70720 ) S ;
- PHY_46 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 73440 ) N ;
- PHY_47 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 73440 ) FN ;
- PHY_48 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 76160 ) FS ;
- PHY_49 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 76160 ) S ;
- PHY_50 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 78880 ) N ;
- PHY_51 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 78880 ) FN ;
- PHY_52 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 81600 ) FS ;
- PHY_53 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 81600 ) S ;
- PHY_54 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 84320 ) N ;
- PHY_55 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 84320 ) FN ;
- PHY_56 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 87040 ) FS ;
- PHY_57 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 87040 ) S ;
- PHY_58 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 89760 ) N ;
- PHY_59 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 89760 ) FN ;
- PHY_60 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 92480 ) FS ;
- PHY_61 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 92480 ) S ;
- PHY_62 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 95200 ) N ;
- PHY_63 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 95200 ) FN ;
- PHY_64 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 97920 ) FS ;
- PHY_65 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 97920 ) S ;
- PHY_66 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 100640 ) N ;
- PHY_67 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 100640 ) FN ;
- PHY_68 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 103360 ) FS ;
- PHY_69 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 103360 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 106080 ) N ;
- PHY_71 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 106080 ) FN ;
- PHY_72 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 108800 ) FS ;
- PHY_73 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 108800 ) S ;
- PHY_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
- PHY_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
- PHY_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
- PHY_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
- PHY_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
- PHY_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
- PHY_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
- PHY_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
- PHY_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
- PHY_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
- PHY_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
- PHY_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
- PHY_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
- PHY_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
- PHY_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
- PHY_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
- PHY_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
- PHY_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
- PHY_92 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
- PHY_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
- PHY_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 62560 ) N ;
- PHY_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 65280 ) FS ;
- PHY_96 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 68000 ) N ;
- PHY_97 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 70720 ) FS ;
- PHY_98 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 73440 ) N ;
- PHY_99 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 76160 ) FS ;
- PHY_100 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 78880 ) N ;
- PHY_101 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 81600 ) FS ;
- PHY_102 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 84320 ) N ;
- PHY_103 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 87040 ) FS ;
- PHY_104 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 89760 ) N ;
- PHY_105 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 92480 ) FS ;
- PHY_106 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 95200 ) N ;
- PHY_107 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 97920 ) FS ;
- PHY_108 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 100640 ) N ;
- PHY_109 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 103360 ) FS ;
- PHY_110 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 106080 ) N ;
- PHY_111 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 108800 ) FS ;
- PHY_112 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 108800 ) FS ;
- clkbuf_0_serial_clock sky130_fd_sc_hd__clkbuf_16 + PLACED ( 21160 57120 ) N ;
- clkbuf_1_0_0_serial_clock sky130_fd_sc_hd__clkbuf_1 + PLACED ( 12880 43520 ) FS ;
- clkbuf_1_1_0_serial_clock sky130_fd_sc_hd__clkbuf_1 + PLACED ( 15180 70720 ) FS ;
- FILLER_0_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 10880 ) FS ;
- FILLER_0_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 10880 ) FS ;
- FILLER_0_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 10880 ) FS ;
- FILLER_0_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 10880 ) FS ;
- FILLER_0_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 10880 ) FS ;
- FILLER_0_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 10880 ) FS ;
- FILLER_0_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 10880 ) FS ;
- FILLER_0_75 sky130_fd_sc_hd__decap_6 + PLACED ( 40020 10880 ) FS ;
- FILLER_1_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 13600 ) N ;
- FILLER_1_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 13600 ) N ;
- FILLER_1_27 sky130_fd_sc_hd__decap_8 + PLACED ( 17940 13600 ) N ;
- FILLER_1_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 13600 ) N ;
- FILLER_1_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 13600 ) N ;
- FILLER_1_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 13600 ) N ;
- FILLER_1_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 13600 ) N ;
- FILLER_1_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 13600 ) N ;
- FILLER_1_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 13600 ) N ;
- FILLER_2_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 16320 ) FS ;
- FILLER_2_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 16320 ) FS ;
- FILLER_2_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 16320 ) FS ;
- FILLER_2_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 16320 ) FS ;
- FILLER_2_40 sky130_fd_sc_hd__fill_2 + PLACED ( 23920 16320 ) FS ;
- FILLER_2_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 16320 ) FS ;
- FILLER_2_61 sky130_fd_sc_hd__decap_12 + PLACED ( 33580 16320 ) FS ;
- FILLER_2_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 16320 ) FS ;
- FILLER_3_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 19040 ) N ;
- FILLER_3_38 sky130_fd_sc_hd__decap_4 + PLACED ( 23000 19040 ) N ;
- FILLER_3_49 sky130_fd_sc_hd__decap_12 + PLACED ( 28060 19040 ) N ;
- FILLER_3_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 19040 ) N ;
- FILLER_3_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 19040 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 21760 ) FS ;
- FILLER_4_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 21760 ) FS ;
- FILLER_4_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 21760 ) FS ;
- FILLER_4_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 21760 ) FS ;
- FILLER_4_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 21760 ) FS ;
- FILLER_4_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 21760 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
- FILLER_5_15 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 24480 ) N ;
- FILLER_5_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 24480 ) N ;
- FILLER_5_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 24480 ) N ;
- FILLER_5_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 24480 ) N ;
- FILLER_5_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 24480 ) N ;
- FILLER_5_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 24480 ) N ;
- FILLER_5_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 24480 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 27200 ) FS ;
- FILLER_6_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 27200 ) FS ;
- FILLER_6_16 sky130_fd_sc_hd__decap_12 + PLACED ( 12880 27200 ) FS ;
- FILLER_6_28 sky130_fd_sc_hd__decap_3 + PLACED ( 18400 27200 ) FS ;
- FILLER_6_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 27200 ) FS ;
- FILLER_6_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 27200 ) FS ;
- FILLER_6_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 27200 ) FS ;
- FILLER_7_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 29920 ) N ;
- FILLER_7_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 29920 ) N ;
- FILLER_7_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 29920 ) N ;
- FILLER_7_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 29920 ) N ;
- FILLER_7_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 29920 ) N ;
- FILLER_7_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 29920 ) N ;
- FILLER_7_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 29920 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 32640 ) FS ;
- FILLER_8_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 32640 ) FS ;
- FILLER_8_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 32640 ) FS ;
- FILLER_8_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 32640 ) FS ;
- FILLER_8_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 32640 ) FS ;
- FILLER_8_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 32640 ) FS ;
- FILLER_8_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 32640 ) FS ;
- FILLER_8_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 32640 ) FS ;
- FILLER_9_26 sky130_fd_sc_hd__decap_8 + PLACED ( 17480 35360 ) N ;
- FILLER_9_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 35360 ) N ;
- FILLER_9_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 35360 ) N ;
- FILLER_9_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 35360 ) N ;
- FILLER_9_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 35360 ) N ;
- FILLER_9_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 35360 ) N ;
- FILLER_9_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 35360 ) N ;
- FILLER_10_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 38080 ) FS ;
- FILLER_10_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 38080 ) FS ;
- FILLER_10_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 38080 ) FS ;
- FILLER_10_32 sky130_fd_sc_hd__decap_3 + PLACED ( 20240 38080 ) FS ;
- FILLER_10_58 sky130_fd_sc_hd__decap_12 + PLACED ( 32200 38080 ) FS ;
- FILLER_10_70 sky130_fd_sc_hd__decap_8 + PLACED ( 37720 38080 ) FS ;
- FILLER_10_78 sky130_fd_sc_hd__decap_3 + PLACED ( 41400 38080 ) FS ;
- FILLER_11_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 40800 ) N ;
- FILLER_11_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 40800 ) N ;
- FILLER_11_23 sky130_fd_sc_hd__fill_1 + PLACED ( 16100 40800 ) N ;
- FILLER_11_28 sky130_fd_sc_hd__decap_8 + PLACED ( 18400 40800 ) N ;
- FILLER_11_40 sky130_fd_sc_hd__decap_8 + PLACED ( 23920 40800 ) N ;
- FILLER_11_52 sky130_fd_sc_hd__decap_8 + PLACED ( 29440 40800 ) N ;
- FILLER_11_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 40800 ) N ;
- FILLER_11_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 40800 ) N ;
- FILLER_11_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 40800 ) N ;
- FILLER_11_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 40800 ) N ;
- FILLER_12_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 43520 ) FS ;
- FILLER_12_15 sky130_fd_sc_hd__fill_1 + PLACED ( 12420 43520 ) FS ;
- FILLER_12_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 43520 ) FS ;
- FILLER_12_32 sky130_fd_sc_hd__decap_4 + PLACED ( 20240 43520 ) FS ;
- FILLER_12_59 sky130_fd_sc_hd__decap_8 + PLACED ( 32660 43520 ) FS ;
- FILLER_12_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 43520 ) FS ;
- FILLER_12_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 43520 ) FS ;
- FILLER_13_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 46240 ) N ;
- FILLER_13_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 46240 ) N ;
- FILLER_13_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 46240 ) N ;
- FILLER_13_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 46240 ) N ;
- FILLER_13_66 sky130_fd_sc_hd__decap_12 + PLACED ( 35880 46240 ) N ;
- FILLER_13_78 sky130_fd_sc_hd__decap_3 + PLACED ( 41400 46240 ) N ;
- FILLER_14_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 48960 ) FS ;
- FILLER_14_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 48960 ) FS ;
- FILLER_14_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 48960 ) FS ;
- FILLER_14_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 48960 ) FS ;
- FILLER_14_40 sky130_fd_sc_hd__decap_3 + PLACED ( 23920 48960 ) FS ;
- FILLER_14_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 48960 ) FS ;
- FILLER_14_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 48960 ) FS ;
- FILLER_15_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 51680 ) N ;
- FILLER_15_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 51680 ) N ;
- FILLER_15_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 51680 ) N ;
- FILLER_15_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 51680 ) N ;
- FILLER_15_62 sky130_fd_sc_hd__decap_4 + PLACED ( 34040 51680 ) N ;
- FILLER_15_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 51680 ) N ;
- FILLER_16_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 54400 ) FS ;
- FILLER_16_11 sky130_fd_sc_hd__fill_1 + PLACED ( 10580 54400 ) FS ;
- FILLER_16_16 sky130_fd_sc_hd__decap_12 + PLACED ( 12880 54400 ) FS ;
- FILLER_16_28 sky130_fd_sc_hd__decap_3 + PLACED ( 18400 54400 ) FS ;
- FILLER_16_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 54400 ) FS ;
- FILLER_16_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 54400 ) FS ;
- FILLER_16_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 54400 ) FS ;
- FILLER_16_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 54400 ) FS ;
- FILLER_16_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 54400 ) FS ;
- FILLER_17_26 sky130_fd_sc_hd__decap_8 + PLACED ( 17480 57120 ) N ;
- FILLER_17_54 sky130_fd_sc_hd__decap_6 + PLACED ( 30360 57120 ) N ;
- FILLER_17_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 57120 ) N ;
- FILLER_17_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 57120 ) N ;
- FILLER_17_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 57120 ) N ;
- FILLER_17_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 57120 ) N ;
- FILLER_18_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 59840 ) FS ;
- FILLER_18_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 59840 ) FS ;
- FILLER_18_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 59840 ) FS ;
- FILLER_18_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 59840 ) FS ;
- FILLER_18_40 sky130_fd_sc_hd__decap_3 + PLACED ( 23920 59840 ) FS ;
- FILLER_18_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 59840 ) FS ;
- FILLER_18_59 sky130_fd_sc_hd__decap_12 + PLACED ( 32660 59840 ) FS ;
- FILLER_18_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 59840 ) FS ;
- FILLER_18_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 59840 ) FS ;
- FILLER_19_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 62560 ) N ;
- FILLER_19_15 sky130_fd_sc_hd__decap_3 + PLACED ( 12420 62560 ) N ;
- FILLER_19_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 62560 ) N ;
- FILLER_19_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 62560 ) N ;
- FILLER_19_65 sky130_fd_sc_hd__decap_12 + PLACED ( 35420 62560 ) N ;
- FILLER_19_77 sky130_fd_sc_hd__decap_4 + PLACED ( 40940 62560 ) N ;
- FILLER_20_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 65280 ) FS ;
- FILLER_20_15 sky130_fd_sc_hd__decap_4 + PLACED ( 12420 65280 ) FS ;
- FILLER_20_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 65280 ) FS ;
- FILLER_20_36 sky130_fd_sc_hd__decap_8 + PLACED ( 22080 65280 ) FS ;
- FILLER_20_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 65280 ) FS ;
- FILLER_20_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 65280 ) FS ;
- FILLER_21_3 sky130_fd_sc_hd__decap_6 + PLACED ( 6900 68000 ) N ;
- FILLER_21_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 68000 ) N ;
- FILLER_21_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 68000 ) N ;
- FILLER_21_56 sky130_fd_sc_hd__decap_4 + PLACED ( 31280 68000 ) N ;
- FILLER_21_60 sky130_fd_sc_hd__fill_1 + PLACED ( 33120 68000 ) N ;
- FILLER_21_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 68000 ) N ;
- FILLER_21_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 68000 ) N ;
- FILLER_22_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 70720 ) FS ;
- FILLER_22_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 70720 ) FS ;
- FILLER_22_24 sky130_fd_sc_hd__decap_6 + PLACED ( 16560 70720 ) FS ;
- FILLER_22_30 sky130_fd_sc_hd__fill_1 + PLACED ( 19320 70720 ) FS ;
- FILLER_22_32 sky130_fd_sc_hd__fill_2 + PLACED ( 20240 70720 ) FS ;
- FILLER_22_57 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 70720 ) FS ;
- FILLER_22_65 sky130_fd_sc_hd__fill_1 + PLACED ( 35420 70720 ) FS ;
- FILLER_22_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 70720 ) FS ;
- FILLER_23_26 sky130_fd_sc_hd__decap_8 + PLACED ( 17480 73440 ) N ;
- FILLER_23_34 sky130_fd_sc_hd__fill_2 + PLACED ( 21160 73440 ) N ;
- FILLER_23_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 73440 ) N ;
- FILLER_23_71 sky130_fd_sc_hd__decap_8 + PLACED ( 38180 73440 ) N ;
- FILLER_23_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 73440 ) N ;
- FILLER_24_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 76160 ) FS ;
- FILLER_24_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 76160 ) FS ;
- FILLER_24_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 76160 ) FS ;
- FILLER_24_55 sky130_fd_sc_hd__decap_8 + PLACED ( 30820 76160 ) FS ;
- FILLER_24_63 sky130_fd_sc_hd__fill_1 + PLACED ( 34500 76160 ) FS ;
- FILLER_24_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 76160 ) FS ;
- FILLER_25_26 sky130_fd_sc_hd__decap_8 + PLACED ( 17480 78880 ) N ;
- FILLER_25_34 sky130_fd_sc_hd__fill_2 + PLACED ( 21160 78880 ) N ;
- FILLER_25_53 sky130_fd_sc_hd__decap_8 + PLACED ( 29900 78880 ) N ;
- FILLER_25_62 sky130_fd_sc_hd__decap_8 + PLACED ( 34040 78880 ) N ;
- FILLER_25_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 78880 ) N ;
- FILLER_26_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 81600 ) FS ;
- FILLER_26_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 81600 ) FS ;
- FILLER_26_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 81600 ) FS ;
- FILLER_26_32 sky130_fd_sc_hd__decap_8 + PLACED ( 20240 81600 ) FS ;
- FILLER_26_40 sky130_fd_sc_hd__decap_3 + PLACED ( 23920 81600 ) FS ;
- FILLER_26_47 sky130_fd_sc_hd__decap_8 + PLACED ( 27140 81600 ) FS ;
- FILLER_26_73 sky130_fd_sc_hd__decap_8 + PLACED ( 39100 81600 ) FS ;
- FILLER_27_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 84320 ) N ;
- FILLER_27_15 sky130_fd_sc_hd__decap_6 + PLACED ( 12420 84320 ) N ;
- FILLER_27_21 sky130_fd_sc_hd__fill_1 + PLACED ( 15180 84320 ) N ;
- FILLER_27_45 sky130_fd_sc_hd__decap_12 + PLACED ( 26220 84320 ) N ;
- FILLER_27_57 sky130_fd_sc_hd__decap_4 + PLACED ( 31740 84320 ) N ;
- FILLER_27_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 84320 ) N ;
- FILLER_27_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 84320 ) N ;
- FILLER_27_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 84320 ) N ;
- FILLER_28_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 87040 ) FS ;
- FILLER_28_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 87040 ) FS ;
- FILLER_28_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 87040 ) FS ;
- FILLER_28_32 sky130_fd_sc_hd__fill_1 + PLACED ( 20240 87040 ) FS ;
- FILLER_28_57 sky130_fd_sc_hd__decap_8 + PLACED ( 31740 87040 ) FS ;
- FILLER_28_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 87040 ) FS ;
- FILLER_28_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 87040 ) FS ;
- FILLER_29_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 89760 ) N ;
- FILLER_29_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 89760 ) N ;
- FILLER_29_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 89760 ) N ;
- FILLER_29_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 89760 ) N ;
- FILLER_29_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 89760 ) N ;
- FILLER_29_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 89760 ) N ;
- FILLER_29_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 89760 ) N ;
- FILLER_30_3 sky130_fd_sc_hd__decap_4 + PLACED ( 6900 92480 ) FS ;
- FILLER_30_11 sky130_fd_sc_hd__decap_8 + PLACED ( 10580 92480 ) FS ;
- FILLER_30_23 sky130_fd_sc_hd__decap_8 + PLACED ( 16100 92480 ) FS ;
- FILLER_30_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 92480 ) FS ;
- FILLER_30_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 92480 ) FS ;
- FILLER_30_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 92480 ) FS ;
- FILLER_31_26 sky130_fd_sc_hd__decap_8 + PLACED ( 17480 95200 ) N ;
- FILLER_31_38 sky130_fd_sc_hd__decap_8 + PLACED ( 23000 95200 ) N ;
- FILLER_31_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 95200 ) N ;
- FILLER_31_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 95200 ) N ;
- FILLER_31_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 95200 ) N ;
- FILLER_31_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 95200 ) N ;
- FILLER_31_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 95200 ) N ;
- FILLER_32_3 sky130_fd_sc_hd__decap_8 + PLACED ( 6900 97920 ) FS ;
- FILLER_32_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 97920 ) FS ;
- FILLER_32_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 97920 ) FS ;
- FILLER_32_55 sky130_fd_sc_hd__decap_12 + PLACED ( 30820 97920 ) FS ;
- FILLER_32_67 sky130_fd_sc_hd__decap_12 + PLACED ( 36340 97920 ) FS ;
- FILLER_32_79 sky130_fd_sc_hd__fill_2 + PLACED ( 41860 97920 ) FS ;
- FILLER_33_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 100640 ) N ;
- FILLER_33_38 sky130_fd_sc_hd__decap_12 + PLACED ( 23000 100640 ) N ;
- FILLER_33_50 sky130_fd_sc_hd__decap_8 + PLACED ( 28520 100640 ) N ;
- FILLER_33_58 sky130_fd_sc_hd__decap_3 + PLACED ( 32200 100640 ) N ;
- FILLER_33_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 100640 ) N ;
- FILLER_33_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 100640 ) N ;
- FILLER_33_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 100640 ) N ;
- FILLER_34_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 103360 ) FS ;
- FILLER_34_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 103360 ) FS ;
- FILLER_34_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 103360 ) FS ;
- FILLER_34_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 103360 ) FS ;
- FILLER_34_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 103360 ) FS ;
- FILLER_34_56 sky130_fd_sc_hd__decap_12 + PLACED ( 31280 103360 ) FS ;
- FILLER_34_68 sky130_fd_sc_hd__decap_12 + PLACED ( 36800 103360 ) FS ;
- FILLER_34_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 103360 ) FS ;
- FILLER_35_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 106080 ) N ;
- FILLER_35_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 106080 ) N ;
- FILLER_35_27 sky130_fd_sc_hd__decap_12 + PLACED ( 17940 106080 ) N ;
- FILLER_35_39 sky130_fd_sc_hd__decap_12 + PLACED ( 23460 106080 ) N ;
- FILLER_35_51 sky130_fd_sc_hd__decap_8 + PLACED ( 28980 106080 ) N ;
- FILLER_35_59 sky130_fd_sc_hd__fill_2 + PLACED ( 32660 106080 ) N ;
- FILLER_35_62 sky130_fd_sc_hd__decap_12 + PLACED ( 34040 106080 ) N ;
- FILLER_35_74 sky130_fd_sc_hd__decap_6 + PLACED ( 39560 106080 ) N ;
- FILLER_35_80 sky130_fd_sc_hd__fill_1 + PLACED ( 42320 106080 ) N ;
- FILLER_36_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 108800 ) FS ;
- FILLER_36_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 108800 ) FS ;
- FILLER_36_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 108800 ) FS ;
- FILLER_36_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 108800 ) FS ;
- FILLER_36_44 sky130_fd_sc_hd__decap_12 + PLACED ( 25760 108800 ) FS ;
- FILLER_36_56 sky130_fd_sc_hd__decap_6 + PLACED ( 31280 108800 ) FS ;
- FILLER_36_63 sky130_fd_sc_hd__decap_12 + PLACED ( 34500 108800 ) FS ;
- FILLER_36_75 sky130_fd_sc_hd__decap_6 + PLACED ( 40020 108800 ) FS ;
END COMPONENTS
PINS 26 ;
- mgmt_gpio_in + NET mgmt_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48800 48620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- mgmt_gpio_oeb + NET mgmt_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 62220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- mgmt_gpio_out + NET mgmt_gpio_out + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 76500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_en + NET pad_gpio_ana_en + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 4420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_pol + NET pad_gpio_ana_pol + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 12580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_sel + NET pad_gpio_ana_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 20740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[0] + NET pad_gpio_dm[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 28900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[1] + NET pad_gpio_dm[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 37740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[2] + NET pad_gpio_dm[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 45900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_holdover + NET pad_gpio_holdover + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 54060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ib_mode_sel + NET pad_gpio_ib_mode_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 62220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_in + NET pad_gpio_in + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 71060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_inenb + NET pad_gpio_inenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 79220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_out + NET pad_gpio_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 87380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_outenb + NET pad_gpio_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 95540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_slow_sel + NET pad_gpio_slow_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 104380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_vtrip_sel + NET pad_gpio_vtrip_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 112540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- resetn + NET resetn + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 7140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_clock + NET serial_clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 20740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_data_in + NET serial_data_in + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 34340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_data_out + NET serial_data_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 120700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_in + NET user_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48800 90100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_oeb + NET user_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 104380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_out + NET user_gpio_out + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 117980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24840 27847 ) N + LAYER met5 ( -19320 -800 ) ( 19320 800 ) ;
- VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 24840 45053 ) N + LAYER met5 ( -19320 -800 ) ( 19320 800 ) ;
END PINS
SPECIALNETS 2 ;
- VPWR ( PIN VPWR ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 37987 96673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 25000 96673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12013 96673 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 37987 62260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 25000 62260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12013 62260 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 37987 27847 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 25000 27847 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 12013 27847 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 37987 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 108800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 108800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 108800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 103360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 103360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 103360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 97920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 97920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 97920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 92480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 92480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 92480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 87040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 87040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 87040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 81600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 81600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 81600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 76160 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 76160 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 76160 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 70720 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 70720 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 70720 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 65280 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 65280 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 65280 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 59840 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 59840 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 59840 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 54400 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 54400 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 54400 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 48960 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 48960 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 48960 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 43520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 43520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 43520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 38080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 38080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 38080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 37987 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 37987 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 37987 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25000 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25000 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25000 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 12013 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 12013 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 12013 10880 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 96673 ) ( 44160 96673 )
NEW met5 1600 + SHAPE STRIPE ( 5520 62260 ) ( 44160 62260 )
NEW met5 1600 + SHAPE STRIPE ( 5520 27847 ) ( 44160 27847 )
NEW met4 1600 + SHAPE STRIPE ( 37987 10640 ) ( 37987 111760 )
NEW met4 1600 + SHAPE STRIPE ( 25000 10640 ) ( 25000 111760 )
NEW met4 1600 + SHAPE STRIPE ( 12013 10640 ) ( 12013 111760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 108800 ) ( 44160 108800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 103360 ) ( 44160 103360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 97920 ) ( 44160 97920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 92480 ) ( 44160 92480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 87040 ) ( 44160 87040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 81600 ) ( 44160 81600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 76160 ) ( 44160 76160 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 70720 ) ( 44160 70720 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 65280 ) ( 44160 65280 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 59840 ) ( 44160 59840 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 54400 ) ( 44160 54400 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 48960 ) ( 44160 48960 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 43520 ) ( 44160 43520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 38080 ) ( 44160 38080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 44160 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 44160 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 44160 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 44160 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 44160 10880 ) ;
- VGND ( PIN VGND ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 31493 79466 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 18506 79466 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 31493 45053 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 18506 45053 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 31493 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 111520 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 111520 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 111520 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 106080 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 106080 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 106080 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 100640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 100640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 100640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 95200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 95200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 95200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 89760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 89760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 89760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 84320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 84320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 84320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 78880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 78880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 78880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 73440 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 73440 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 73440 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 68000 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 68000 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 68000 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 62560 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 62560 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 62560 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 57120 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 57120 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 57120 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 51680 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 51680 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 51680 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 46240 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 46240 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 46240 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 40800 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 40800 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 40800 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 31493 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 31493 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 31493 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 18506 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 18506 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 18506 13600 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 79466 ) ( 44160 79466 )
NEW met5 1600 + SHAPE STRIPE ( 5520 45053 ) ( 44160 45053 )
NEW met4 1600 + SHAPE STRIPE ( 31493 10640 ) ( 31493 111760 )
NEW met4 1600 + SHAPE STRIPE ( 18506 10640 ) ( 18506 111760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 111520 ) ( 44160 111520 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 106080 ) ( 44160 106080 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 100640 ) ( 44160 100640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 95200 ) ( 44160 95200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 89760 ) ( 44160 89760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 84320 ) ( 44160 84320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 78880 ) ( 44160 78880 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 73440 ) ( 44160 73440 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 68000 ) ( 44160 68000 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 62560 ) ( 44160 62560 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 57120 ) ( 44160 57120 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 51680 ) ( 44160 51680 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 46240 ) ( 44160 46240 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 40800 ) ( 44160 40800 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 44160 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 44160 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 44160 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 44160 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 44160 13600 ) ;
END SPECIALNETS
NETS 84 ;
- mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _080_ X ) + USE SIGNAL
+ ROUTED met3 ( 41170 48620 ) ( 48300 * 0 )
NEW met2 ( 41170 48620 ) ( * 52530 )
NEW met1 ( 38870 52530 ) ( 41170 * )
NEW met2 ( 41170 48620 ) via2_FR
NEW met1 ( 41170 52530 ) M1M2_PR
NEW li1 ( 38870 52530 ) L1M1_PR_MR ;
- mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( _073_ A ) ( _071_ A2 ) + USE SIGNAL
+ ROUTED met1 ( 34270 69190 ) ( 34730 * )
NEW met2 ( 34270 62220 ) ( * 69190 )
NEW met3 ( 34270 62220 ) ( 48300 * 0 )
NEW met1 ( 25990 74630 ) ( 26450 * )
NEW met1 ( 26450 73950 ) ( * 74630 )
NEW met1 ( 26450 73950 ) ( 34270 * )
NEW met2 ( 34270 69190 ) ( * 73950 )
NEW li1 ( 34730 69190 ) L1M1_PR_MR
NEW met1 ( 34270 69190 ) M1M2_PR
NEW met2 ( 34270 62220 ) via2_FR
NEW li1 ( 25990 74630 ) L1M1_PR_MR
NEW met1 ( 34270 73950 ) M1M2_PR ;
- mgmt_gpio_out ( PIN mgmt_gpio_out ) ( _074_ A ) + USE SIGNAL
+ ROUTED met1 ( 37030 72250 ) ( 39330 * )
NEW met2 ( 39330 72250 ) ( * 76500 )
NEW met3 ( 39330 76500 ) ( 48300 * 0 )
NEW li1 ( 37030 72250 ) L1M1_PR_MR
NEW met1 ( 39330 72250 ) M1M2_PR
NEW met2 ( 39330 76500 ) via2_FR ;
- pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( _092_ Q ) + USE SIGNAL
+ ROUTED met3 ( 2300 4420 0 ) ( 17250 * )
NEW met2 ( 17250 4420 ) ( * 19890 )
NEW met2 ( 17250 4420 ) via2_FR
NEW li1 ( 17250 19890 ) L1M1_PR_MR
NEW met1 ( 17250 19890 ) M1M2_PR
NEW met1 ( 17250 19890 ) RECT ( -355 -70 0 70 ) ;
- pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( _094_ Q ) + USE SIGNAL
+ ROUTED met3 ( 2300 12580 0 ) ( 20470 * )
NEW met2 ( 20470 12580 ) ( 20930 * )
NEW met2 ( 20930 12580 ) ( * 22270 )
NEW met1 ( 20930 22270 ) ( 30590 * )
NEW met1 ( 30590 22270 ) ( * 22950 )
NEW met2 ( 20470 12580 ) via2_FR
NEW met1 ( 20930 22270 ) M1M2_PR
NEW li1 ( 30590 22950 ) L1M1_PR_MR ;
- pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( _093_ Q ) + USE SIGNAL
+ ROUTED met3 ( 2300 20740 0 ) ( 14490 * )
NEW met2 ( 14490 20740 ) ( * 26010 )
NEW met1 ( 14490 26010 ) ( 23230 * )
NEW met2 ( 14490 20740 ) via2_FR
NEW met1 ( 14490 26010 ) M1M2_PR
NEW li1 ( 23230 26010 ) L1M1_PR_MR ;
- pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( _089_ Q ) ( _075_ A ) + USE SIGNAL
+ ROUTED met3 ( 2300 28900 0 ) ( 16790 * )
NEW met2 ( 16790 28900 ) ( * 29410 )
NEW met1 ( 16790 29410 ) ( 32890 * )
NEW met2 ( 32890 61540 ) ( 33350 * )
NEW met2 ( 32890 29410 ) ( * 61540 )
NEW met1 ( 37030 74630 ) ( * 74970 )
NEW met1 ( 33350 74970 ) ( 37030 * )
NEW met2 ( 33350 74970 ) ( * 87550 )
NEW met1 ( 31510 87550 ) ( 33350 * )
NEW met2 ( 33350 61540 ) ( * 74970 )
NEW met2 ( 16790 28900 ) via2_FR
NEW met1 ( 16790 29410 ) M1M2_PR
NEW met1 ( 32890 29410 ) M1M2_PR
NEW li1 ( 37030 74630 ) L1M1_PR_MR
NEW met1 ( 33350 74970 ) M1M2_PR
NEW met1 ( 33350 87550 ) M1M2_PR
NEW li1 ( 31510 87550 ) L1M1_PR_MR ;
- pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( _090_ Q ) ( _073_ C ) + USE SIGNAL
+ ROUTED met1 ( 20470 41310 ) ( 28290 * )
NEW met2 ( 20470 39100 ) ( * 41310 )
NEW met3 ( 10580 39100 ) ( 20470 * )
NEW met3 ( 10580 37740 ) ( * 39100 )
NEW met3 ( 2300 37740 0 ) ( 10580 * )
NEW met1 ( 31510 71570 ) ( * 71910 )
NEW met1 ( 28290 71570 ) ( 31510 * )
NEW met1 ( 35650 69190 ) ( * 69530 )
NEW met1 ( 28290 69530 ) ( 35650 * )
NEW met2 ( 28290 41310 ) ( * 71570 )
NEW met1 ( 28290 41310 ) M1M2_PR
NEW met1 ( 20470 41310 ) M1M2_PR
NEW met2 ( 20470 39100 ) via2_FR
NEW li1 ( 31510 71910 ) L1M1_PR_MR
NEW met1 ( 28290 71570 ) M1M2_PR
NEW li1 ( 35650 69190 ) L1M1_PR_MR
NEW met1 ( 28290 69530 ) M1M2_PR
NEW met2 ( 28290 69530 ) RECT ( -70 -485 70 0 ) ;
- pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( _091_ Q ) ( _072_ A ) + USE SIGNAL
+ ROUTED met1 ( 27830 42670 ) ( 29670 * )
NEW met1 ( 27830 42670 ) ( * 43010 )
NEW met1 ( 16790 43010 ) ( 27830 * )
NEW met2 ( 16790 43010 ) ( * 45900 )
NEW met3 ( 2300 45900 0 ) ( 16790 * )
NEW met1 ( 29670 63750 ) ( 34270 * )
NEW met2 ( 29670 42670 ) ( * 63750 )
NEW met1 ( 29670 42670 ) M1M2_PR
NEW met1 ( 16790 43010 ) M1M2_PR
NEW met2 ( 16790 45900 ) via2_FR
NEW li1 ( 29670 63750 ) L1M1_PR_MR
NEW li1 ( 34270 63750 ) L1M1_PR_MR
NEW met1 ( 29670 63750 ) M1M2_PR
NEW met1 ( 29670 63750 ) RECT ( -595 -70 0 70 ) ;
- pad_gpio_holdover ( PIN pad_gpio_holdover ) ( _083_ Q ) + USE SIGNAL
+ ROUTED met2 ( 17250 53210 ) ( * 53380 )
NEW met3 ( 10580 53380 ) ( 17250 * )
NEW met3 ( 10580 53380 ) ( * 54060 )
NEW met3 ( 2300 54060 0 ) ( 10580 * )
NEW li1 ( 17250 53210 ) L1M1_PR_MR
NEW met1 ( 17250 53210 ) M1M2_PR
NEW met2 ( 17250 53380 ) via2_FR
NEW met1 ( 17250 53210 ) RECT ( -355 -70 0 70 ) ;
- pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( _087_ Q ) + USE SIGNAL
+ ROUTED met2 ( 16330 62220 ) ( * 69530 )
NEW met1 ( 16330 69530 ) ( 20010 * )
NEW met3 ( 2300 62220 0 ) ( 16330 * )
NEW met2 ( 16330 62220 ) via2_FR
NEW met1 ( 16330 69530 ) M1M2_PR
NEW li1 ( 20010 69530 ) L1M1_PR_MR ;
- pad_gpio_in ( PIN pad_gpio_in ) ( _080_ B ) ( _077_ B ) + USE SIGNAL
+ ROUTED met3 ( 2300 71060 0 ) ( 10580 * )
NEW met3 ( 10580 71060 ) ( * 71740 )
NEW met2 ( 35190 71230 ) ( * 77690 )
NEW met1 ( 20470 71230 ) ( 35190 * )
NEW met2 ( 20470 71230 ) ( * 71740 )
NEW met1 ( 35190 71230 ) ( 36570 * )
NEW met3 ( 10580 71740 ) ( 20470 * )
NEW met2 ( 36570 52870 ) ( * 71230 )
NEW li1 ( 36570 52870 ) L1M1_PR_MR
NEW met1 ( 36570 52870 ) M1M2_PR
NEW li1 ( 35190 77690 ) L1M1_PR_MR
NEW met1 ( 35190 77690 ) M1M2_PR
NEW met1 ( 35190 71230 ) M1M2_PR
NEW met1 ( 20470 71230 ) M1M2_PR
NEW met2 ( 20470 71740 ) via2_FR
NEW met1 ( 36570 71230 ) M1M2_PR
NEW met1 ( 36570 52870 ) RECT ( 0 -70 355 70 )
NEW met1 ( 35190 77690 ) RECT ( -355 -70 0 70 ) ;
- pad_gpio_inenb ( PIN pad_gpio_inenb ) ( _086_ Q ) + USE SIGNAL
+ ROUTED met2 ( 15410 74970 ) ( * 79220 )
NEW met1 ( 15410 74970 ) ( 17250 * )
NEW met3 ( 2300 79220 0 ) ( 15410 * )
NEW met2 ( 15410 79220 ) via2_FR
NEW met1 ( 15410 74970 ) M1M2_PR
NEW li1 ( 17250 74970 ) L1M1_PR_MR ;
- pad_gpio_out ( PIN pad_gpio_out ) ( _076_ X ) + USE SIGNAL
+ ROUTED met3 ( 10580 86020 ) ( * 87380 )
NEW met3 ( 2300 87380 0 ) ( 10580 * )
NEW met2 ( 20470 86020 ) ( 20930 * )
NEW met2 ( 20930 80410 ) ( * 86020 )
NEW met1 ( 20930 80410 ) ( 22310 * )
NEW met3 ( 10580 86020 ) ( 20470 * )
NEW met2 ( 20470 86020 ) via2_FR
NEW met1 ( 20930 80410 ) M1M2_PR
NEW li1 ( 22310 80410 ) L1M1_PR_MR ;
- pad_gpio_outenb ( PIN pad_gpio_outenb ) ( _071_ X ) + USE SIGNAL
+ ROUTED met2 ( 16330 74630 ) ( * 95540 )
NEW met1 ( 16330 74630 ) ( 22310 * )
NEW met3 ( 2300 95540 0 ) ( 16330 * )
NEW met2 ( 16330 95540 ) via2_FR
NEW met1 ( 16330 74630 ) M1M2_PR
NEW li1 ( 22310 74630 ) L1M1_PR_MR ;
- pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( _084_ Q ) + USE SIGNAL
+ ROUTED met2 ( 15410 96390 ) ( * 104380 )
NEW met1 ( 15410 96390 ) ( 17250 * )
NEW met3 ( 2300 104380 0 ) ( 15410 * )
NEW met2 ( 15410 104380 ) via2_FR
NEW met1 ( 15410 96390 ) M1M2_PR
NEW li1 ( 17250 96390 ) L1M1_PR_MR ;
- pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( _085_ Q ) + USE SIGNAL
+ ROUTED met3 ( 2300 112540 0 ) ( 17250 * )
NEW met2 ( 17250 102170 ) ( * 112540 )
NEW met2 ( 17250 112540 ) via2_FR
NEW li1 ( 17250 102170 ) L1M1_PR_MR
NEW met1 ( 17250 102170 ) M1M2_PR
NEW met1 ( 17250 102170 ) RECT ( -355 -70 0 70 ) ;
- resetn ( PIN resetn ) ( _078_ A ) ( _039_ B ) + USE SIGNAL
+ ROUTED met2 ( 34270 7140 ) ( * 20230 )
NEW met1 ( 25070 19890 ) ( 34270 * )
NEW met1 ( 34270 19890 ) ( * 20230 )
NEW met3 ( 34270 7140 ) ( 48300 * 0 )
NEW li1 ( 34270 20230 ) L1M1_PR_MR
NEW met1 ( 34270 20230 ) M1M2_PR
NEW met2 ( 34270 7140 ) via2_FR
NEW li1 ( 25070 19890 ) L1M1_PR_MR
NEW met1 ( 34270 20230 ) RECT ( -355 -70 0 70 ) ;
- serial_clock ( PIN serial_clock ) ( clkbuf_0_serial_clock A ) + USE CLOCK
+ ROUTED met1 ( 21390 57970 ) ( 33350 * )
NEW met2 ( 33350 20740 ) ( * 57970 )
NEW met3 ( 33350 20740 ) ( 48300 * 0 )
NEW met2 ( 33350 20740 ) via2_FR
NEW met1 ( 33350 57970 ) M1M2_PR
NEW li1 ( 21390 57970 ) L1M1_PR_MR ;
- serial_data_in ( PIN serial_data_in ) ( _095_ D ) + USE SIGNAL
+ ROUTED met1 ( 23230 39270 ) ( 28290 * )
NEW met2 ( 28290 34340 ) ( * 39270 )
NEW met3 ( 28290 34340 ) ( 48300 * 0 )
NEW met2 ( 28290 34340 ) via2_FR
NEW met1 ( 28290 39270 ) M1M2_PR
NEW li1 ( 23230 39270 ) L1M1_PR_MR ;
- serial_data_out ( PIN serial_data_out ) ( _107_ Q ) ( _091_ D ) + USE SIGNAL
+ ROUTED met1 ( 20470 117470 ) ( 25990 * )
NEW met2 ( 20470 117470 ) ( * 120700 )
NEW met3 ( 2300 120700 0 ) ( 20470 * )
NEW met1 ( 30590 77010 ) ( * 77350 )
NEW met1 ( 27370 77010 ) ( 30590 * )
NEW met2 ( 27370 77010 ) ( * 99620 )
NEW met2 ( 25990 99620 ) ( 27370 * )
NEW met1 ( 20930 64090 ) ( 27370 * )
NEW met2 ( 27370 64090 ) ( * 77010 )
NEW met2 ( 25990 99620 ) ( * 117470 )
NEW met1 ( 25990 117470 ) M1M2_PR
NEW met1 ( 20470 117470 ) M1M2_PR
NEW met2 ( 20470 120700 ) via2_FR
NEW li1 ( 30590 77350 ) L1M1_PR_MR
NEW met1 ( 27370 77010 ) M1M2_PR
NEW li1 ( 20930 64090 ) L1M1_PR_MR
NEW met1 ( 27370 64090 ) M1M2_PR ;
- user_gpio_in ( PIN user_gpio_in ) ( gpio_in_buf Z ) + USE SIGNAL
+ ROUTED met1 ( 34270 82790 ) ( 36110 * )
NEW met2 ( 34270 82790 ) ( * 90100 )
NEW met3 ( 34270 90100 ) ( 48300 * 0 )
NEW li1 ( 36110 82790 ) L1M1_PR_MR
NEW met1 ( 34270 82790 ) M1M2_PR
NEW met2 ( 34270 90100 ) via2_FR ;
- user_gpio_oeb ( PIN user_gpio_oeb ) ( _071_ B1 ) + USE SIGNAL
+ ROUTED met2 ( 28750 74630 ) ( * 104380 )
NEW met3 ( 28750 104380 ) ( 48300 * 0 )
NEW li1 ( 28750 74630 ) L1M1_PR_MR
NEW met1 ( 28750 74630 ) M1M2_PR
NEW met2 ( 28750 104380 ) via2_FR
NEW met1 ( 28750 74630 ) RECT ( -355 -70 0 70 ) ;
- user_gpio_out ( PIN user_gpio_out ) ( _076_ B2 ) + USE SIGNAL
+ ROUTED met3 ( 29210 117980 ) ( 48300 * 0 )
NEW met2 ( 29210 80410 ) ( * 117980 )
NEW met2 ( 29210 117980 ) via2_FR
NEW li1 ( 29210 80410 ) L1M1_PR_MR
NEW met1 ( 29210 80410 ) M1M2_PR
NEW met1 ( 29210 80410 ) RECT ( -355 -70 0 70 ) ;
- VPWR ( PIN VPWR ) ( FILLER_36_75 VPWR ) ( FILLER_36_75 VPB ) ( FILLER_36_63 VPWR ) ( FILLER_36_63 VPB ) ( FILLER_36_56 VPWR ) ( FILLER_36_56 VPB )
( FILLER_36_44 VPWR ) ( FILLER_36_44 VPB ) ( FILLER_36_32 VPWR ) ( FILLER_36_32 VPB ) ( FILLER_36_27 VPWR ) ( FILLER_36_27 VPB ) ( FILLER_36_15 VPWR ) ( FILLER_36_15 VPB )
( FILLER_36_3 VPWR ) ( FILLER_36_3 VPB ) ( FILLER_35_80 VPWR ) ( FILLER_35_80 VPB ) ( FILLER_35_74 VPWR ) ( FILLER_35_74 VPB ) ( FILLER_35_62 VPWR ) ( FILLER_35_62 VPB )
( FILLER_35_59 VPWR ) ( FILLER_35_59 VPB ) ( FILLER_35_51 VPWR ) ( FILLER_35_51 VPB ) ( FILLER_35_39 VPWR ) ( FILLER_35_39 VPB ) ( FILLER_35_27 VPWR ) ( FILLER_35_27 VPB )
( FILLER_35_15 VPWR ) ( FILLER_35_15 VPB ) ( FILLER_35_3 VPWR ) ( FILLER_35_3 VPB ) ( FILLER_34_80 VPWR ) ( FILLER_34_80 VPB ) ( FILLER_34_68 VPWR ) ( FILLER_34_68 VPB )
( FILLER_34_56 VPWR ) ( FILLER_34_56 VPB ) ( FILLER_34_44 VPWR ) ( FILLER_34_44 VPB ) ( FILLER_34_32 VPWR ) ( FILLER_34_32 VPB ) ( FILLER_34_27 VPWR ) ( FILLER_34_27 VPB )
( FILLER_34_15 VPWR ) ( FILLER_34_15 VPB ) ( FILLER_34_3 VPWR ) ( FILLER_34_3 VPB ) ( FILLER_33_80 VPWR ) ( FILLER_33_80 VPB ) ( FILLER_33_74 VPWR ) ( FILLER_33_74 VPB )
( FILLER_33_62 VPWR ) ( FILLER_33_62 VPB ) ( FILLER_33_58 VPWR ) ( FILLER_33_58 VPB ) ( FILLER_33_50 VPWR ) ( FILLER_33_50 VPB ) ( FILLER_33_38 VPWR ) ( FILLER_33_38 VPB )
( FILLER_33_26 VPWR ) ( FILLER_33_26 VPB ) ( FILLER_32_79 VPWR ) ( FILLER_32_79 VPB ) ( FILLER_32_67 VPWR ) ( FILLER_32_67 VPB ) ( FILLER_32_55 VPWR ) ( FILLER_32_55 VPB )
( FILLER_32_27 VPWR ) ( FILLER_32_27 VPB ) ( FILLER_32_15 VPWR ) ( FILLER_32_15 VPB ) ( FILLER_32_3 VPWR ) ( FILLER_32_3 VPB ) ( FILLER_31_80 VPWR ) ( FILLER_31_80 VPB )
( FILLER_31_74 VPWR ) ( FILLER_31_74 VPB ) ( FILLER_31_62 VPWR ) ( FILLER_31_62 VPB ) ( FILLER_31_58 VPWR ) ( FILLER_31_58 VPB ) ( FILLER_31_50 VPWR ) ( FILLER_31_50 VPB )
( FILLER_31_38 VPWR ) ( FILLER_31_38 VPB ) ( FILLER_31_26 VPWR ) ( FILLER_31_26 VPB ) ( FILLER_30_79 VPWR ) ( FILLER_30_79 VPB ) ( FILLER_30_67 VPWR ) ( FILLER_30_67 VPB )
( FILLER_30_55 VPWR ) ( FILLER_30_55 VPB ) ( FILLER_30_23 VPWR ) ( FILLER_30_23 VPB ) ( FILLER_30_11 VPWR ) ( FILLER_30_11 VPB ) ( FILLER_30_3 VPWR ) ( FILLER_30_3 VPB )
( FILLER_29_80 VPWR ) ( FILLER_29_80 VPB ) ( FILLER_29_74 VPWR ) ( FILLER_29_74 VPB ) ( FILLER_29_62 VPWR ) ( FILLER_29_62 VPB ) ( FILLER_29_58 VPWR ) ( FILLER_29_58 VPB )
( FILLER_29_50 VPWR ) ( FILLER_29_50 VPB ) ( FILLER_29_38 VPWR ) ( FILLER_29_38 VPB ) ( FILLER_29_26 VPWR ) ( FILLER_29_26 VPB ) ( FILLER_28_80 VPWR ) ( FILLER_28_80 VPB )
( FILLER_28_68 VPWR ) ( FILLER_28_68 VPB ) ( FILLER_28_57 VPWR ) ( FILLER_28_57 VPB ) ( FILLER_28_32 VPWR ) ( FILLER_28_32 VPB ) ( FILLER_28_27 VPWR ) ( FILLER_28_27 VPB )
( FILLER_28_15 VPWR ) ( FILLER_28_15 VPB ) ( FILLER_28_3 VPWR ) ( FILLER_28_3 VPB ) ( FILLER_27_80 VPWR ) ( FILLER_27_80 VPB ) ( FILLER_27_74 VPWR ) ( FILLER_27_74 VPB )
( FILLER_27_62 VPWR ) ( FILLER_27_62 VPB ) ( FILLER_27_57 VPWR ) ( FILLER_27_57 VPB ) ( FILLER_27_45 VPWR ) ( FILLER_27_45 VPB ) ( FILLER_27_21 VPWR ) ( FILLER_27_21 VPB )
( FILLER_27_15 VPWR ) ( FILLER_27_15 VPB ) ( FILLER_27_3 VPWR ) ( FILLER_27_3 VPB ) ( FILLER_26_73 VPWR ) ( FILLER_26_73 VPB ) ( FILLER_26_47 VPWR ) ( FILLER_26_47 VPB )
( FILLER_26_40 VPWR ) ( FILLER_26_40 VPB ) ( FILLER_26_32 VPWR ) ( FILLER_26_32 VPB ) ( FILLER_26_27 VPWR ) ( FILLER_26_27 VPB ) ( FILLER_26_15 VPWR ) ( FILLER_26_15 VPB )
( FILLER_26_3 VPWR ) ( FILLER_26_3 VPB ) ( FILLER_25_73 VPWR ) ( FILLER_25_73 VPB ) ( FILLER_25_62 VPWR ) ( FILLER_25_62 VPB ) ( FILLER_25_53 VPWR ) ( FILLER_25_53 VPB )
( FILLER_25_34 VPWR ) ( FILLER_25_34 VPB ) ( FILLER_25_26 VPWR ) ( FILLER_25_26 VPB ) ( FILLER_24_73 VPWR ) ( FILLER_24_73 VPB ) ( FILLER_24_63 VPWR ) ( FILLER_24_63 VPB )
( FILLER_24_55 VPWR ) ( FILLER_24_55 VPB ) ( FILLER_24_27 VPWR ) ( FILLER_24_27 VPB ) ( FILLER_24_15 VPWR ) ( FILLER_24_15 VPB ) ( FILLER_24_3 VPWR ) ( FILLER_24_3 VPB )
( FILLER_23_79 VPWR ) ( FILLER_23_79 VPB ) ( FILLER_23_71 VPWR ) ( FILLER_23_71 VPB ) ( FILLER_23_53 VPWR ) ( FILLER_23_53 VPB ) ( FILLER_23_34 VPWR ) ( FILLER_23_34 VPB )
( FILLER_23_26 VPWR ) ( FILLER_23_26 VPB ) ( FILLER_22_73 VPWR ) ( FILLER_22_73 VPB ) ( FILLER_22_65 VPWR ) ( FILLER_22_65 VPB ) ( FILLER_22_57 VPWR ) ( FILLER_22_57 VPB )
( FILLER_22_32 VPWR ) ( FILLER_22_32 VPB ) ( FILLER_22_30 VPWR ) ( FILLER_22_30 VPB ) ( FILLER_22_24 VPWR ) ( FILLER_22_24 VPB ) ( FILLER_22_15 VPWR ) ( FILLER_22_15 VPB )
( FILLER_22_3 VPWR ) ( FILLER_22_3 VPB ) ( FILLER_21_79 VPWR ) ( FILLER_21_79 VPB ) ( FILLER_21_71 VPWR ) ( FILLER_21_71 VPB ) ( FILLER_21_60 VPWR ) ( FILLER_21_60 VPB )
( FILLER_21_56 VPWR ) ( FILLER_21_56 VPB ) ( FILLER_21_44 VPWR ) ( FILLER_21_44 VPB ) ( FILLER_21_32 VPWR ) ( FILLER_21_32 VPB ) ( FILLER_21_3 VPWR ) ( FILLER_21_3 VPB )
( FILLER_20_80 VPWR ) ( FILLER_20_80 VPB ) ( FILLER_20_68 VPWR ) ( FILLER_20_68 VPB ) ( FILLER_20_36 VPWR ) ( FILLER_20_36 VPB ) ( FILLER_20_23 VPWR ) ( FILLER_20_23 VPB )
( FILLER_20_15 VPWR ) ( FILLER_20_15 VPB ) ( FILLER_20_3 VPWR ) ( FILLER_20_3 VPB ) ( FILLER_19_77 VPWR ) ( FILLER_19_77 VPB ) ( FILLER_19_65 VPWR ) ( FILLER_19_65 VPB )
( FILLER_19_53 VPWR ) ( FILLER_19_53 VPB ) ( FILLER_19_22 VPWR ) ( FILLER_19_22 VPB ) ( FILLER_19_15 VPWR ) ( FILLER_19_15 VPB ) ( FILLER_19_3 VPWR ) ( FILLER_19_3 VPB )
( FILLER_18_79 VPWR ) ( FILLER_18_79 VPB ) ( FILLER_18_71 VPWR ) ( FILLER_18_71 VPB ) ( FILLER_18_59 VPWR ) ( FILLER_18_59 VPB ) ( FILLER_18_47 VPWR ) ( FILLER_18_47 VPB )
( FILLER_18_40 VPWR ) ( FILLER_18_40 VPB ) ( FILLER_18_32 VPWR ) ( FILLER_18_32 VPB ) ( FILLER_18_27 VPWR ) ( FILLER_18_27 VPB ) ( FILLER_18_15 VPWR ) ( FILLER_18_15 VPB )
( FILLER_18_3 VPWR ) ( FILLER_18_3 VPB ) ( FILLER_17_80 VPWR ) ( FILLER_17_80 VPB ) ( FILLER_17_74 VPWR ) ( FILLER_17_74 VPB ) ( FILLER_17_62 VPWR ) ( FILLER_17_62 VPB )
( FILLER_17_60 VPWR ) ( FILLER_17_60 VPB ) ( FILLER_17_54 VPWR ) ( FILLER_17_54 VPB ) ( FILLER_17_26 VPWR ) ( FILLER_17_26 VPB ) ( FILLER_16_80 VPWR ) ( FILLER_16_80 VPB )
( FILLER_16_68 VPWR ) ( FILLER_16_68 VPB ) ( FILLER_16_56 VPWR ) ( FILLER_16_56 VPB ) ( FILLER_16_44 VPWR ) ( FILLER_16_44 VPB ) ( FILLER_16_32 VPWR ) ( FILLER_16_32 VPB )
( FILLER_16_28 VPWR ) ( FILLER_16_28 VPB ) ( FILLER_16_16 VPWR ) ( FILLER_16_16 VPB ) ( FILLER_16_11 VPWR ) ( FILLER_16_11 VPB ) ( FILLER_16_3 VPWR ) ( FILLER_16_3 VPB )
( FILLER_15_73 VPWR ) ( FILLER_15_73 VPB ) ( FILLER_15_62 VPWR ) ( FILLER_15_62 VPB ) ( FILLER_15_58 VPWR ) ( FILLER_15_58 VPB ) ( FILLER_15_50 VPWR ) ( FILLER_15_50 VPB )
( FILLER_15_38 VPWR ) ( FILLER_15_38 VPB ) ( FILLER_15_26 VPWR ) ( FILLER_15_26 VPB ) ( FILLER_14_79 VPWR ) ( FILLER_14_79 VPB ) ( FILLER_14_67 VPWR ) ( FILLER_14_67 VPB )
( FILLER_14_40 VPWR ) ( FILLER_14_40 VPB ) ( FILLER_14_32 VPWR ) ( FILLER_14_32 VPB ) ( FILLER_14_23 VPWR ) ( FILLER_14_23 VPB ) ( FILLER_14_11 VPWR ) ( FILLER_14_11 VPB )
( FILLER_14_3 VPWR ) ( FILLER_14_3 VPB ) ( FILLER_13_78 VPWR ) ( FILLER_13_78 VPB ) ( FILLER_13_66 VPWR ) ( FILLER_13_66 VPB ) ( FILLER_13_58 VPWR ) ( FILLER_13_58 VPB )
( FILLER_13_50 VPWR ) ( FILLER_13_50 VPB ) ( FILLER_13_38 VPWR ) ( FILLER_13_38 VPB ) ( FILLER_13_3 VPWR ) ( FILLER_13_3 VPB ) ( FILLER_12_79 VPWR ) ( FILLER_12_79 VPB )
( FILLER_12_71 VPWR ) ( FILLER_12_71 VPB ) ( FILLER_12_59 VPWR ) ( FILLER_12_59 VPB ) ( FILLER_12_32 VPWR ) ( FILLER_12_32 VPB ) ( FILLER_12_23 VPWR ) ( FILLER_12_23 VPB )
( FILLER_12_15 VPWR ) ( FILLER_12_15 VPB ) ( FILLER_12_3 VPWR ) ( FILLER_12_3 VPB ) ( FILLER_11_80 VPWR ) ( FILLER_11_80 VPB ) ( FILLER_11_74 VPWR ) ( FILLER_11_74 VPB )
( FILLER_11_62 VPWR ) ( FILLER_11_62 VPB ) ( FILLER_11_60 VPWR ) ( FILLER_11_60 VPB ) ( FILLER_11_52 VPWR ) ( FILLER_11_52 VPB ) ( FILLER_11_40 VPWR ) ( FILLER_11_40 VPB )
( FILLER_11_28 VPWR ) ( FILLER_11_28 VPB ) ( FILLER_11_23 VPWR ) ( FILLER_11_23 VPB ) ( FILLER_11_15 VPWR ) ( FILLER_11_15 VPB ) ( FILLER_11_3 VPWR ) ( FILLER_11_3 VPB )
( FILLER_10_78 VPWR ) ( FILLER_10_78 VPB ) ( FILLER_10_70 VPWR ) ( FILLER_10_70 VPB ) ( FILLER_10_58 VPWR ) ( FILLER_10_58 VPB ) ( FILLER_10_32 VPWR ) ( FILLER_10_32 VPB )
( FILLER_10_27 VPWR ) ( FILLER_10_27 VPB ) ( FILLER_10_15 VPWR ) ( FILLER_10_15 VPB ) ( FILLER_10_3 VPWR ) ( FILLER_10_3 VPB ) ( FILLER_9_80 VPWR ) ( FILLER_9_80 VPB )
( FILLER_9_74 VPWR ) ( FILLER_9_74 VPB ) ( FILLER_9_62 VPWR ) ( FILLER_9_62 VPB ) ( FILLER_9_58 VPWR ) ( FILLER_9_58 VPB ) ( FILLER_9_50 VPWR ) ( FILLER_9_50 VPB )
( FILLER_9_38 VPWR ) ( FILLER_9_38 VPB ) ( FILLER_9_26 VPWR ) ( FILLER_9_26 VPB ) ( FILLER_8_80 VPWR ) ( FILLER_8_80 VPB ) ( FILLER_8_68 VPWR ) ( FILLER_8_68 VPB )
( FILLER_8_56 VPWR ) ( FILLER_8_56 VPB ) ( FILLER_8_44 VPWR ) ( FILLER_8_44 VPB ) ( FILLER_8_32 VPWR ) ( FILLER_8_32 VPB ) ( FILLER_8_27 VPWR ) ( FILLER_8_27 VPB )
( FILLER_8_15 VPWR ) ( FILLER_8_15 VPB ) ( FILLER_8_3 VPWR ) ( FILLER_8_3 VPB ) ( FILLER_7_80 VPWR ) ( FILLER_7_80 VPB ) ( FILLER_7_74 VPWR ) ( FILLER_7_74 VPB )
( FILLER_7_62 VPWR ) ( FILLER_7_62 VPB ) ( FILLER_7_58 VPWR ) ( FILLER_7_58 VPB ) ( FILLER_7_50 VPWR ) ( FILLER_7_50 VPB ) ( FILLER_7_38 VPWR ) ( FILLER_7_38 VPB )
( FILLER_7_26 VPWR ) ( FILLER_7_26 VPB ) ( FILLER_6_79 VPWR ) ( FILLER_6_79 VPB ) ( FILLER_6_67 VPWR ) ( FILLER_6_67 VPB ) ( FILLER_6_55 VPWR ) ( FILLER_6_55 VPB )
( FILLER_6_28 VPWR ) ( FILLER_6_28 VPB ) ( FILLER_6_16 VPWR ) ( FILLER_6_16 VPB ) ( FILLER_6_11 VPWR ) ( FILLER_6_11 VPB ) ( FILLER_6_3 VPWR ) ( FILLER_6_3 VPB )
( FILLER_5_80 VPWR ) ( FILLER_5_80 VPB ) ( FILLER_5_74 VPWR ) ( FILLER_5_74 VPB ) ( FILLER_5_62 VPWR ) ( FILLER_5_62 VPB ) ( FILLER_5_59 VPWR ) ( FILLER_5_59 VPB )
( FILLER_5_51 VPWR ) ( FILLER_5_51 VPB ) ( FILLER_5_39 VPWR ) ( FILLER_5_39 VPB ) ( FILLER_5_15 VPWR ) ( FILLER_5_15 VPB ) ( FILLER_5_3 VPWR ) ( FILLER_5_3 VPB )
( FILLER_4_79 VPWR ) ( FILLER_4_79 VPB ) ( FILLER_4_67 VPWR ) ( FILLER_4_67 VPB ) ( FILLER_4_55 VPWR ) ( FILLER_4_55 VPB ) ( FILLER_4_23 VPWR ) ( FILLER_4_23 VPB )
( FILLER_4_15 VPWR ) ( FILLER_4_15 VPB ) ( FILLER_4_3 VPWR ) ( FILLER_4_3 VPB ) ( FILLER_3_77 VPWR ) ( FILLER_3_77 VPB ) ( FILLER_3_65 VPWR ) ( FILLER_3_65 VPB )
( FILLER_3_49 VPWR ) ( FILLER_3_49 VPB ) ( FILLER_3_38 VPWR ) ( FILLER_3_38 VPB ) ( FILLER_3_26 VPWR ) ( FILLER_3_26 VPB ) ( FILLER_2_73 VPWR ) ( FILLER_2_73 VPB )
( FILLER_2_61 VPWR ) ( FILLER_2_61 VPB ) ( FILLER_2_49 VPWR ) ( FILLER_2_49 VPB ) ( FILLER_2_40 VPWR ) ( FILLER_2_40 VPB ) ( FILLER_2_32 VPWR ) ( FILLER_2_32 VPB )
( FILLER_2_23 VPWR ) ( FILLER_2_23 VPB ) ( FILLER_2_15 VPWR ) ( FILLER_2_15 VPB ) ( FILLER_2_3 VPWR ) ( FILLER_2_3 VPB ) ( FILLER_1_80 VPWR ) ( FILLER_1_80 VPB )
( FILLER_1_74 VPWR ) ( FILLER_1_74 VPB ) ( FILLER_1_62 VPWR ) ( FILLER_1_62 VPB ) ( FILLER_1_59 VPWR ) ( FILLER_1_59 VPB ) ( FILLER_1_51 VPWR ) ( FILLER_1_51 VPB )
( FILLER_1_39 VPWR ) ( FILLER_1_39 VPB ) ( FILLER_1_27 VPWR ) ( FILLER_1_27 VPB ) ( FILLER_1_15 VPWR ) ( FILLER_1_15 VPB ) ( FILLER_1_3 VPWR ) ( FILLER_1_3 VPB )
( FILLER_0_75 VPWR ) ( FILLER_0_75 VPB ) ( FILLER_0_63 VPWR ) ( FILLER_0_63 VPB ) ( FILLER_0_56 VPWR ) ( FILLER_0_56 VPB ) ( FILLER_0_44 VPWR ) ( FILLER_0_44 VPB )
( FILLER_0_32 VPWR ) ( FILLER_0_32 VPB ) ( FILLER_0_27 VPWR ) ( FILLER_0_27 VPB ) ( FILLER_0_15 VPWR ) ( FILLER_0_15 VPB ) ( FILLER_0_3 VPWR ) ( FILLER_0_3 VPB )
( clkbuf_1_1_0_serial_clock VPWR ) ( clkbuf_1_1_0_serial_clock VPB ) ( clkbuf_1_0_0_serial_clock VPWR ) ( clkbuf_1_0_0_serial_clock VPB ) ( clkbuf_0_serial_clock VPWR ) ( clkbuf_0_serial_clock VPB ) ( PHY_112 VPWR ) ( PHY_111 VPWR )
( PHY_110 VPWR ) ( PHY_109 VPWR ) ( PHY_108 VPWR ) ( PHY_107 VPWR ) ( PHY_106 VPWR ) ( PHY_105 VPWR ) ( PHY_104 VPWR ) ( PHY_103 VPWR )
( PHY_102 VPWR ) ( PHY_101 VPWR ) ( PHY_100 VPWR ) ( PHY_99 VPWR ) ( PHY_98 VPWR ) ( PHY_97 VPWR ) ( PHY_96 VPWR ) ( PHY_95 VPWR )
( PHY_94 VPWR ) ( PHY_93 VPWR ) ( PHY_92 VPWR ) ( PHY_91 VPWR ) ( PHY_90 VPWR ) ( PHY_89 VPWR ) ( PHY_88 VPWR ) ( PHY_87 VPWR )
( PHY_86 VPWR ) ( PHY_85 VPWR ) ( PHY_84 VPWR ) ( PHY_83 VPWR ) ( PHY_82 VPWR ) ( PHY_81 VPWR ) ( PHY_80 VPWR ) ( PHY_79 VPWR )
( PHY_78 VPWR ) ( PHY_77 VPWR ) ( PHY_76 VPWR ) ( PHY_75 VPWR ) ( PHY_74 VPWR ) ( PHY_73 VPWR ) ( PHY_73 VPB ) ( PHY_72 VPWR )
( PHY_72 VPB ) ( PHY_71 VPWR ) ( PHY_71 VPB ) ( PHY_70 VPWR ) ( PHY_70 VPB ) ( PHY_69 VPWR ) ( PHY_69 VPB ) ( PHY_68 VPWR )
( PHY_68 VPB ) ( PHY_67 VPWR ) ( PHY_67 VPB ) ( PHY_66 VPWR ) ( PHY_66 VPB ) ( PHY_65 VPWR ) ( PHY_65 VPB ) ( PHY_64 VPWR )
( PHY_64 VPB ) ( PHY_63 VPWR ) ( PHY_63 VPB ) ( PHY_62 VPWR ) ( PHY_62 VPB ) ( PHY_61 VPWR ) ( PHY_61 VPB ) ( PHY_60 VPWR )
( PHY_60 VPB ) ( PHY_59 VPWR ) ( PHY_59 VPB ) ( PHY_58 VPWR ) ( PHY_58 VPB ) ( PHY_57 VPWR ) ( PHY_57 VPB ) ( PHY_56 VPWR )
( PHY_56 VPB ) ( PHY_55 VPWR ) ( PHY_55 VPB ) ( PHY_54 VPWR ) ( PHY_54 VPB ) ( PHY_53 VPWR ) ( PHY_53 VPB ) ( PHY_52 VPWR )
( PHY_52 VPB ) ( PHY_51 VPWR ) ( PHY_51 VPB ) ( PHY_50 VPWR ) ( PHY_50 VPB ) ( PHY_49 VPWR ) ( PHY_49 VPB ) ( PHY_48 VPWR )
( PHY_48 VPB ) ( PHY_47 VPWR ) ( PHY_47 VPB ) ( PHY_46 VPWR ) ( PHY_46 VPB ) ( PHY_45 VPWR ) ( PHY_45 VPB ) ( PHY_44 VPWR )
( PHY_44 VPB ) ( PHY_43 VPWR ) ( PHY_43 VPB ) ( PHY_42 VPWR ) ( PHY_42 VPB ) ( PHY_41 VPWR ) ( PHY_41 VPB ) ( PHY_40 VPWR )
( PHY_40 VPB ) ( PHY_39 VPWR ) ( PHY_39 VPB ) ( PHY_38 VPWR ) ( PHY_38 VPB ) ( PHY_37 VPWR ) ( PHY_37 VPB ) ( PHY_36 VPWR )
( PHY_36 VPB ) ( PHY_35 VPWR ) ( PHY_35 VPB ) ( PHY_34 VPWR ) ( PHY_34 VPB ) ( PHY_33 VPWR ) ( PHY_33 VPB ) ( PHY_32 VPWR )
( PHY_32 VPB ) ( PHY_31 VPWR ) ( PHY_31 VPB ) ( PHY_30 VPWR ) ( PHY_30 VPB ) ( PHY_29 VPWR ) ( PHY_29 VPB ) ( PHY_28 VPWR )
( PHY_28 VPB ) ( PHY_27 VPWR ) ( PHY_27 VPB ) ( PHY_26 VPWR ) ( PHY_26 VPB ) ( PHY_25 VPWR ) ( PHY_25 VPB ) ( PHY_24 VPWR )
( PHY_24 VPB ) ( PHY_23 VPWR ) ( PHY_23 VPB ) ( PHY_22 VPWR ) ( PHY_22 VPB ) ( PHY_21 VPWR ) ( PHY_21 VPB ) ( PHY_20 VPWR )
( PHY_20 VPB ) ( PHY_19 VPWR ) ( PHY_19 VPB ) ( PHY_18 VPWR ) ( PHY_18 VPB ) ( PHY_17 VPWR ) ( PHY_17 VPB ) ( PHY_16 VPWR )
( PHY_16 VPB ) ( PHY_15 VPWR ) ( PHY_15 VPB ) ( PHY_14 VPWR ) ( PHY_14 VPB ) ( PHY_13 VPWR ) ( PHY_13 VPB ) ( PHY_12 VPWR )
( PHY_12 VPB ) ( PHY_11 VPWR ) ( PHY_11 VPB ) ( PHY_10 VPWR ) ( PHY_10 VPB ) ( PHY_9 VPWR ) ( PHY_9 VPB ) ( PHY_8 VPWR )
( PHY_8 VPB ) ( PHY_7 VPWR ) ( PHY_7 VPB ) ( PHY_6 VPWR ) ( PHY_6 VPB ) ( PHY_5 VPWR ) ( PHY_5 VPB ) ( PHY_4 VPWR )
( PHY_4 VPB ) ( PHY_3 VPWR ) ( PHY_3 VPB ) ( PHY_2 VPWR ) ( PHY_2 VPB ) ( PHY_1 VPWR ) ( PHY_1 VPB ) ( PHY_0 VPWR )
( PHY_0 VPB ) ( gpio_logic_high VPWR ) ( gpio_logic_high VPB ) ( gpio_in_buf VPWR ) ( gpio_in_buf VPB ) ( _107_ VPWR ) ( _107_ VPB ) ( _106_ VPWR )
( _106_ VPB ) ( _105_ VPWR ) ( _105_ VPB ) ( _104_ VPWR ) ( _104_ VPB ) ( _103_ VPWR ) ( _103_ VPB ) ( _102_ VPWR )
( _102_ VPB ) ( _101_ VPWR ) ( _101_ VPB ) ( _100_ VPWR ) ( _100_ VPB ) ( _099_ VPWR ) ( _099_ VPB ) ( _098_ VPWR )
( _098_ VPB ) ( _097_ VPWR ) ( _097_ VPB ) ( _096_ VPWR ) ( _096_ VPB ) ( _095_ VPWR ) ( _095_ VPB ) ( _094_ VPWR )
( _094_ VPB ) ( _093_ VPWR ) ( _093_ VPB ) ( _092_ VPWR ) ( _092_ VPB ) ( _091_ VPWR ) ( _091_ VPB ) ( _090_ VPWR )
( _090_ VPB ) ( _089_ VPWR ) ( _089_ VPB ) ( _088_ VPWR ) ( _088_ VPB ) ( _087_ VPWR ) ( _087_ VPB ) ( _086_ VPWR )
( _086_ VPB ) ( _085_ VPWR ) ( _085_ VPB ) ( _084_ VPWR ) ( _084_ VPB ) ( _083_ VPWR ) ( _083_ VPB ) ( _082_ VPWR )
( _082_ VPB ) ( _081_ VPWR ) ( _081_ VPB ) ( _080_ VPWR ) ( _080_ VPB ) ( _079_ VPWR ) ( _079_ VPB ) ( _078_ VPWR )
( _078_ VPB ) ( _077_ VPWR ) ( _077_ VPB ) ( _076_ VPWR ) ( _076_ VPB ) ( _075_ VPWR ) ( _075_ VPB ) ( _074_ VPWR )
( _074_ VPB ) ( _073_ VPWR ) ( _073_ VPB ) ( _072_ VPWR ) ( _072_ VPB ) ( _071_ VPWR ) ( _071_ VPB ) ( _070_ VPWR )
( _070_ VPB ) ( _069_ VPWR ) ( _069_ VPB ) ( _068_ VPWR ) ( _068_ VPB ) ( _067_ VPWR ) ( _067_ VPB ) ( _066_ VPWR )
( _066_ VPB ) ( _065_ VPWR ) ( _065_ VPB ) ( _064_ VPWR ) ( _064_ VPB ) ( _063_ VPWR ) ( _063_ VPB ) ( _062_ VPWR )
( _062_ VPB ) ( _061_ VPWR ) ( _061_ VPB ) ( _060_ VPWR ) ( _060_ VPB ) ( _059_ VPWR ) ( _059_ VPB ) ( _058_ VPWR )
( _058_ VPB ) ( _057_ VPWR ) ( _057_ VPB ) ( _056_ VPWR ) ( _056_ VPB ) ( _055_ VPWR ) ( _055_ VPB ) ( _054_ VPWR )
( _054_ VPB ) ( _053_ VPWR ) ( _053_ VPB ) ( _052_ VPWR ) ( _052_ VPB ) ( _051_ VPWR ) ( _051_ VPB ) ( _050_ VPWR )
( _050_ VPB ) ( _049_ VPWR ) ( _049_ VPB ) ( _048_ VPWR ) ( _048_ VPB ) ( _047_ VPWR ) ( _047_ VPB ) ( _046_ VPWR )
( _046_ VPB ) ( _045_ VPWR ) ( _045_ VPB ) ( _044_ VPWR ) ( _044_ VPB ) ( _043_ VPWR ) ( _043_ VPB ) ( _042_ VPWR )
( _042_ VPB ) ( _041_ VPWR ) ( _041_ VPB ) ( _040_ VPWR ) ( _040_ VPB ) ( _039_ VPWR ) ( _039_ VPB ) + USE POWER ;
- VGND ( PIN VGND ) ( FILLER_36_75 VNB ) ( FILLER_36_75 VGND ) ( FILLER_36_63 VNB ) ( FILLER_36_63 VGND ) ( FILLER_36_56 VNB ) ( FILLER_36_56 VGND )
( FILLER_36_44 VNB ) ( FILLER_36_44 VGND ) ( FILLER_36_32 VNB ) ( FILLER_36_32 VGND ) ( FILLER_36_27 VNB ) ( FILLER_36_27 VGND ) ( FILLER_36_15 VNB ) ( FILLER_36_15 VGND )
( FILLER_36_3 VNB ) ( FILLER_36_3 VGND ) ( FILLER_35_80 VNB ) ( FILLER_35_80 VGND ) ( FILLER_35_74 VNB ) ( FILLER_35_74 VGND ) ( FILLER_35_62 VNB ) ( FILLER_35_62 VGND )
( FILLER_35_59 VNB ) ( FILLER_35_59 VGND ) ( FILLER_35_51 VNB ) ( FILLER_35_51 VGND ) ( FILLER_35_39 VNB ) ( FILLER_35_39 VGND ) ( FILLER_35_27 VNB ) ( FILLER_35_27 VGND )
( FILLER_35_15 VNB ) ( FILLER_35_15 VGND ) ( FILLER_35_3 VNB ) ( FILLER_35_3 VGND ) ( FILLER_34_80 VNB ) ( FILLER_34_80 VGND ) ( FILLER_34_68 VNB ) ( FILLER_34_68 VGND )
( FILLER_34_56 VNB ) ( FILLER_34_56 VGND ) ( FILLER_34_44 VNB ) ( FILLER_34_44 VGND ) ( FILLER_34_32 VNB ) ( FILLER_34_32 VGND ) ( FILLER_34_27 VNB ) ( FILLER_34_27 VGND )
( FILLER_34_15 VNB ) ( FILLER_34_15 VGND ) ( FILLER_34_3 VNB ) ( FILLER_34_3 VGND ) ( FILLER_33_80 VNB ) ( FILLER_33_80 VGND ) ( FILLER_33_74 VNB ) ( FILLER_33_74 VGND )
( FILLER_33_62 VNB ) ( FILLER_33_62 VGND ) ( FILLER_33_58 VNB ) ( FILLER_33_58 VGND ) ( FILLER_33_50 VNB ) ( FILLER_33_50 VGND ) ( FILLER_33_38 VNB ) ( FILLER_33_38 VGND )
( FILLER_33_26 VNB ) ( FILLER_33_26 VGND ) ( FILLER_32_79 VNB ) ( FILLER_32_79 VGND ) ( FILLER_32_67 VNB ) ( FILLER_32_67 VGND ) ( FILLER_32_55 VNB ) ( FILLER_32_55 VGND )
( FILLER_32_27 VNB ) ( FILLER_32_27 VGND ) ( FILLER_32_15 VNB ) ( FILLER_32_15 VGND ) ( FILLER_32_3 VNB ) ( FILLER_32_3 VGND ) ( FILLER_31_80 VNB ) ( FILLER_31_80 VGND )
( FILLER_31_74 VNB ) ( FILLER_31_74 VGND ) ( FILLER_31_62 VNB ) ( FILLER_31_62 VGND ) ( FILLER_31_58 VNB ) ( FILLER_31_58 VGND ) ( FILLER_31_50 VNB ) ( FILLER_31_50 VGND )
( FILLER_31_38 VNB ) ( FILLER_31_38 VGND ) ( FILLER_31_26 VNB ) ( FILLER_31_26 VGND ) ( FILLER_30_79 VNB ) ( FILLER_30_79 VGND ) ( FILLER_30_67 VNB ) ( FILLER_30_67 VGND )
( FILLER_30_55 VNB ) ( FILLER_30_55 VGND ) ( FILLER_30_23 VNB ) ( FILLER_30_23 VGND ) ( FILLER_30_11 VNB ) ( FILLER_30_11 VGND ) ( FILLER_30_3 VNB ) ( FILLER_30_3 VGND )
( FILLER_29_80 VNB ) ( FILLER_29_80 VGND ) ( FILLER_29_74 VNB ) ( FILLER_29_74 VGND ) ( FILLER_29_62 VNB ) ( FILLER_29_62 VGND ) ( FILLER_29_58 VNB ) ( FILLER_29_58 VGND )
( FILLER_29_50 VNB ) ( FILLER_29_50 VGND ) ( FILLER_29_38 VNB ) ( FILLER_29_38 VGND ) ( FILLER_29_26 VNB ) ( FILLER_29_26 VGND ) ( FILLER_28_80 VNB ) ( FILLER_28_80 VGND )
( FILLER_28_68 VNB ) ( FILLER_28_68 VGND ) ( FILLER_28_57 VNB ) ( FILLER_28_57 VGND ) ( FILLER_28_32 VNB ) ( FILLER_28_32 VGND ) ( FILLER_28_27 VNB ) ( FILLER_28_27 VGND )
( FILLER_28_15 VNB ) ( FILLER_28_15 VGND ) ( FILLER_28_3 VNB ) ( FILLER_28_3 VGND ) ( FILLER_27_80 VNB ) ( FILLER_27_80 VGND ) ( FILLER_27_74 VNB ) ( FILLER_27_74 VGND )
( FILLER_27_62 VNB ) ( FILLER_27_62 VGND ) ( FILLER_27_57 VNB ) ( FILLER_27_57 VGND ) ( FILLER_27_45 VNB ) ( FILLER_27_45 VGND ) ( FILLER_27_21 VNB ) ( FILLER_27_21 VGND )
( FILLER_27_15 VNB ) ( FILLER_27_15 VGND ) ( FILLER_27_3 VNB ) ( FILLER_27_3 VGND ) ( FILLER_26_73 VNB ) ( FILLER_26_73 VGND ) ( FILLER_26_47 VNB ) ( FILLER_26_47 VGND )
( FILLER_26_40 VNB ) ( FILLER_26_40 VGND ) ( FILLER_26_32 VNB ) ( FILLER_26_32 VGND ) ( FILLER_26_27 VNB ) ( FILLER_26_27 VGND ) ( FILLER_26_15 VNB ) ( FILLER_26_15 VGND )
( FILLER_26_3 VNB ) ( FILLER_26_3 VGND ) ( FILLER_25_73 VNB ) ( FILLER_25_73 VGND ) ( FILLER_25_62 VNB ) ( FILLER_25_62 VGND ) ( FILLER_25_53 VNB ) ( FILLER_25_53 VGND )
( FILLER_25_34 VNB ) ( FILLER_25_34 VGND ) ( FILLER_25_26 VNB ) ( FILLER_25_26 VGND ) ( FILLER_24_73 VNB ) ( FILLER_24_73 VGND ) ( FILLER_24_63 VNB ) ( FILLER_24_63 VGND )
( FILLER_24_55 VNB ) ( FILLER_24_55 VGND ) ( FILLER_24_27 VNB ) ( FILLER_24_27 VGND ) ( FILLER_24_15 VNB ) ( FILLER_24_15 VGND ) ( FILLER_24_3 VNB ) ( FILLER_24_3 VGND )
( FILLER_23_79 VNB ) ( FILLER_23_79 VGND ) ( FILLER_23_71 VNB ) ( FILLER_23_71 VGND ) ( FILLER_23_53 VNB ) ( FILLER_23_53 VGND ) ( FILLER_23_34 VNB ) ( FILLER_23_34 VGND )
( FILLER_23_26 VNB ) ( FILLER_23_26 VGND ) ( FILLER_22_73 VNB ) ( FILLER_22_73 VGND ) ( FILLER_22_65 VNB ) ( FILLER_22_65 VGND ) ( FILLER_22_57 VNB ) ( FILLER_22_57 VGND )
( FILLER_22_32 VNB ) ( FILLER_22_32 VGND ) ( FILLER_22_30 VNB ) ( FILLER_22_30 VGND ) ( FILLER_22_24 VNB ) ( FILLER_22_24 VGND ) ( FILLER_22_15 VNB ) ( FILLER_22_15 VGND )
( FILLER_22_3 VNB ) ( FILLER_22_3 VGND ) ( FILLER_21_79 VNB ) ( FILLER_21_79 VGND ) ( FILLER_21_71 VNB ) ( FILLER_21_71 VGND ) ( FILLER_21_60 VNB ) ( FILLER_21_60 VGND )
( FILLER_21_56 VNB ) ( FILLER_21_56 VGND ) ( FILLER_21_44 VNB ) ( FILLER_21_44 VGND ) ( FILLER_21_32 VNB ) ( FILLER_21_32 VGND ) ( FILLER_21_3 VNB ) ( FILLER_21_3 VGND )
( FILLER_20_80 VNB ) ( FILLER_20_80 VGND ) ( FILLER_20_68 VNB ) ( FILLER_20_68 VGND ) ( FILLER_20_36 VNB ) ( FILLER_20_36 VGND ) ( FILLER_20_23 VNB ) ( FILLER_20_23 VGND )
( FILLER_20_15 VNB ) ( FILLER_20_15 VGND ) ( FILLER_20_3 VNB ) ( FILLER_20_3 VGND ) ( FILLER_19_77 VNB ) ( FILLER_19_77 VGND ) ( FILLER_19_65 VNB ) ( FILLER_19_65 VGND )
( FILLER_19_53 VNB ) ( FILLER_19_53 VGND ) ( FILLER_19_22 VNB ) ( FILLER_19_22 VGND ) ( FILLER_19_15 VNB ) ( FILLER_19_15 VGND ) ( FILLER_19_3 VNB ) ( FILLER_19_3 VGND )
( FILLER_18_79 VNB ) ( FILLER_18_79 VGND ) ( FILLER_18_71 VNB ) ( FILLER_18_71 VGND ) ( FILLER_18_59 VNB ) ( FILLER_18_59 VGND ) ( FILLER_18_47 VNB ) ( FILLER_18_47 VGND )
( FILLER_18_40 VNB ) ( FILLER_18_40 VGND ) ( FILLER_18_32 VNB ) ( FILLER_18_32 VGND ) ( FILLER_18_27 VNB ) ( FILLER_18_27 VGND ) ( FILLER_18_15 VNB ) ( FILLER_18_15 VGND )
( FILLER_18_3 VNB ) ( FILLER_18_3 VGND ) ( FILLER_17_80 VNB ) ( FILLER_17_80 VGND ) ( FILLER_17_74 VNB ) ( FILLER_17_74 VGND ) ( FILLER_17_62 VNB ) ( FILLER_17_62 VGND )
( FILLER_17_60 VNB ) ( FILLER_17_60 VGND ) ( FILLER_17_54 VNB ) ( FILLER_17_54 VGND ) ( FILLER_17_26 VNB ) ( FILLER_17_26 VGND ) ( FILLER_16_80 VNB ) ( FILLER_16_80 VGND )
( FILLER_16_68 VNB ) ( FILLER_16_68 VGND ) ( FILLER_16_56 VNB ) ( FILLER_16_56 VGND ) ( FILLER_16_44 VNB ) ( FILLER_16_44 VGND ) ( FILLER_16_32 VNB ) ( FILLER_16_32 VGND )
( FILLER_16_28 VNB ) ( FILLER_16_28 VGND ) ( FILLER_16_16 VNB ) ( FILLER_16_16 VGND ) ( FILLER_16_11 VNB ) ( FILLER_16_11 VGND ) ( FILLER_16_3 VNB ) ( FILLER_16_3 VGND )
( FILLER_15_73 VNB ) ( FILLER_15_73 VGND ) ( FILLER_15_62 VNB ) ( FILLER_15_62 VGND ) ( FILLER_15_58 VNB ) ( FILLER_15_58 VGND ) ( FILLER_15_50 VNB ) ( FILLER_15_50 VGND )
( FILLER_15_38 VNB ) ( FILLER_15_38 VGND ) ( FILLER_15_26 VNB ) ( FILLER_15_26 VGND ) ( FILLER_14_79 VNB ) ( FILLER_14_79 VGND ) ( FILLER_14_67 VNB ) ( FILLER_14_67 VGND )
( FILLER_14_40 VNB ) ( FILLER_14_40 VGND ) ( FILLER_14_32 VNB ) ( FILLER_14_32 VGND ) ( FILLER_14_23 VNB ) ( FILLER_14_23 VGND ) ( FILLER_14_11 VNB ) ( FILLER_14_11 VGND )
( FILLER_14_3 VNB ) ( FILLER_14_3 VGND ) ( FILLER_13_78 VNB ) ( FILLER_13_78 VGND ) ( FILLER_13_66 VNB ) ( FILLER_13_66 VGND ) ( FILLER_13_58 VNB ) ( FILLER_13_58 VGND )
( FILLER_13_50 VNB ) ( FILLER_13_50 VGND ) ( FILLER_13_38 VNB ) ( FILLER_13_38 VGND ) ( FILLER_13_3 VNB ) ( FILLER_13_3 VGND ) ( FILLER_12_79 VNB ) ( FILLER_12_79 VGND )
( FILLER_12_71 VNB ) ( FILLER_12_71 VGND ) ( FILLER_12_59 VNB ) ( FILLER_12_59 VGND ) ( FILLER_12_32 VNB ) ( FILLER_12_32 VGND ) ( FILLER_12_23 VNB ) ( FILLER_12_23 VGND )
( FILLER_12_15 VNB ) ( FILLER_12_15 VGND ) ( FILLER_12_3 VNB ) ( FILLER_12_3 VGND ) ( FILLER_11_80 VNB ) ( FILLER_11_80 VGND ) ( FILLER_11_74 VNB ) ( FILLER_11_74 VGND )
( FILLER_11_62 VNB ) ( FILLER_11_62 VGND ) ( FILLER_11_60 VNB ) ( FILLER_11_60 VGND ) ( FILLER_11_52 VNB ) ( FILLER_11_52 VGND ) ( FILLER_11_40 VNB ) ( FILLER_11_40 VGND )
( FILLER_11_28 VNB ) ( FILLER_11_28 VGND ) ( FILLER_11_23 VNB ) ( FILLER_11_23 VGND ) ( FILLER_11_15 VNB ) ( FILLER_11_15 VGND ) ( FILLER_11_3 VNB ) ( FILLER_11_3 VGND )
( FILLER_10_78 VNB ) ( FILLER_10_78 VGND ) ( FILLER_10_70 VNB ) ( FILLER_10_70 VGND ) ( FILLER_10_58 VNB ) ( FILLER_10_58 VGND ) ( FILLER_10_32 VNB ) ( FILLER_10_32 VGND )
( FILLER_10_27 VNB ) ( FILLER_10_27 VGND ) ( FILLER_10_15 VNB ) ( FILLER_10_15 VGND ) ( FILLER_10_3 VNB ) ( FILLER_10_3 VGND ) ( FILLER_9_80 VNB ) ( FILLER_9_80 VGND )
( FILLER_9_74 VNB ) ( FILLER_9_74 VGND ) ( FILLER_9_62 VNB ) ( FILLER_9_62 VGND ) ( FILLER_9_58 VNB ) ( FILLER_9_58 VGND ) ( FILLER_9_50 VNB ) ( FILLER_9_50 VGND )
( FILLER_9_38 VNB ) ( FILLER_9_38 VGND ) ( FILLER_9_26 VNB ) ( FILLER_9_26 VGND ) ( FILLER_8_80 VNB ) ( FILLER_8_80 VGND ) ( FILLER_8_68 VNB ) ( FILLER_8_68 VGND )
( FILLER_8_56 VNB ) ( FILLER_8_56 VGND ) ( FILLER_8_44 VNB ) ( FILLER_8_44 VGND ) ( FILLER_8_32 VNB ) ( FILLER_8_32 VGND ) ( FILLER_8_27 VNB ) ( FILLER_8_27 VGND )
( FILLER_8_15 VNB ) ( FILLER_8_15 VGND ) ( FILLER_8_3 VNB ) ( FILLER_8_3 VGND ) ( FILLER_7_80 VNB ) ( FILLER_7_80 VGND ) ( FILLER_7_74 VNB ) ( FILLER_7_74 VGND )
( FILLER_7_62 VNB ) ( FILLER_7_62 VGND ) ( FILLER_7_58 VNB ) ( FILLER_7_58 VGND ) ( FILLER_7_50 VNB ) ( FILLER_7_50 VGND ) ( FILLER_7_38 VNB ) ( FILLER_7_38 VGND )
( FILLER_7_26 VNB ) ( FILLER_7_26 VGND ) ( FILLER_6_79 VNB ) ( FILLER_6_79 VGND ) ( FILLER_6_67 VNB ) ( FILLER_6_67 VGND ) ( FILLER_6_55 VNB ) ( FILLER_6_55 VGND )
( FILLER_6_28 VNB ) ( FILLER_6_28 VGND ) ( FILLER_6_16 VNB ) ( FILLER_6_16 VGND ) ( FILLER_6_11 VNB ) ( FILLER_6_11 VGND ) ( FILLER_6_3 VNB ) ( FILLER_6_3 VGND )
( FILLER_5_80 VNB ) ( FILLER_5_80 VGND ) ( FILLER_5_74 VNB ) ( FILLER_5_74 VGND ) ( FILLER_5_62 VNB ) ( FILLER_5_62 VGND ) ( FILLER_5_59 VNB ) ( FILLER_5_59 VGND )
( FILLER_5_51 VNB ) ( FILLER_5_51 VGND ) ( FILLER_5_39 VNB ) ( FILLER_5_39 VGND ) ( FILLER_5_15 VNB ) ( FILLER_5_15 VGND ) ( FILLER_5_3 VNB ) ( FILLER_5_3 VGND )
( FILLER_4_79 VNB ) ( FILLER_4_79 VGND ) ( FILLER_4_67 VNB ) ( FILLER_4_67 VGND ) ( FILLER_4_55 VNB ) ( FILLER_4_55 VGND ) ( FILLER_4_23 VNB ) ( FILLER_4_23 VGND )
( FILLER_4_15 VNB ) ( FILLER_4_15 VGND ) ( FILLER_4_3 VNB ) ( FILLER_4_3 VGND ) ( FILLER_3_77 VNB ) ( FILLER_3_77 VGND ) ( FILLER_3_65 VNB ) ( FILLER_3_65 VGND )
( FILLER_3_49 VNB ) ( FILLER_3_49 VGND ) ( FILLER_3_38 VNB ) ( FILLER_3_38 VGND ) ( FILLER_3_26 VNB ) ( FILLER_3_26 VGND ) ( FILLER_2_73 VNB ) ( FILLER_2_73 VGND )
( FILLER_2_61 VNB ) ( FILLER_2_61 VGND ) ( FILLER_2_49 VNB ) ( FILLER_2_49 VGND ) ( FILLER_2_40 VNB ) ( FILLER_2_40 VGND ) ( FILLER_2_32 VNB ) ( FILLER_2_32 VGND )
( FILLER_2_23 VNB ) ( FILLER_2_23 VGND ) ( FILLER_2_15 VNB ) ( FILLER_2_15 VGND ) ( FILLER_2_3 VNB ) ( FILLER_2_3 VGND ) ( FILLER_1_80 VNB ) ( FILLER_1_80 VGND )
( FILLER_1_74 VNB ) ( FILLER_1_74 VGND ) ( FILLER_1_62 VNB ) ( FILLER_1_62 VGND ) ( FILLER_1_59 VNB ) ( FILLER_1_59 VGND ) ( FILLER_1_51 VNB ) ( FILLER_1_51 VGND )
( FILLER_1_39 VNB ) ( FILLER_1_39 VGND ) ( FILLER_1_27 VNB ) ( FILLER_1_27 VGND ) ( FILLER_1_15 VNB ) ( FILLER_1_15 VGND ) ( FILLER_1_3 VNB ) ( FILLER_1_3 VGND )
( FILLER_0_75 VNB ) ( FILLER_0_75 VGND ) ( FILLER_0_63 VNB ) ( FILLER_0_63 VGND ) ( FILLER_0_56 VNB ) ( FILLER_0_56 VGND ) ( FILLER_0_44 VNB ) ( FILLER_0_44 VGND )
( FILLER_0_32 VNB ) ( FILLER_0_32 VGND ) ( FILLER_0_27 VNB ) ( FILLER_0_27 VGND ) ( FILLER_0_15 VNB ) ( FILLER_0_15 VGND ) ( FILLER_0_3 VNB ) ( FILLER_0_3 VGND )
( clkbuf_1_1_0_serial_clock VNB ) ( clkbuf_1_1_0_serial_clock VGND ) ( clkbuf_1_0_0_serial_clock VNB ) ( clkbuf_1_0_0_serial_clock VGND ) ( clkbuf_0_serial_clock VNB ) ( clkbuf_0_serial_clock VGND ) ( PHY_112 VGND ) ( PHY_111 VGND )
( PHY_110 VGND ) ( PHY_109 VGND ) ( PHY_108 VGND ) ( PHY_107 VGND ) ( PHY_106 VGND ) ( PHY_105 VGND ) ( PHY_104 VGND ) ( PHY_103 VGND )
( PHY_102 VGND ) ( PHY_101 VGND ) ( PHY_100 VGND ) ( PHY_99 VGND ) ( PHY_98 VGND ) ( PHY_97 VGND ) ( PHY_96 VGND ) ( PHY_95 VGND )
( PHY_94 VGND ) ( PHY_93 VGND ) ( PHY_92 VGND ) ( PHY_91 VGND ) ( PHY_90 VGND ) ( PHY_89 VGND ) ( PHY_88 VGND ) ( PHY_87 VGND )
( PHY_86 VGND ) ( PHY_85 VGND ) ( PHY_84 VGND ) ( PHY_83 VGND ) ( PHY_82 VGND ) ( PHY_81 VGND ) ( PHY_80 VGND ) ( PHY_79 VGND )
( PHY_78 VGND ) ( PHY_77 VGND ) ( PHY_76 VGND ) ( PHY_75 VGND ) ( PHY_74 VGND ) ( PHY_73 VNB ) ( PHY_73 VGND ) ( PHY_72 VNB )
( PHY_72 VGND ) ( PHY_71 VNB ) ( PHY_71 VGND ) ( PHY_70 VNB ) ( PHY_70 VGND ) ( PHY_69 VNB ) ( PHY_69 VGND ) ( PHY_68 VNB )
( PHY_68 VGND ) ( PHY_67 VNB ) ( PHY_67 VGND ) ( PHY_66 VNB ) ( PHY_66 VGND ) ( PHY_65 VNB ) ( PHY_65 VGND ) ( PHY_64 VNB )
( PHY_64 VGND ) ( PHY_63 VNB ) ( PHY_63 VGND ) ( PHY_62 VNB ) ( PHY_62 VGND ) ( PHY_61 VNB ) ( PHY_61 VGND ) ( PHY_60 VNB )
( PHY_60 VGND ) ( PHY_59 VNB ) ( PHY_59 VGND ) ( PHY_58 VNB ) ( PHY_58 VGND ) ( PHY_57 VNB ) ( PHY_57 VGND ) ( PHY_56 VNB )
( PHY_56 VGND ) ( PHY_55 VNB ) ( PHY_55 VGND ) ( PHY_54 VNB ) ( PHY_54 VGND ) ( PHY_53 VNB ) ( PHY_53 VGND ) ( PHY_52 VNB )
( PHY_52 VGND ) ( PHY_51 VNB ) ( PHY_51 VGND ) ( PHY_50 VNB ) ( PHY_50 VGND ) ( PHY_49 VNB ) ( PHY_49 VGND ) ( PHY_48 VNB )
( PHY_48 VGND ) ( PHY_47 VNB ) ( PHY_47 VGND ) ( PHY_46 VNB ) ( PHY_46 VGND ) ( PHY_45 VNB ) ( PHY_45 VGND ) ( PHY_44 VNB )
( PHY_44 VGND ) ( PHY_43 VNB ) ( PHY_43 VGND ) ( PHY_42 VNB ) ( PHY_42 VGND ) ( PHY_41 VNB ) ( PHY_41 VGND ) ( PHY_40 VNB )
( PHY_40 VGND ) ( PHY_39 VNB ) ( PHY_39 VGND ) ( PHY_38 VNB ) ( PHY_38 VGND ) ( PHY_37 VNB ) ( PHY_37 VGND ) ( PHY_36 VNB )
( PHY_36 VGND ) ( PHY_35 VNB ) ( PHY_35 VGND ) ( PHY_34 VNB ) ( PHY_34 VGND ) ( PHY_33 VNB ) ( PHY_33 VGND ) ( PHY_32 VNB )
( PHY_32 VGND ) ( PHY_31 VNB ) ( PHY_31 VGND ) ( PHY_30 VNB ) ( PHY_30 VGND ) ( PHY_29 VNB ) ( PHY_29 VGND ) ( PHY_28 VNB )
( PHY_28 VGND ) ( PHY_27 VNB ) ( PHY_27 VGND ) ( PHY_26 VNB ) ( PHY_26 VGND ) ( PHY_25 VNB ) ( PHY_25 VGND ) ( PHY_24 VNB )
( PHY_24 VGND ) ( PHY_23 VNB ) ( PHY_23 VGND ) ( PHY_22 VNB ) ( PHY_22 VGND ) ( PHY_21 VNB ) ( PHY_21 VGND ) ( PHY_20 VNB )
( PHY_20 VGND ) ( PHY_19 VNB ) ( PHY_19 VGND ) ( PHY_18 VNB ) ( PHY_18 VGND ) ( PHY_17 VNB ) ( PHY_17 VGND ) ( PHY_16 VNB )
( PHY_16 VGND ) ( PHY_15 VNB ) ( PHY_15 VGND ) ( PHY_14 VNB ) ( PHY_14 VGND ) ( PHY_13 VNB ) ( PHY_13 VGND ) ( PHY_12 VNB )
( PHY_12 VGND ) ( PHY_11 VNB ) ( PHY_11 VGND ) ( PHY_10 VNB ) ( PHY_10 VGND ) ( PHY_9 VNB ) ( PHY_9 VGND ) ( PHY_8 VNB )
( PHY_8 VGND ) ( PHY_7 VNB ) ( PHY_7 VGND ) ( PHY_6 VNB ) ( PHY_6 VGND ) ( PHY_5 VNB ) ( PHY_5 VGND ) ( PHY_4 VNB )
( PHY_4 VGND ) ( PHY_3 VNB ) ( PHY_3 VGND ) ( PHY_2 VNB ) ( PHY_2 VGND ) ( PHY_1 VNB ) ( PHY_1 VGND ) ( PHY_0 VNB )
( PHY_0 VGND ) ( gpio_logic_high VNB ) ( gpio_logic_high VGND ) ( gpio_in_buf VNB ) ( gpio_in_buf VGND ) ( _107_ VNB ) ( _107_ VGND ) ( _106_ VNB )
( _106_ VGND ) ( _105_ VNB ) ( _105_ VGND ) ( _104_ VNB ) ( _104_ VGND ) ( _103_ VNB ) ( _103_ VGND ) ( _102_ VNB )
( _102_ VGND ) ( _101_ VNB ) ( _101_ VGND ) ( _100_ VNB ) ( _100_ VGND ) ( _099_ VNB ) ( _099_ VGND ) ( _098_ VNB )
( _098_ VGND ) ( _097_ VNB ) ( _097_ VGND ) ( _096_ VNB ) ( _096_ VGND ) ( _095_ VNB ) ( _095_ VGND ) ( _094_ VNB )
( _094_ VGND ) ( _093_ VNB ) ( _093_ VGND ) ( _092_ VNB ) ( _092_ VGND ) ( _091_ VNB ) ( _091_ VGND ) ( _090_ VNB )
( _090_ VGND ) ( _089_ VNB ) ( _089_ VGND ) ( _088_ VNB ) ( _088_ VGND ) ( _087_ VNB ) ( _087_ VGND ) ( _086_ VNB )
( _086_ VGND ) ( _085_ VNB ) ( _085_ VGND ) ( _084_ VNB ) ( _084_ VGND ) ( _083_ VNB ) ( _083_ VGND ) ( _082_ VNB )
( _082_ VGND ) ( _081_ VNB ) ( _081_ VGND ) ( _080_ VNB ) ( _080_ VGND ) ( _079_ VNB ) ( _079_ VGND ) ( _078_ VNB )
( _078_ VGND ) ( _077_ VNB ) ( _077_ VGND ) ( _076_ VNB ) ( _076_ VGND ) ( _075_ VNB ) ( _075_ VGND ) ( _074_ VNB )
( _074_ VGND ) ( _073_ VNB ) ( _073_ VGND ) ( _072_ VNB ) ( _072_ VGND ) ( _071_ VNB ) ( _071_ VGND ) ( _070_ VNB )
( _070_ VGND ) ( _069_ VNB ) ( _069_ VGND ) ( _068_ VNB ) ( _068_ VGND ) ( _067_ VNB ) ( _067_ VGND ) ( _066_ VNB )
( _066_ VGND ) ( _065_ VNB ) ( _065_ VGND ) ( _064_ VNB ) ( _064_ VGND ) ( _063_ VNB ) ( _063_ VGND ) ( _062_ VNB )
( _062_ VGND ) ( _061_ VNB ) ( _061_ VGND ) ( _060_ VNB ) ( _060_ VGND ) ( _059_ VNB ) ( _059_ VGND ) ( _058_ VNB )
( _058_ VGND ) ( _057_ VNB ) ( _057_ VGND ) ( _056_ VNB ) ( _056_ VGND ) ( _055_ VNB ) ( _055_ VGND ) ( _054_ VNB )
( _054_ VGND ) ( _053_ VNB ) ( _053_ VGND ) ( _052_ VNB ) ( _052_ VGND ) ( _051_ VNB ) ( _051_ VGND ) ( _050_ VNB )
( _050_ VGND ) ( _049_ VNB ) ( _049_ VGND ) ( _048_ VNB ) ( _048_ VGND ) ( _047_ VNB ) ( _047_ VGND ) ( _046_ VNB )
( _046_ VGND ) ( _045_ VNB ) ( _045_ VGND ) ( _044_ VNB ) ( _044_ VGND ) ( _043_ VNB ) ( _043_ VGND ) ( _042_ VNB )
( _042_ VGND ) ( _041_ VNB ) ( _041_ VGND ) ( _040_ VNB ) ( _040_ VGND ) ( _039_ VNB ) ( _039_ VGND ) + USE GROUND ;
- _000_ ( gpio_in_buf A ) ( _077_ Y ) + USE SIGNAL
+ ROUTED met2 ( 37030 77690 ) ( * 83130 )
NEW li1 ( 37030 77690 ) L1M1_PR_MR
NEW met1 ( 37030 77690 ) M1M2_PR
NEW li1 ( 37030 83130 ) L1M1_PR_MR
NEW met1 ( 37030 83130 ) M1M2_PR
NEW met1 ( 37030 77690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37030 83130 ) RECT ( -355 -70 0 70 ) ;
- _001_ ( _082_ SET_B ) ( _069_ X ) + USE SIGNAL
+ ROUTED met2 ( 35190 48450 ) ( * 50830 )
NEW met1 ( 32430 50830 0 ) ( 35190 * )
NEW met1 ( 35190 50830 ) M1M2_PR
NEW li1 ( 35190 48450 ) L1M1_PR_MR
NEW met1 ( 35190 48450 ) M1M2_PR
NEW met1 ( 35190 48450 ) RECT ( -355 -70 0 70 ) ;
- _002_ ( _083_ RESET_B ) ( _068_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 55250 ) ( 12190 * )
NEW met2 ( 10810 52530 ) ( * 55250 )
NEW li1 ( 12190 55250 ) L1M1_PR_MR
NEW met1 ( 10810 55250 ) M1M2_PR
NEW met1 ( 10810 52530 ) M1M2_PR ;
- _003_ ( _084_ RESET_B ) ( _067_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 98430 ) ( 11730 * )
NEW met2 ( 10810 96050 ) ( * 98430 )
NEW li1 ( 11730 98430 ) L1M1_PR_MR
NEW met1 ( 10810 98430 ) M1M2_PR
NEW met1 ( 10810 96050 ) M1M2_PR ;
- _004_ ( _085_ RESET_B ) ( _066_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 94690 ) ( * 101490 )
NEW met1 ( 9890 101490 ) ( 10810 * 0 )
NEW li1 ( 9890 94690 ) L1M1_PR_MR
NEW met1 ( 9890 94690 ) M1M2_PR
NEW met1 ( 9890 101490 ) M1M2_PR
NEW met1 ( 9890 94690 ) RECT ( -355 -70 0 70 ) ;
- _005_ ( _086_ RESET_B ) ( _065_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 76670 ) ( 11730 * )
NEW met2 ( 10810 74290 ) ( * 76670 )
NEW li1 ( 11730 76670 ) L1M1_PR_MR
NEW met1 ( 10810 76670 ) M1M2_PR
NEW met1 ( 10810 74290 ) M1M2_PR ;
- _006_ ( _087_ RESET_B ) ( _063_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 67490 ) ( 15410 * )
NEW met2 ( 14950 67490 ) ( * 68850 )
NEW li1 ( 15410 67490 ) L1M1_PR_MR
NEW met1 ( 14950 67490 ) M1M2_PR
NEW met1 ( 14950 68850 ) M1M2_PR ;
- _007_ ( _088_ SET_B ) ( _062_ X ) + USE SIGNAL
+ ROUTED met1 ( 31970 62050 ) ( 32890 * )
NEW met2 ( 32890 62050 ) ( * 67150 )
NEW li1 ( 31970 62050 ) L1M1_PR_MR
NEW met1 ( 32890 62050 ) M1M2_PR
NEW met1 ( 32890 67150 ) M1M2_PR ;
- _008_ ( _089_ SET_B ) ( _061_ X ) + USE SIGNAL
+ ROUTED met2 ( 26450 83810 ) ( * 88910 )
NEW li1 ( 26450 83810 ) L1M1_PR_MR
NEW met1 ( 26450 83810 ) M1M2_PR
NEW met1 ( 26450 88910 ) M1M2_PR
NEW met1 ( 26450 83810 ) RECT ( -355 -70 0 70 ) ;
- _009_ ( _090_ RESET_B ) ( _060_ X ) + USE SIGNAL
+ ROUTED met2 ( 26450 62050 ) ( * 72590 )
NEW li1 ( 26450 62050 ) L1M1_PR_MR
NEW met1 ( 26450 62050 ) M1M2_PR
NEW met1 ( 26450 72590 ) M1M2_PR
NEW met1 ( 26450 62050 ) RECT ( -355 -70 0 70 ) ;
- _010_ ( _091_ RESET_B ) ( _059_ X ) + USE SIGNAL
+ ROUTED met1 ( 25070 68510 ) ( 25990 * )
NEW met2 ( 25990 63410 ) ( * 68510 )
NEW li1 ( 25070 68510 ) L1M1_PR_MR
NEW met1 ( 25990 68510 ) M1M2_PR
NEW met1 ( 25990 63410 ) M1M2_PR ;
- _011_ ( _092_ RESET_B ) ( _057_ X ) + USE SIGNAL
+ ROUTED met2 ( 15410 18530 ) ( * 19890 )
NEW met1 ( 14490 19890 0 ) ( 15410 * )
NEW li1 ( 15410 18530 ) L1M1_PR_MR
NEW met1 ( 15410 18530 ) M1M2_PR
NEW met1 ( 15410 19890 ) M1M2_PR
NEW met1 ( 15410 18530 ) RECT ( -355 -70 0 70 ) ;
- _012_ ( _093_ RESET_B ) ( _056_ X ) + USE SIGNAL
+ ROUTED met1 ( 15410 23970 ) ( 16790 * )
NEW met2 ( 16790 23970 ) ( * 25330 )
NEW li1 ( 15410 23970 ) L1M1_PR_MR
NEW met1 ( 16790 23970 ) M1M2_PR
NEW met1 ( 16790 25330 ) M1M2_PR ;
- _013_ ( _094_ RESET_B ) ( _055_ X ) + USE SIGNAL
+ ROUTED met1 ( 22770 15810 ) ( 23230 * )
NEW met2 ( 23230 15810 ) ( * 23630 )
NEW met1 ( 23230 23630 ) ( 24150 * 0 )
NEW li1 ( 22770 15810 ) L1M1_PR_MR
NEW met1 ( 23230 15810 ) M1M2_PR
NEW met1 ( 23230 23630 ) M1M2_PR ;
- _014_ ( _095_ RESET_B ) ( _054_ X ) + USE SIGNAL
+ ROUTED met1 ( 27830 37570 ) ( 28750 * )
NEW met2 ( 28750 37570 ) ( * 39610 )
NEW li1 ( 27830 37570 ) L1M1_PR_MR
NEW met1 ( 28750 37570 ) M1M2_PR
NEW met1 ( 28750 39610 ) M1M2_PR ;
- _015_ ( _096_ RESET_B ) ( _053_ X ) + USE SIGNAL
+ ROUTED met2 ( 28750 43010 ) ( * 45390 )
NEW li1 ( 28750 43010 ) L1M1_PR_MR
NEW met1 ( 28750 43010 ) M1M2_PR
NEW met1 ( 28750 45390 ) M1M2_PR
NEW met1 ( 28750 43010 ) RECT ( -355 -70 0 70 ) ;
- _016_ ( _097_ RESET_B ) ( _051_ X ) + USE SIGNAL
+ ROUTED met2 ( 15410 45730 ) ( * 47090 )
NEW met1 ( 15410 47090 ) ( 16330 * 0 )
NEW li1 ( 15410 45730 ) L1M1_PR_MR
NEW met1 ( 15410 45730 ) M1M2_PR
NEW met1 ( 15410 47090 ) M1M2_PR
NEW met1 ( 15410 45730 ) RECT ( -355 -70 0 70 ) ;
- _017_ ( _098_ RESET_B ) ( _050_ X ) + USE SIGNAL
+ ROUTED met2 ( 9890 51170 ) ( * 57970 )
NEW met1 ( 9890 57970 ) ( 10810 * 0 )
NEW li1 ( 9890 51170 ) L1M1_PR_MR
NEW met1 ( 9890 51170 ) M1M2_PR
NEW met1 ( 9890 57970 ) M1M2_PR
NEW met1 ( 9890 51170 ) RECT ( -355 -70 0 70 ) ;
- _018_ ( _099_ RESET_B ) ( _049_ X ) + USE SIGNAL
+ ROUTED met1 ( 11730 62050 ) ( 13570 * )
NEW met2 ( 13570 62050 ) ( * 79730 )
NEW li1 ( 11730 62050 ) L1M1_PR_MR
NEW met1 ( 13570 62050 ) M1M2_PR
NEW met1 ( 13570 79730 ) M1M2_PR ;
- _019_ ( _100_ RESET_B ) ( _048_ X ) + USE SIGNAL
+ ROUTED met1 ( 10810 33150 ) ( 11730 * )
NEW met2 ( 10810 30770 ) ( * 33150 )
NEW li1 ( 11730 33150 ) L1M1_PR_MR
NEW met1 ( 10810 33150 ) M1M2_PR
NEW met1 ( 10810 30770 ) M1M2_PR ;
- _020_ ( _101_ RESET_B ) ( _047_ X ) + USE SIGNAL
+ ROUTED met1 ( 12190 29410 ) ( 13570 * )
NEW met2 ( 13570 29410 ) ( * 36210 )
NEW li1 ( 12190 29410 ) L1M1_PR_MR
NEW met1 ( 13570 29410 ) M1M2_PR
NEW met1 ( 13570 36210 ) M1M2_PR ;
- _021_ ( _102_ RESET_B ) ( _045_ X ) + USE SIGNAL
+ ROUTED met1 ( 22310 29070 ) ( 24150 * 0 )
NEW met2 ( 22310 29070 ) ( * 35870 )
NEW met1 ( 22310 29070 ) M1M2_PR
NEW li1 ( 22310 35870 ) L1M1_PR_MR
NEW met1 ( 22310 35870 ) M1M2_PR
NEW met1 ( 22310 35870 ) RECT ( -355 -70 0 70 ) ;
- _022_ ( _103_ RESET_B ) ( _044_ X ) + USE SIGNAL
+ ROUTED met2 ( 27830 97410 ) ( * 99110 )
NEW met1 ( 27830 99110 ) ( * 99450 )
NEW met1 ( 27370 99450 0 ) ( 27830 * )
NEW li1 ( 27830 97410 ) L1M1_PR_MR
NEW met1 ( 27830 97410 ) M1M2_PR
NEW met1 ( 27830 99110 ) M1M2_PR
NEW met1 ( 27830 97410 ) RECT ( -355 -70 0 70 ) ;
- _023_ ( _104_ RESET_B ) ( _043_ X ) + USE SIGNAL
+ ROUTED met2 ( 15410 90950 ) ( * 92990 )
NEW met1 ( 14030 90950 0 ) ( 15410 * )
NEW li1 ( 15410 92990 ) L1M1_PR_MR
NEW met1 ( 15410 92990 ) M1M2_PR
NEW met1 ( 15410 90950 ) M1M2_PR
NEW met1 ( 15410 92990 ) RECT ( -355 -70 0 70 ) ;
- _024_ ( _105_ RESET_B ) ( _042_ X ) + USE SIGNAL
+ ROUTED met2 ( 22310 94350 ) ( * 95710 )
NEW met1 ( 22310 94350 ) ( 24150 * 0 )
NEW li1 ( 22310 95710 ) L1M1_PR_MR
NEW met1 ( 22310 95710 ) M1M2_PR
NEW met1 ( 22310 94350 ) M1M2_PR
NEW met1 ( 22310 95710 ) RECT ( -355 -70 0 70 ) ;
- _025_ ( _106_ RESET_B ) ( _045_ A ) ( _044_ A ) ( _043_ A ) ( _042_ A ) ( _041_ X ) + USE SIGNAL
+ ROUTED met1 ( 27830 46750 ) ( * 47090 )
NEW met1 ( 23230 47090 ) ( 27830 * )
NEW met1 ( 21390 36550 ) ( 23230 * )
NEW met2 ( 23230 36550 ) ( * 47090 )
NEW met2 ( 22770 84660 ) ( * 85510 )
NEW met2 ( 22770 84660 ) ( 23230 * )
NEW met1 ( 21390 96390 ) ( 22770 * )
NEW met2 ( 22770 85510 ) ( * 96390 )
NEW met1 ( 22770 96390 ) ( 26910 * )
NEW met1 ( 14490 94010 ) ( * 94690 )
NEW met1 ( 14490 94690 ) ( 22770 * )
NEW met2 ( 23230 47090 ) ( * 84660 )
NEW li1 ( 27830 46750 ) L1M1_PR_MR
NEW met1 ( 23230 47090 ) M1M2_PR
NEW li1 ( 21390 36550 ) L1M1_PR_MR
NEW met1 ( 23230 36550 ) M1M2_PR
NEW met1 ( 22770 85510 ) M1M2_PR
NEW li1 ( 21390 96390 ) L1M1_PR_MR
NEW met1 ( 22770 96390 ) M1M2_PR
NEW li1 ( 26910 96390 ) L1M1_PR_MR
NEW li1 ( 14490 94010 ) L1M1_PR_MR
NEW met1 ( 22770 94690 ) M1M2_PR
NEW met2 ( 22770 94690 ) RECT ( -70 -485 70 0 ) ;
- _026_ ( _107_ RESET_B ) ( _081_ X ) + USE SIGNAL
+ ROUTED met1 ( 14950 64770 ) ( 15870 * )
NEW met2 ( 15870 64770 ) ( * 77010 )
NEW met1 ( 15870 77010 ) ( 20930 * )
NEW met1 ( 20930 77010 ) ( * 77350 )
NEW met1 ( 20930 77350 ) ( 27370 * )
NEW met1 ( 27370 77350 ) ( * 77690 0 )
NEW li1 ( 14950 64770 ) L1M1_PR_MR
NEW met1 ( 15870 64770 ) M1M2_PR
NEW met1 ( 15870 77010 ) M1M2_PR ;
- _027_ ( _064_ A ) ( _040_ A ) ( _039_ X ) + USE SIGNAL
+ ROUTED met2 ( 22310 41990 ) ( * 44030 )
NEW met2 ( 26450 21250 ) ( * 44030 )
NEW met1 ( 36570 44030 ) ( * 45050 )
NEW met1 ( 36570 45050 ) ( 36600 * )
NEW met1 ( 22310 44030 ) ( 36570 * )
NEW li1 ( 26450 21250 ) L1M1_PR_MR
NEW met1 ( 26450 21250 ) M1M2_PR
NEW li1 ( 22310 41990 ) L1M1_PR_MR
NEW met1 ( 22310 41990 ) M1M2_PR
NEW met1 ( 22310 44030 ) M1M2_PR
NEW met1 ( 26450 44030 ) M1M2_PR
NEW li1 ( 36600 45050 ) L1M1_PR_MR
NEW met1 ( 26450 21250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22310 41990 ) RECT ( -355 -70 0 70 )
NEW met1 ( 26450 44030 ) RECT ( -595 -70 0 70 ) ;
- _028_ ( _081_ A ) ( _058_ A ) ( _052_ A ) ( _046_ A ) ( _041_ A ) ( _040_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 50490 ) ( 14950 * )
NEW met1 ( 14950 41990 ) ( 16790 * )
NEW met2 ( 14950 41990 ) ( * 50490 )
NEW met1 ( 16790 42670 ) ( 23230 * )
NEW met1 ( 16790 41990 ) ( * 42670 )
NEW met1 ( 20470 47430 ) ( 26910 * )
NEW met2 ( 20470 42670 ) ( * 47430 )
NEW met1 ( 14030 63750 ) ( 14950 * )
NEW met2 ( 14950 63750 ) ( * 66810 )
NEW met1 ( 14950 66810 ) ( 20470 * )
NEW met2 ( 14950 50490 ) ( * 63750 )
NEW li1 ( 14490 50490 ) L1M1_PR_MR
NEW met1 ( 14950 50490 ) M1M2_PR
NEW li1 ( 16790 41990 ) L1M1_PR_MR
NEW met1 ( 14950 41990 ) M1M2_PR
NEW li1 ( 23230 42670 ) L1M1_PR_MR
NEW li1 ( 26910 47430 ) L1M1_PR_MR
NEW met1 ( 20470 47430 ) M1M2_PR
NEW met1 ( 20470 42670 ) M1M2_PR
NEW li1 ( 14030 63750 ) L1M1_PR_MR
NEW met1 ( 14950 63750 ) M1M2_PR
NEW met1 ( 14950 66810 ) M1M2_PR
NEW li1 ( 20470 66810 ) L1M1_PR_MR
NEW met1 ( 20470 42670 ) RECT ( -595 -70 0 70 ) ;
- _029_ ( _051_ A ) ( _050_ A ) ( _049_ A ) ( _048_ A ) ( _047_ A ) ( _046_ X ) + USE SIGNAL
+ ROUTED met1 ( 9890 34170 ) ( 10810 * )
NEW met2 ( 9890 28730 ) ( * 34170 )
NEW met1 ( 9890 28730 ) ( 11270 * )
NEW met1 ( 14490 44710 ) ( * 45050 )
NEW met1 ( 10810 44710 ) ( 14490 * )
NEW met1 ( 13570 49470 ) ( 15410 * )
NEW met2 ( 13570 44710 ) ( * 49470 )
NEW met1 ( 8970 50490 ) ( 13570 * )
NEW met2 ( 13570 49470 ) ( * 50490 )
NEW met1 ( 10350 61370 ) ( 10810 * )
NEW met2 ( 10350 50490 ) ( * 61370 )
NEW met2 ( 10810 34170 ) ( * 44710 )
NEW li1 ( 10810 34170 ) L1M1_PR_MR
NEW met1 ( 9890 34170 ) M1M2_PR
NEW met1 ( 9890 28730 ) M1M2_PR
NEW li1 ( 11270 28730 ) L1M1_PR_MR
NEW met1 ( 10810 34170 ) M1M2_PR
NEW li1 ( 14490 45050 ) L1M1_PR_MR
NEW met1 ( 10810 44710 ) M1M2_PR
NEW li1 ( 15410 49470 ) L1M1_PR_MR
NEW met1 ( 13570 49470 ) M1M2_PR
NEW met1 ( 13570 44710 ) M1M2_PR
NEW li1 ( 8970 50490 ) L1M1_PR_MR
NEW met1 ( 13570 50490 ) M1M2_PR
NEW li1 ( 10810 61370 ) L1M1_PR_MR
NEW met1 ( 10350 61370 ) M1M2_PR
NEW met1 ( 10350 50490 ) M1M2_PR
NEW met1 ( 10810 34170 ) RECT ( -595 -70 0 70 )
NEW met1 ( 13570 44710 ) RECT ( -595 -70 0 70 )
NEW met1 ( 10350 50490 ) RECT ( -595 -70 0 70 ) ;
- _030_ ( _057_ A ) ( _056_ A ) ( _055_ A ) ( _054_ A ) ( _053_ A ) ( _052_ X ) + USE SIGNAL
+ ROUTED met1 ( 14490 23290 ) ( 14950 * )
NEW met2 ( 14950 23290 ) ( * 29580 )
NEW met2 ( 14950 29580 ) ( 15870 * )
NEW met1 ( 14490 17850 ) ( 14950 * )
NEW met2 ( 14950 17850 ) ( * 23290 )
NEW met1 ( 14950 14790 ) ( 21850 * )
NEW met2 ( 14950 14790 ) ( * 17850 )
NEW met1 ( 15870 41310 ) ( 17710 * )
NEW met1 ( 26910 36550 ) ( * 36890 )
NEW met1 ( 15870 36890 ) ( 26910 * )
NEW met1 ( 27830 41650 ) ( * 41990 )
NEW met1 ( 17710 41650 ) ( 27830 * )
NEW met1 ( 17710 41310 ) ( * 41650 )
NEW met2 ( 15870 29580 ) ( * 41310 )
NEW li1 ( 14490 23290 ) L1M1_PR_MR
NEW met1 ( 14950 23290 ) M1M2_PR
NEW li1 ( 14490 17850 ) L1M1_PR_MR
NEW met1 ( 14950 17850 ) M1M2_PR
NEW li1 ( 21850 14790 ) L1M1_PR_MR
NEW met1 ( 14950 14790 ) M1M2_PR
NEW li1 ( 17710 41310 ) L1M1_PR_MR
NEW met1 ( 15870 41310 ) M1M2_PR
NEW li1 ( 26910 36550 ) L1M1_PR_MR
NEW met1 ( 15870 36890 ) M1M2_PR
NEW li1 ( 27830 41990 ) L1M1_PR_MR
NEW met2 ( 15870 36890 ) RECT ( -70 -485 70 0 ) ;
- _031_ ( _063_ A ) ( _062_ A ) ( _061_ A ) ( _060_ A ) ( _059_ A ) ( _058_ X ) + USE SIGNAL
+ ROUTED met1 ( 23690 61370 ) ( 25530 * )
NEW met1 ( 25530 61370 ) ( 31050 * )
NEW met1 ( 23690 69190 ) ( 24150 * )
NEW met2 ( 23690 69190 ) ( * 83130 )
NEW met1 ( 23690 83130 ) ( 25530 * )
NEW met1 ( 21390 67490 ) ( 23690 * )
NEW met2 ( 23690 67490 ) ( * 69190 )
NEW met1 ( 14490 66810 ) ( * 67150 )
NEW met1 ( 14490 67150 ) ( 21390 * )
NEW met1 ( 21390 67150 ) ( * 67490 )
NEW met2 ( 23690 61370 ) ( * 67490 )
NEW li1 ( 25530 61370 ) L1M1_PR_MR
NEW met1 ( 23690 61370 ) M1M2_PR
NEW li1 ( 31050 61370 ) L1M1_PR_MR
NEW li1 ( 24150 69190 ) L1M1_PR_MR
NEW met1 ( 23690 69190 ) M1M2_PR
NEW met1 ( 23690 83130 ) M1M2_PR
NEW li1 ( 25530 83130 ) L1M1_PR_MR
NEW li1 ( 21390 67490 ) L1M1_PR_MR
NEW met1 ( 23690 67490 ) M1M2_PR
NEW li1 ( 14490 66810 ) L1M1_PR_MR ;
- _032_ ( _069_ A ) ( _068_ A ) ( _067_ A ) ( _066_ A ) ( _065_ A ) ( _064_ X ) + USE SIGNAL
+ ROUTED met1 ( 8970 99450 ) ( 10810 * )
NEW met2 ( 8970 94010 ) ( * 99450 )
NEW met1 ( 8970 77690 ) ( 10810 * )
NEW met1 ( 9430 55930 ) ( 11270 * )
NEW met2 ( 9430 55930 ) ( * 77690 )
NEW met2 ( 8970 77690 ) ( 9430 * )
NEW met1 ( 34270 47430 ) ( * 47770 )
NEW met1 ( 23230 47770 ) ( 34270 * )
NEW met1 ( 23230 47770 ) ( * 48450 )
NEW met1 ( 13110 48450 ) ( 23230 * )
NEW met1 ( 13110 48110 ) ( * 48450 )
NEW met1 ( 9430 48110 ) ( 13110 * )
NEW met2 ( 9430 48110 ) ( * 55930 )
NEW met2 ( 8970 77690 ) ( * 94010 )
NEW met2 ( 37490 45730 ) ( * 47430 )
NEW met1 ( 34270 47430 ) ( 37490 * )
NEW li1 ( 8970 94010 ) L1M1_PR_MR
NEW met1 ( 8970 94010 ) M1M2_PR
NEW li1 ( 10810 99450 ) L1M1_PR_MR
NEW met1 ( 8970 99450 ) M1M2_PR
NEW li1 ( 10810 77690 ) L1M1_PR_MR
NEW met1 ( 8970 77690 ) M1M2_PR
NEW li1 ( 11270 55930 ) L1M1_PR_MR
NEW met1 ( 9430 55930 ) M1M2_PR
NEW li1 ( 34270 47430 ) L1M1_PR_MR
NEW met1 ( 9430 48110 ) M1M2_PR
NEW met1 ( 37490 47430 ) M1M2_PR
NEW li1 ( 37490 45730 ) L1M1_PR_MR
NEW met1 ( 37490 45730 ) M1M2_PR
NEW met1 ( 8970 94010 ) RECT ( -355 -70 0 70 )
NEW met1 ( 37490 45730 ) RECT ( -355 -70 0 70 ) ;
- _033_ ( _077_ A ) ( _076_ B1 ) ( _071_ B2 ) ( _070_ Y ) + USE SIGNAL
+ ROUTED met2 ( 38410 77690 ) ( * 79390 )
NEW met1 ( 28750 79730 ) ( * 80070 )
NEW met1 ( 28750 79730 ) ( 38410 * )
NEW met1 ( 38410 79390 ) ( * 79730 )
NEW met2 ( 29210 74970 ) ( * 79730 )
NEW li1 ( 38410 77690 ) L1M1_PR_MR
NEW met1 ( 38410 77690 ) M1M2_PR
NEW li1 ( 38410 79390 ) L1M1_PR_MR
NEW met1 ( 38410 79390 ) M1M2_PR
NEW li1 ( 28750 80070 ) L1M1_PR_MR
NEW li1 ( 29210 74970 ) L1M1_PR_MR
NEW met1 ( 29210 74970 ) M1M2_PR
NEW met1 ( 29210 79730 ) M1M2_PR
NEW met1 ( 38410 77690 ) RECT ( -355 -70 0 70 )
NEW met1 ( 38410 79390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 29210 74970 ) RECT ( -355 -70 0 70 )
NEW met1 ( 29210 79730 ) RECT ( -595 -70 0 70 ) ;
- _034_ ( _073_ B ) ( _072_ Y ) + USE SIGNAL
+ ROUTED met1 ( 34730 64770 ) ( 35190 * )
NEW met2 ( 35190 64770 ) ( * 68850 )
NEW li1 ( 34730 64770 ) L1M1_PR_MR
NEW met1 ( 35190 64770 ) M1M2_PR
NEW li1 ( 35190 68850 ) L1M1_PR_MR
NEW met1 ( 35190 68850 ) M1M2_PR
NEW met1 ( 35190 68850 ) RECT ( -355 -70 0 70 ) ;
- _035_ ( _075_ B ) ( _074_ B ) ( _073_ X ) + USE SIGNAL
+ ROUTED met2 ( 36110 70210 ) ( * 72250 )
NEW met1 ( 36110 70210 ) ( 36570 * )
NEW met2 ( 35650 73780 ) ( * 74630 )
NEW met2 ( 35650 73780 ) ( 36110 * )
NEW met2 ( 36110 72250 ) ( * 73780 )
NEW li1 ( 36110 72250 ) L1M1_PR_MR
NEW met1 ( 36110 72250 ) M1M2_PR
NEW met1 ( 36110 70210 ) M1M2_PR
NEW li1 ( 36570 70210 ) L1M1_PR_MR
NEW li1 ( 35650 74630 ) L1M1_PR_MR
NEW met1 ( 35650 74630 ) M1M2_PR
NEW met1 ( 36110 72250 ) RECT ( -355 -70 0 70 )
NEW met1 ( 35650 74630 ) RECT ( -355 -70 0 70 ) ;
- _036_ ( _076_ A2 ) ( _074_ X ) + USE SIGNAL
+ ROUTED met1 ( 25990 80070 ) ( * 80410 )
NEW met1 ( 25990 80410 ) ( 27830 * )
NEW met2 ( 27830 72930 ) ( * 80410 )
NEW met1 ( 27830 72930 ) ( 37490 * )
NEW li1 ( 25990 80070 ) L1M1_PR_MR
NEW met1 ( 27830 80410 ) M1M2_PR
NEW met1 ( 27830 72930 ) M1M2_PR
NEW li1 ( 37490 72930 ) L1M1_PR_MR ;
- _037_ ( _076_ A3 ) ( _075_ Y ) + USE SIGNAL
+ ROUTED met1 ( 28290 75650 ) ( 34730 * )
NEW met2 ( 28290 75650 ) ( * 79730 )
NEW met1 ( 25070 79730 ) ( 28290 * )
NEW met1 ( 25070 79730 ) ( * 80070 )
NEW li1 ( 34730 75650 ) L1M1_PR_MR
NEW met1 ( 28290 75650 ) M1M2_PR
NEW met1 ( 28290 79730 ) M1M2_PR
NEW li1 ( 25070 80070 ) L1M1_PR_MR ;
- _038_ ( _079_ B ) ( _078_ Y ) + USE SIGNAL
+ ROUTED met2 ( 34730 17850 ) ( * 19550 )
NEW met1 ( 25530 17850 ) ( 34730 * )
NEW li1 ( 25530 17850 ) L1M1_PR_MR
NEW met1 ( 34730 17850 ) M1M2_PR
NEW li1 ( 34730 19550 ) L1M1_PR_MR
NEW met1 ( 34730 19550 ) M1M2_PR
NEW met1 ( 34730 19550 ) RECT ( 0 -70 355 70 ) ;
- gpio_logic1 ( gpio_logic_high HI ) ( gpio_in_buf TE ) + USE SIGNAL
+ ROUTED met1 ( 31050 83130 ) ( 35650 * )
NEW met2 ( 35650 83130 ) ( * 88230 )
NEW li1 ( 31050 83130 ) L1M1_PR_MR
NEW met1 ( 35650 83130 ) M1M2_PR
NEW li1 ( 35650 88230 ) L1M1_PR_MR
NEW met1 ( 35650 88230 ) M1M2_PR
NEW met1 ( 35650 88230 ) RECT ( -355 -70 0 70 ) ;
- gpio_outenb ( _088_ Q ) ( _071_ A1 ) + USE SIGNAL
+ ROUTED met1 ( 26910 74290 ) ( * 74630 )
NEW met1 ( 26910 74290 ) ( 30130 * )
NEW met2 ( 30130 66470 ) ( * 74290 )
NEW met1 ( 30130 66470 ) ( 34730 * )
NEW li1 ( 26910 74630 ) L1M1_PR_MR
NEW met1 ( 30130 74290 ) M1M2_PR
NEW met1 ( 30130 66470 ) M1M2_PR
NEW li1 ( 34730 66470 ) L1M1_PR_MR ;
- load_data ( _094_ CLK ) ( _093_ CLK ) ( _092_ CLK ) ( _091_ CLK ) ( _090_ CLK ) ( _089_ CLK ) ( _088_ CLK )
( _087_ CLK ) ( _086_ CLK ) ( _085_ CLK ) ( _084_ CLK ) ( _083_ CLK ) ( _082_ CLK ) ( _079_ X ) + USE SIGNAL
+ ROUTED met2 ( 20470 16830 ) ( * 22950 )
NEW met1 ( 20470 16830 ) ( 26450 * )
NEW met1 ( 13110 25330 ) ( * 25670 )
NEW met1 ( 13110 25330 ) ( 14030 * )
NEW met2 ( 14030 22950 ) ( * 25330 )
NEW met1 ( 14030 22950 ) ( 20470 * )
NEW met1 ( 7130 20570 ) ( 14030 * )
NEW met1 ( 14030 20570 ) ( * 20910 )
NEW met2 ( 14030 20910 ) ( * 22950 )
NEW met2 ( 20010 24140 ) ( 20470 * )
NEW met2 ( 20470 22950 ) ( * 24140 )
NEW met2 ( 7130 96390 ) ( * 101830 )
NEW met1 ( 19550 50150 ) ( 25530 * )
NEW met2 ( 19550 44540 ) ( * 50150 )
NEW met2 ( 19550 44540 ) ( 20010 * )
NEW met1 ( 9890 68510 ) ( * 69190 )
NEW met1 ( 7130 53210 ) ( 15870 * )
NEW met1 ( 15870 52870 ) ( * 53210 )
NEW met1 ( 15870 52870 ) ( 19550 * )
NEW met1 ( 7130 73950 ) ( * 74630 )
NEW met2 ( 7130 74630 ) ( * 96390 )
NEW met2 ( 20010 24140 ) ( * 44540 )
NEW met2 ( 17250 88570 ) ( * 91970 )
NEW met1 ( 17250 88570 ) ( 20930 * )
NEW met2 ( 15410 68510 ) ( * 73950 )
NEW met1 ( 15410 71910 ) ( 21390 * )
NEW met2 ( 19550 64090 ) ( * 71910 )
NEW met1 ( 19550 66470 ) ( 25990 * )
NEW met1 ( 9890 68510 ) ( 15410 * )
NEW met1 ( 7130 73950 ) ( 15410 * )
NEW met1 ( 7130 91970 ) ( 17250 * )
NEW met2 ( 19550 50150 ) ( * 64090 )
NEW li1 ( 20470 22950 ) L1M1_PR_MR
NEW met1 ( 20470 22950 ) M1M2_PR
NEW met1 ( 20470 16830 ) M1M2_PR
NEW li1 ( 26450 16830 ) L1M1_PR_MR
NEW li1 ( 13110 25670 ) L1M1_PR_MR
NEW met1 ( 14030 25330 ) M1M2_PR
NEW met1 ( 14030 22950 ) M1M2_PR
NEW li1 ( 7130 20570 ) L1M1_PR_MR
NEW met1 ( 14030 20910 ) M1M2_PR
NEW li1 ( 7130 96390 ) L1M1_PR_MR
NEW met1 ( 7130 96390 ) M1M2_PR
NEW li1 ( 7130 101830 ) L1M1_PR_MR
NEW met1 ( 7130 101830 ) M1M2_PR
NEW met1 ( 7130 91970 ) M1M2_PR
NEW li1 ( 25530 50150 ) L1M1_PR_MR
NEW met1 ( 19550 50150 ) M1M2_PR
NEW li1 ( 9890 69190 ) L1M1_PR_MR
NEW li1 ( 7130 53210 ) L1M1_PR_MR
NEW met1 ( 19550 52870 ) M1M2_PR
NEW li1 ( 7130 74630 ) L1M1_PR_MR
NEW met1 ( 7130 74630 ) M1M2_PR
NEW met1 ( 17250 91970 ) M1M2_PR
NEW met1 ( 17250 88570 ) M1M2_PR
NEW li1 ( 20930 88570 ) L1M1_PR_MR
NEW met1 ( 15410 68510 ) M1M2_PR
NEW met1 ( 15410 73950 ) M1M2_PR
NEW li1 ( 21390 71910 ) L1M1_PR_MR
NEW met1 ( 15410 71910 ) M1M2_PR
NEW li1 ( 19550 64090 ) L1M1_PR_MR
NEW met1 ( 19550 64090 ) M1M2_PR
NEW met1 ( 19550 71910 ) M1M2_PR
NEW li1 ( 25990 66470 ) L1M1_PR_MR
NEW met1 ( 19550 66470 ) M1M2_PR
NEW met1 ( 20470 22950 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 96390 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 101830 ) RECT ( -355 -70 0 70 )
NEW met2 ( 7130 91970 ) RECT ( -70 -485 70 0 )
NEW met2 ( 19550 52870 ) RECT ( -70 -485 70 0 )
NEW met1 ( 7130 74630 ) RECT ( -595 -70 0 70 )
NEW met2 ( 15410 71910 ) RECT ( -70 -485 70 0 )
NEW met1 ( 19550 64090 ) RECT ( -355 -70 0 70 )
NEW met1 ( 19550 71910 ) RECT ( -595 -70 0 70 )
NEW met2 ( 19550 66470 ) RECT ( -70 -485 70 0 ) ;
- mgmt_ena ( _082_ Q ) ( _080_ A ) ( _076_ A1 ) ( _071_ A3 ) ( _070_ A ) + USE SIGNAL
+ ROUTED met2 ( 34270 50150 ) ( * 52870 )
NEW met2 ( 33810 61540 ) ( 34270 * )
NEW met2 ( 34270 52870 ) ( * 61540 )
NEW met1 ( 34270 52870 ) ( 36110 * )
NEW met1 ( 25070 74630 ) ( 25530 * )
NEW met1 ( 25530 74630 ) ( * 75310 )
NEW met1 ( 25530 75310 ) ( 33810 * )
NEW met1 ( 26910 80070 ) ( 28290 * )
NEW met1 ( 28290 80070 ) ( * 80750 )
NEW met1 ( 28290 80750 ) ( 29670 * )
NEW met2 ( 29670 75310 ) ( * 80750 )
NEW met1 ( 29670 80070 ) ( 37950 * )
NEW met2 ( 33810 61540 ) ( * 75310 )
NEW met1 ( 34270 52870 ) M1M2_PR
NEW li1 ( 34270 50150 ) L1M1_PR_MR
NEW met1 ( 34270 50150 ) M1M2_PR
NEW li1 ( 36110 52870 ) L1M1_PR_MR
NEW li1 ( 25070 74630 ) L1M1_PR_MR
NEW met1 ( 33810 75310 ) M1M2_PR
NEW li1 ( 26910 80070 ) L1M1_PR_MR
NEW met1 ( 29670 80750 ) M1M2_PR
NEW met1 ( 29670 75310 ) M1M2_PR
NEW li1 ( 37950 80070 ) L1M1_PR_MR
NEW met1 ( 29670 80070 ) M1M2_PR
NEW met1 ( 34270 50150 ) RECT ( -355 -70 0 70 )
NEW met1 ( 29670 75310 ) RECT ( -595 -70 0 70 )
NEW met2 ( 29670 80070 ) RECT ( -70 -485 70 0 ) ;
- shift_register\[0\] ( _096_ D ) ( _095_ Q ) ( _082_ D ) + USE SIGNAL
+ ROUTED met2 ( 23690 40290 ) ( * 44710 )
NEW met1 ( 23690 40290 ) ( 31970 * )
NEW met1 ( 31970 39950 ) ( * 40290 )
NEW met1 ( 23690 50490 ) ( 27370 * )
NEW met2 ( 23690 44710 ) ( * 50490 )
NEW li1 ( 23690 44710 ) L1M1_PR_MR
NEW met1 ( 23690 44710 ) M1M2_PR
NEW met1 ( 23690 40290 ) M1M2_PR
NEW li1 ( 31970 39950 ) L1M1_PR_MR
NEW li1 ( 27370 50490 ) L1M1_PR_MR
NEW met1 ( 23690 50490 ) M1M2_PR
NEW met1 ( 23690 44710 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[10\] ( _106_ D ) ( _105_ Q ) ( _089_ D ) + USE SIGNAL
+ ROUTED met1 ( 22770 88230 ) ( 23690 * )
NEW met2 ( 23690 88230 ) ( * 93670 )
NEW met1 ( 23690 93670 ) ( 30590 * )
NEW met1 ( 17250 85850 ) ( 23690 * )
NEW met2 ( 23690 85850 ) ( * 88230 )
NEW li1 ( 22770 88230 ) L1M1_PR_MR
NEW met1 ( 23690 88230 ) M1M2_PR
NEW met1 ( 23690 93670 ) M1M2_PR
NEW li1 ( 30590 93670 ) L1M1_PR_MR
NEW li1 ( 17250 85850 ) L1M1_PR_MR
NEW met1 ( 23690 85850 ) M1M2_PR ;
- shift_register\[11\] ( _107_ D ) ( _106_ Q ) ( _090_ D ) + USE SIGNAL
+ ROUTED met1 ( 21850 78030 ) ( * 78370 )
NEW met1 ( 21850 78370 ) ( 25990 * )
NEW met2 ( 25990 78370 ) ( * 85170 )
NEW met2 ( 22770 72590 ) ( * 78370 )
NEW li1 ( 21850 78030 ) L1M1_PR_MR
NEW met1 ( 25990 78370 ) M1M2_PR
NEW li1 ( 25990 85170 ) L1M1_PR_MR
NEW met1 ( 25990 85170 ) M1M2_PR
NEW li1 ( 22770 72590 ) L1M1_PR_MR
NEW met1 ( 22770 72590 ) M1M2_PR
NEW met1 ( 22770 78370 ) M1M2_PR
NEW met1 ( 25990 85170 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22770 72590 ) RECT ( -355 -70 0 70 )
NEW met1 ( 22770 78370 ) RECT ( -595 -70 0 70 ) ;
- shift_register\[1\] ( _097_ D ) ( _096_ Q ) ( _088_ D ) + USE SIGNAL
+ ROUTED met1 ( 32430 45390 ) ( * 45730 )
NEW met1 ( 27830 45730 ) ( 32430 * )
NEW met1 ( 14030 46750 ) ( * 47090 )
NEW met1 ( 14030 46750 ) ( 27370 * )
NEW met2 ( 27370 46580 ) ( * 46750 )
NEW met2 ( 27370 46580 ) ( 27830 * )
NEW met2 ( 27830 45730 ) ( * 66470 )
NEW li1 ( 32430 45390 ) L1M1_PR_MR
NEW met1 ( 27830 45730 ) M1M2_PR
NEW li1 ( 14030 47090 ) L1M1_PR_MR
NEW met1 ( 27370 46750 ) M1M2_PR
NEW li1 ( 27830 66470 ) L1M1_PR_MR
NEW met1 ( 27830 66470 ) M1M2_PR
NEW met1 ( 27830 66470 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[2\] ( _098_ D ) ( _097_ Q ) ( _083_ D ) + USE SIGNAL
+ ROUTED met2 ( 8510 47770 ) ( * 52530 )
NEW met1 ( 8510 47770 ) ( 22770 * )
NEW met2 ( 8510 52530 ) ( * 57970 )
NEW li1 ( 8510 52530 ) L1M1_PR_MR
NEW met1 ( 8510 52530 ) M1M2_PR
NEW met1 ( 8510 47770 ) M1M2_PR
NEW li1 ( 22770 47770 ) L1M1_PR_MR
NEW li1 ( 8510 57970 ) L1M1_PR_MR
NEW met1 ( 8510 57970 ) M1M2_PR
NEW met1 ( 8510 52530 ) RECT ( -355 -70 0 70 )
NEW met1 ( 8510 57970 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[3\] ( _099_ D ) ( _098_ Q ) ( _086_ D ) + USE SIGNAL
+ ROUTED met2 ( 8510 58650 ) ( * 74290 )
NEW met1 ( 8510 58650 ) ( 17250 * )
NEW met2 ( 8510 74290 ) ( * 79730 )
NEW li1 ( 8510 74290 ) L1M1_PR_MR
NEW met1 ( 8510 74290 ) M1M2_PR
NEW met1 ( 8510 58650 ) M1M2_PR
NEW li1 ( 17250 58650 ) L1M1_PR_MR
NEW li1 ( 8510 79730 ) L1M1_PR_MR
NEW met1 ( 8510 79730 ) M1M2_PR
NEW met1 ( 8510 74290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 8510 79730 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[4\] ( _100_ D ) ( _099_ Q ) ( _087_ D ) + USE SIGNAL
+ ROUTED met1 ( 8510 31450 ) ( 8970 * )
NEW met1 ( 8970 69530 ) ( 11270 * )
NEW met1 ( 10350 69530 ) ( * 70210 )
NEW met2 ( 8970 31450 ) ( * 69530 )
NEW met2 ( 17250 70210 ) ( * 79730 )
NEW met1 ( 10350 70210 ) ( 17250 * )
NEW met1 ( 8970 31450 ) M1M2_PR
NEW li1 ( 8510 31450 ) L1M1_PR_MR
NEW li1 ( 11270 69530 ) L1M1_PR_MR
NEW met1 ( 8970 69530 ) M1M2_PR
NEW met1 ( 17250 70210 ) M1M2_PR
NEW li1 ( 17250 79730 ) L1M1_PR_MR
NEW met1 ( 17250 79730 ) M1M2_PR
NEW met1 ( 17250 79730 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[5\] ( _101_ D ) ( _100_ Q ) ( _092_ D ) + USE SIGNAL
+ ROUTED met1 ( 8445 21250 ) ( 8510 * )
NEW met1 ( 17250 30430 ) ( * 30770 )
NEW met1 ( 8510 30430 ) ( 17250 * )
NEW met2 ( 8510 21250 ) ( * 36210 )
NEW met1 ( 8510 21250 ) M1M2_PR
NEW li1 ( 8445 21250 ) L1M1_PR_MR
NEW li1 ( 17250 30770 ) L1M1_PR_MR
NEW met1 ( 8510 30430 ) M1M2_PR
NEW li1 ( 8510 36210 ) L1M1_PR_MR
NEW met1 ( 8510 36210 ) M1M2_PR
NEW met2 ( 8510 30430 ) RECT ( -70 -485 70 0 )
NEW met1 ( 8510 36210 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[6\] ( _102_ D ) ( _101_ Q ) ( _093_ D ) + USE SIGNAL
+ ROUTED met1 ( 15870 29070 ) ( 21850 * )
NEW met2 ( 15870 25330 ) ( * 29070 )
NEW met1 ( 14490 25330 ) ( 15870 * )
NEW met2 ( 17250 29070 ) ( * 36210 )
NEW li1 ( 21850 29070 ) L1M1_PR_MR
NEW met1 ( 15870 29070 ) M1M2_PR
NEW met1 ( 15870 25330 ) M1M2_PR
NEW li1 ( 14490 25330 ) L1M1_PR_MR
NEW met1 ( 17250 29070 ) M1M2_PR
NEW li1 ( 17250 36210 ) L1M1_PR_MR
NEW met1 ( 17250 36210 ) M1M2_PR
NEW met1 ( 17250 29070 ) RECT ( -595 -70 0 70 )
NEW met1 ( 17250 36210 ) RECT ( -355 -70 0 70 ) ;
- shift_register\[7\] ( _103_ D ) ( _102_ Q ) ( _094_ D ) + USE SIGNAL
+ ROUTED met1 ( 21850 28390 ) ( 30590 * )
NEW met2 ( 21850 23630 ) ( * 28390 )
NEW met1 ( 21850 99110 ) ( 26910 * )
NEW met2 ( 26910 28390 ) ( * 99110 )
NEW li1 ( 30590 28390 ) L1M1_PR_MR
NEW met1 ( 21850 28390 ) M1M2_PR
NEW li1 ( 21850 23630 ) L1M1_PR_MR
NEW met1 ( 21850 23630 ) M1M2_PR
NEW met1 ( 26910 28390 ) M1M2_PR
NEW met1 ( 26910 99110 ) M1M2_PR
NEW li1 ( 21850 99110 ) L1M1_PR_MR
NEW met1 ( 21850 23630 ) RECT ( -355 -70 0 70 )
NEW met1 ( 26910 28390 ) RECT ( -595 -70 0 70 ) ;
- shift_register\[8\] ( _104_ D ) ( _103_ Q ) ( _084_ D ) + USE SIGNAL
+ ROUTED met1 ( 8510 96730 ) ( 13570 * )
NEW met2 ( 13570 96730 ) ( * 98430 )
NEW met2 ( 8510 91290 ) ( * 96730 )
NEW met1 ( 30590 98430 ) ( * 99110 )
NEW met1 ( 13570 98430 ) ( 30590 * )
NEW li1 ( 8510 96730 ) L1M1_PR_MR
NEW met1 ( 13570 96730 ) M1M2_PR
NEW met1 ( 13570 98430 ) M1M2_PR
NEW li1 ( 8510 91290 ) L1M1_PR_MR
NEW met1 ( 8510 91290 ) M1M2_PR
NEW met1 ( 8510 96730 ) M1M2_PR
NEW li1 ( 30590 99110 ) L1M1_PR_MR
NEW met1 ( 8510 91290 ) RECT ( -355 -70 0 70 )
NEW met1 ( 8510 96730 ) RECT ( -595 -70 0 70 ) ;
- shift_register\[9\] ( _105_ D ) ( _104_ Q ) ( _085_ D ) + USE SIGNAL
+ ROUTED met1 ( 8510 101150 ) ( * 101490 )
NEW met1 ( 16790 91290 ) ( 17250 * )
NEW met2 ( 16790 91290 ) ( * 101150 )
NEW met1 ( 16790 94350 ) ( 21850 * )
NEW met1 ( 8510 101150 ) ( 16790 * )
NEW li1 ( 8510 101490 ) L1M1_PR_MR
NEW li1 ( 17250 91290 ) L1M1_PR_MR
NEW met1 ( 16790 91290 ) M1M2_PR
NEW met1 ( 16790 101150 ) M1M2_PR
NEW li1 ( 21850 94350 ) L1M1_PR_MR
NEW met1 ( 16790 94350 ) M1M2_PR
NEW met2 ( 16790 94350 ) RECT ( -70 -485 70 0 ) ;
- clknet_0_serial_clock ( clkbuf_1_1_0_serial_clock A ) ( clkbuf_1_0_0_serial_clock A ) ( clkbuf_0_serial_clock X ) + USE CLOCK
+ ROUTED met1 ( 20010 57630 ) ( 27830 * )
NEW met2 ( 20010 45390 ) ( * 57630 )
NEW met1 ( 14030 45390 ) ( 20010 * )
NEW met1 ( 14030 45050 ) ( * 45390 )
NEW met1 ( 16330 72250 ) ( 20010 * )
NEW met2 ( 20010 57630 ) ( * 72250 )
NEW li1 ( 27830 57630 ) L1M1_PR_MR
NEW met1 ( 20010 57630 ) M1M2_PR
NEW met1 ( 20010 45390 ) M1M2_PR
NEW li1 ( 14030 45050 ) L1M1_PR_MR
NEW met1 ( 20010 72250 ) M1M2_PR
NEW li1 ( 16330 72250 ) L1M1_PR_MR ;
- clknet_1_0_0_serial_clock ( _039_ A ) ( _079_ A ) ( _095_ CLK ) ( _096_ CLK ) ( _097_ CLK ) ( _100_ CLK ) ( _101_ CLK )
( _102_ CLK ) ( clkbuf_1_0_0_serial_clock X ) + USE CLOCK
+ ROUTED met1 ( 7130 33830 ) ( 20930 * )
NEW met2 ( 20470 28730 ) ( * 28900 )
NEW met2 ( 20470 28900 ) ( 20930 * )
NEW met2 ( 20930 28900 ) ( * 33830 )
NEW met1 ( 21390 20230 ) ( 25990 * )
NEW met2 ( 21390 20230 ) ( * 28900 )
NEW met2 ( 20930 28900 ) ( 21390 * )
NEW met1 ( 24610 17850 ) ( 25070 * )
NEW met2 ( 24610 17850 ) ( * 20230 )
NEW met1 ( 20930 39270 ) ( 21850 * )
NEW met1 ( 20930 44710 ) ( 22310 * )
NEW met2 ( 20930 39270 ) ( * 44710 )
NEW met1 ( 13110 44370 ) ( 20930 * )
NEW met1 ( 20930 44370 ) ( * 44710 )
NEW met2 ( 12650 44370 ) ( * 47430 )
NEW met1 ( 12650 44370 ) ( 13110 * )
NEW met2 ( 7130 31450 ) ( * 36550 )
NEW met2 ( 20930 33830 ) ( * 39270 )
NEW li1 ( 7130 31450 ) L1M1_PR_MR
NEW met1 ( 7130 31450 ) M1M2_PR
NEW met1 ( 20930 33830 ) M1M2_PR
NEW met1 ( 7130 33830 ) M1M2_PR
NEW li1 ( 20470 28730 ) L1M1_PR_MR
NEW met1 ( 20470 28730 ) M1M2_PR
NEW li1 ( 25990 20230 ) L1M1_PR_MR
NEW met1 ( 21390 20230 ) M1M2_PR
NEW li1 ( 25070 17850 ) L1M1_PR_MR
NEW met1 ( 24610 17850 ) M1M2_PR
NEW met1 ( 24610 20230 ) M1M2_PR
NEW li1 ( 21850 39270 ) L1M1_PR_MR
NEW met1 ( 20930 39270 ) M1M2_PR
NEW li1 ( 22310 44710 ) L1M1_PR_MR
NEW met1 ( 20930 44710 ) M1M2_PR
NEW li1 ( 13110 44370 ) L1M1_PR_MR
NEW li1 ( 12650 47430 ) L1M1_PR_MR
NEW met1 ( 12650 47430 ) M1M2_PR
NEW met1 ( 12650 44370 ) M1M2_PR
NEW li1 ( 7130 36550 ) L1M1_PR_MR
NEW met1 ( 7130 36550 ) M1M2_PR
NEW met1 ( 7130 31450 ) RECT ( -355 -70 0 70 )
NEW met2 ( 7130 33830 ) RECT ( -70 -485 70 0 )
NEW met1 ( 20470 28730 ) RECT ( -355 -70 0 70 )
NEW met1 ( 24610 20230 ) RECT ( -595 -70 0 70 )
NEW met1 ( 12650 47430 ) RECT ( -355 -70 0 70 )
NEW met1 ( 7130 36550 ) RECT ( -355 -70 0 70 ) ;
- clknet_1_1_0_serial_clock ( _098_ CLK ) ( _099_ CLK ) ( _103_ CLK ) ( _104_ CLK ) ( _105_ CLK ) ( _106_ CLK ) ( _107_ CLK )
( clkbuf_1_1_0_serial_clock X ) + USE CLOCK
+ ROUTED met1 ( 7130 90270 ) ( * 90950 )
NEW met1 ( 7130 59330 ) ( 14490 * )
NEW met1 ( 7130 58650 ) ( * 59330 )
NEW met1 ( 14490 71230 ) ( 15410 * )
NEW met2 ( 14490 71230 ) ( * 80410 )
NEW met1 ( 14490 77690 ) ( 20470 * )
NEW met1 ( 14490 85510 ) ( 15870 * )
NEW met2 ( 14490 80410 ) ( * 85510 )
NEW met2 ( 14950 90100 ) ( * 90270 )
NEW met2 ( 14490 90100 ) ( 14950 * )
NEW met2 ( 14490 85510 ) ( * 90100 )
NEW met1 ( 14950 93670 ) ( 20470 * )
NEW met2 ( 14950 90270 ) ( * 93670 )
NEW met2 ( 20470 93670 ) ( * 99110 )
NEW met1 ( 7130 80410 ) ( 14490 * )
NEW met1 ( 7130 90270 ) ( 14950 * )
NEW met2 ( 14490 59330 ) ( * 71230 )
NEW li1 ( 7130 90950 ) L1M1_PR_MR
NEW met1 ( 14490 59330 ) M1M2_PR
NEW li1 ( 7130 58650 ) L1M1_PR_MR
NEW li1 ( 7130 80410 ) L1M1_PR_MR
NEW li1 ( 15410 71230 ) L1M1_PR_MR
NEW met1 ( 14490 71230 ) M1M2_PR
NEW met1 ( 14490 80410 ) M1M2_PR
NEW li1 ( 20470 77690 ) L1M1_PR_MR
NEW met1 ( 14490 77690 ) M1M2_PR
NEW li1 ( 15870 85510 ) L1M1_PR_MR
NEW met1 ( 14490 85510 ) M1M2_PR
NEW met1 ( 14950 90270 ) M1M2_PR
NEW li1 ( 20470 93670 ) L1M1_PR_MR
NEW met1 ( 14950 93670 ) M1M2_PR
NEW li1 ( 20470 99110 ) L1M1_PR_MR
NEW met1 ( 20470 99110 ) M1M2_PR
NEW met1 ( 20470 93670 ) M1M2_PR
NEW met2 ( 14490 77690 ) RECT ( -70 -485 70 0 )
NEW met1 ( 20470 99110 ) RECT ( -355 -70 0 70 )
NEW met1 ( 20470 93670 ) RECT ( -595 -70 0 70 ) ;
END NETS
END DESIGN