blob: b41077994f845ebe8e162b9c2ad6bb9794caddb5 [file] [log] [blame]
VERSION 5.8 ;
NAMESCASESENSITIVE ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN gpio_control_block ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 50000 125000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_10 unithd 5520 38080 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_11 unithd 5520 40800 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_12 unithd 5520 43520 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_13 unithd 5520 46240 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_14 unithd 5520 48960 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_15 unithd 5520 51680 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_16 unithd 5520 54400 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_17 unithd 5520 57120 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_18 unithd 5520 59840 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_19 unithd 5520 62560 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_20 unithd 5520 65280 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_21 unithd 5520 68000 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_22 unithd 5520 70720 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_23 unithd 5520 73440 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_24 unithd 5520 76160 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_25 unithd 5520 78880 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_26 unithd 5520 81600 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_27 unithd 5520 84320 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_28 unithd 5520 87040 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_29 unithd 5520 89760 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_30 unithd 5520 92480 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_31 unithd 5520 95200 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_32 unithd 5520 97920 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_33 unithd 5520 100640 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_34 unithd 5520 103360 FS DO 84 BY 1 STEP 460 0 ;
ROW ROW_35 unithd 5520 106080 N DO 84 BY 1 STEP 460 0 ;
ROW ROW_36 unithd 5520 108800 FS DO 84 BY 1 STEP 460 0 ;
TRACKS X 230 DO 109 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 368 STEP 340 LAYER li1 ;
TRACKS X 170 DO 147 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 368 STEP 340 LAYER met1 ;
TRACKS X 230 DO 109 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 272 STEP 460 LAYER met2 ;
TRACKS X 340 DO 74 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 184 STEP 680 LAYER met3 ;
TRACKS X 460 DO 54 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 136 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 15 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 37 STEP 3400 LAYER met5 ;
COMPONENTS 184 ;
- _039_ sky130_fd_sc_hd__or2_4 + PLACED ( 24912 23141 ) N ;
- _040_ sky130_fd_sc_hd__buf_2 + PLACED ( 22283 45336 ) N ;
- _041_ sky130_fd_sc_hd__buf_2 + PLACED ( 18900 48136 ) N ;
- _042_ sky130_fd_sc_hd__buf_2 + PLACED ( 17893 94769 ) N ;
- _043_ sky130_fd_sc_hd__buf_2 + PLACED ( 14326 94612 ) N ;
- _044_ sky130_fd_sc_hd__buf_2 + PLACED ( 20439 96414 ) N ;
- _045_ sky130_fd_sc_hd__buf_2 + PLACED ( 17961 37533 ) N ;
- _046_ sky130_fd_sc_hd__buf_2 + PLACED ( 16489 50255 ) N ;
- _047_ sky130_fd_sc_hd__buf_2 + PLACED ( 11213 27432 ) N ;
- _048_ sky130_fd_sc_hd__buf_2 + PLACED ( 10709 29397 ) N ;
- _049_ sky130_fd_sc_hd__buf_2 + PLACED ( 10920 62333 ) N ;
- _050_ sky130_fd_sc_hd__buf_2 + PLACED ( 10920 50853 ) N ;
- _051_ sky130_fd_sc_hd__buf_2 + PLACED ( 16082 48702 ) N ;
- _052_ sky130_fd_sc_hd__buf_2 + PLACED ( 19914 44773 ) N ;
- _053_ sky130_fd_sc_hd__buf_2 + PLACED ( 25021 44148 ) N ;
- _054_ sky130_fd_sc_hd__buf_2 + PLACED ( 24765 36521 ) N ;
- _055_ sky130_fd_sc_hd__buf_2 + PLACED ( 21919 20077 ) N ;
- _056_ sky130_fd_sc_hd__buf_2 + PLACED ( 18813 21589 ) N ;
- _057_ sky130_fd_sc_hd__buf_2 + PLACED ( 15747 19523 ) N ;
- _058_ sky130_fd_sc_hd__buf_2 + PLACED ( 20630 65394 ) N ;
- _059_ sky130_fd_sc_hd__buf_2 + PLACED ( 24012 67860 ) N ;
- _060_ sky130_fd_sc_hd__buf_2 + PLACED ( 25605 70621 ) N ;
- _061_ sky130_fd_sc_hd__buf_2 + PLACED ( 25303 83886 ) N ;
- _062_ sky130_fd_sc_hd__buf_2 + PLACED ( 28361 67408 ) N ;
- _063_ sky130_fd_sc_hd__buf_2 + PLACED ( 18223 66639 ) N ;
- _064_ sky130_fd_sc_hd__buf_2 + PLACED ( 23512 46111 ) N ;
- _065_ sky130_fd_sc_hd__buf_2 + PLACED ( 11010 75461 ) N ;
- _066_ sky130_fd_sc_hd__buf_2 + PLACED ( 12126 94660 ) N ;
- _067_ sky130_fd_sc_hd__buf_2 + PLACED ( 10763 94774 ) N ;
- _068_ sky130_fd_sc_hd__buf_2 + PLACED ( 11040 49601 ) N ;
- _069_ sky130_fd_sc_hd__buf_2 + PLACED ( 26685 48024 ) N ;
- _070_ sky130_fd_sc_hd__inv_2 + PLACED ( 38913 73994 ) N ;
- _071_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33229 73163 ) N ;
- _072_ sky130_fd_sc_hd__inv_2 + PLACED ( 29546 67687 ) N ;
- _073_ sky130_fd_sc_hd__and3_4 + PLACED ( 30986 69640 ) N ;
- _074_ sky130_fd_sc_hd__or2_4 + PLACED ( 36811 75005 ) N ;
- _075_ sky130_fd_sc_hd__nand2_4 + PLACED ( 32389 75071 ) N ;
- _076_ sky130_fd_sc_hd__a32o_4 + PLACED ( 33871 76890 ) N ;
- _077_ sky130_fd_sc_hd__nand2_4 + PLACED ( 38599 74942 ) N ;
- _078_ sky130_fd_sc_hd__inv_2 + PLACED ( 26303 20124 ) N ;
- _079_ sky130_fd_sc_hd__and2_4 + PLACED ( 24892 21138 ) N ;
- _080_ sky130_fd_sc_hd__and2_4 + PLACED ( 39135 51877 ) N ;
- _081_ sky130_fd_sc_hd__buf_2 + PLACED ( 19559 66683 ) N ;
- _082_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 25404 47904 ) N ;
- _083_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 50079 ) N ;
- _084_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 97179 ) N ;
- _085_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 7233 96910 ) N ;
- _086_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 75499 ) N ;
- _087_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 9754 66029 ) N ;
- _088_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 26181 67167 ) N ;
- _089_ sky130_fd_sc_hd__dfstp_4 + PLACED ( 21031 88117 ) N ;
- _090_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 21207 70659 ) N ;
- _091_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 19406 67893 ) N ;
- _092_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6873 18415 ) N ;
- _093_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 13070 21641 ) N ;
- _094_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 17347 19488 ) N ;
- _095_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 21650 36921 ) N ;
- _096_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 22161 45951 ) N ;
- _097_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 12550 49117 ) N ;
- _098_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 51221 ) N ;
- _099_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 67594 ) N ;
- _100_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 5520 28966 ) N ;
- _101_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6287 25055 ) N ;
- _102_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 12883 29693 ) N ;
- _103_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 16511 97383 ) N ;
- _104_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 6511 95792 ) N ;
- _105_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 12613 95210 ) N ;
- _106_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 16072 89337 ) N ;
- _107_ sky130_fd_sc_hd__dfrtp_4 + PLACED ( 15137 70094 ) N ;
- gpio_in_buf sky130_fd_sc_hd__einvp_8 + PLACED ( 35880 87691 ) N ;
- gpio_logic_high sky130_fd_sc_hd__conb_1 + PLACED ( 35803 88491 ) N ;
- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 10880 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 13600 ) FN ;
- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 16320 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 19040 ) FN ;
- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 21760 ) S ;
- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 24480 ) FN ;
- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 27200 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 29920 ) FN ;
- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 32640 ) S ;
- PHY_18 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 35360 ) N ;
- PHY_19 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 35360 ) FN ;
- PHY_20 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 38080 ) FS ;
- PHY_21 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 38080 ) S ;
- PHY_22 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 40800 ) N ;
- PHY_23 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 40800 ) FN ;
- PHY_24 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 43520 ) FS ;
- PHY_25 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 43520 ) S ;
- PHY_26 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 46240 ) N ;
- PHY_27 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 46240 ) FN ;
- PHY_28 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 48960 ) FS ;
- PHY_29 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 48960 ) S ;
- PHY_30 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 51680 ) N ;
- PHY_31 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 51680 ) FN ;
- PHY_32 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 54400 ) FS ;
- PHY_33 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 54400 ) S ;
- PHY_34 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 57120 ) N ;
- PHY_35 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 57120 ) FN ;
- PHY_36 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 59840 ) FS ;
- PHY_37 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 59840 ) S ;
- PHY_38 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 62560 ) N ;
- PHY_39 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 62560 ) FN ;
- PHY_40 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 65280 ) FS ;
- PHY_41 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 65280 ) S ;
- PHY_42 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 68000 ) N ;
- PHY_43 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 68000 ) FN ;
- PHY_44 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 70720 ) FS ;
- PHY_45 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 70720 ) S ;
- PHY_46 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 73440 ) N ;
- PHY_47 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 73440 ) FN ;
- PHY_48 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 76160 ) FS ;
- PHY_49 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 76160 ) S ;
- PHY_50 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 78880 ) N ;
- PHY_51 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 78880 ) FN ;
- PHY_52 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 81600 ) FS ;
- PHY_53 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 81600 ) S ;
- PHY_54 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 84320 ) N ;
- PHY_55 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 84320 ) FN ;
- PHY_56 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 87040 ) FS ;
- PHY_57 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 87040 ) S ;
- PHY_58 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 89760 ) N ;
- PHY_59 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 89760 ) FN ;
- PHY_60 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 92480 ) FS ;
- PHY_61 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 92480 ) S ;
- PHY_62 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 95200 ) N ;
- PHY_63 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 95200 ) FN ;
- PHY_64 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 97920 ) FS ;
- PHY_65 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 97920 ) S ;
- PHY_66 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 100640 ) N ;
- PHY_67 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 100640 ) FN ;
- PHY_68 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 103360 ) FS ;
- PHY_69 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 103360 ) S ;
- PHY_70 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 106080 ) N ;
- PHY_71 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 106080 ) FN ;
- PHY_72 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 108800 ) FS ;
- PHY_73 sky130_fd_sc_hd__decap_3 + FIXED ( 42780 108800 ) S ;
- PHY_74 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
- PHY_75 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 10880 ) FS ;
- PHY_76 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 13600 ) N ;
- PHY_77 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
- PHY_78 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 19040 ) N ;
- PHY_79 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
- PHY_80 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 24480 ) N ;
- PHY_81 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
- PHY_82 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 29920 ) N ;
- PHY_83 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
- PHY_84 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 35360 ) N ;
- PHY_85 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 38080 ) FS ;
- PHY_86 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 40800 ) N ;
- PHY_87 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 43520 ) FS ;
- PHY_88 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 46240 ) N ;
- PHY_89 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 48960 ) FS ;
- PHY_90 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 51680 ) N ;
- PHY_91 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 54400 ) FS ;
- PHY_92 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 57120 ) N ;
- PHY_93 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 59840 ) FS ;
- PHY_94 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 62560 ) N ;
- PHY_95 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 65280 ) FS ;
- PHY_96 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 68000 ) N ;
- PHY_97 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 70720 ) FS ;
- PHY_98 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 73440 ) N ;
- PHY_99 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 76160 ) FS ;
- PHY_100 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 78880 ) N ;
- PHY_101 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 81600 ) FS ;
- PHY_102 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 84320 ) N ;
- PHY_103 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 87040 ) FS ;
- PHY_104 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 89760 ) N ;
- PHY_105 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 92480 ) FS ;
- PHY_106 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 95200 ) N ;
- PHY_107 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 97920 ) FS ;
- PHY_108 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 100640 ) N ;
- PHY_109 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 103360 ) FS ;
- PHY_110 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 33580 106080 ) N ;
- PHY_111 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 108800 ) FS ;
- PHY_112 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 34040 108800 ) FS ;
END COMPONENTS
PINS 24 ;
- mgmt_gpio_in + NET mgmt_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48800 48620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- mgmt_gpio_oeb + NET mgmt_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 62220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- mgmt_gpio_out + NET mgmt_gpio_out + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 76500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_en + NET pad_gpio_ana_en + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 4420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_pol + NET pad_gpio_ana_pol + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 12580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ana_sel + NET pad_gpio_ana_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 20740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[0] + NET pad_gpio_dm[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 28900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[1] + NET pad_gpio_dm[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 37740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_dm[2] + NET pad_gpio_dm[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 45900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_holdover + NET pad_gpio_holdover + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 54060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_ib_mode_sel + NET pad_gpio_ib_mode_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 62220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_in + NET pad_gpio_in + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 71060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_inenb + NET pad_gpio_inenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 79220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_out + NET pad_gpio_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 87380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_outenb + NET pad_gpio_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 95540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_slow_sel + NET pad_gpio_slow_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 104380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- pad_gpio_vtrip_sel + NET pad_gpio_vtrip_sel + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 112540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- resetn + NET resetn + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 7140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_clock + NET serial_clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 20740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_data_in + NET serial_data_in + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 34340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- serial_data_out + NET serial_data_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 120700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_in + NET user_gpio_in + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48800 90100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_oeb + NET user_gpio_oeb + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 104380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_gpio_out + NET user_gpio_out + DIRECTION INPUT + USE SIGNAL + PLACED ( 48800 117980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
END PINS
NETS 79 ;
- mgmt_gpio_in ( PIN mgmt_gpio_in ) ( _080_ X ) + USE SIGNAL ;
- mgmt_gpio_oeb ( PIN mgmt_gpio_oeb ) ( _073_ A ) ( _071_ A2 ) + USE SIGNAL ;
- mgmt_gpio_out ( PIN mgmt_gpio_out ) ( _074_ A ) + USE SIGNAL ;
- pad_gpio_ana_en ( PIN pad_gpio_ana_en ) ( _092_ Q ) + USE SIGNAL ;
- pad_gpio_ana_pol ( PIN pad_gpio_ana_pol ) ( _094_ Q ) + USE SIGNAL ;
- pad_gpio_ana_sel ( PIN pad_gpio_ana_sel ) ( _093_ Q ) + USE SIGNAL ;
- pad_gpio_dm[0] ( PIN pad_gpio_dm[0] ) ( _089_ Q ) ( _075_ A ) + USE SIGNAL ;
- pad_gpio_dm[1] ( PIN pad_gpio_dm[1] ) ( _090_ Q ) ( _073_ C ) + USE SIGNAL ;
- pad_gpio_dm[2] ( PIN pad_gpio_dm[2] ) ( _091_ Q ) ( _072_ A ) + USE SIGNAL ;
- pad_gpio_holdover ( PIN pad_gpio_holdover ) ( _083_ Q ) + USE SIGNAL ;
- pad_gpio_ib_mode_sel ( PIN pad_gpio_ib_mode_sel ) ( _087_ Q ) + USE SIGNAL ;
- pad_gpio_in ( PIN pad_gpio_in ) ( _080_ B ) ( _077_ B ) + USE SIGNAL ;
- pad_gpio_inenb ( PIN pad_gpio_inenb ) ( _086_ Q ) + USE SIGNAL ;
- pad_gpio_out ( PIN pad_gpio_out ) ( _076_ X ) + USE SIGNAL ;
- pad_gpio_outenb ( PIN pad_gpio_outenb ) ( _071_ X ) + USE SIGNAL ;
- pad_gpio_slow_sel ( PIN pad_gpio_slow_sel ) ( _084_ Q ) + USE SIGNAL ;
- pad_gpio_vtrip_sel ( PIN pad_gpio_vtrip_sel ) ( _085_ Q ) + USE SIGNAL ;
- resetn ( PIN resetn ) ( _078_ A ) ( _039_ B ) + USE SIGNAL ;
- serial_clock ( PIN serial_clock ) ( _107_ CLK ) ( _106_ CLK ) ( _105_ CLK ) ( _104_ CLK ) ( _103_ CLK ) ( _102_ CLK )
( _101_ CLK ) ( _100_ CLK ) ( _099_ CLK ) ( _098_ CLK ) ( _097_ CLK ) ( _096_ CLK ) ( _095_ CLK ) ( _079_ A )
( _039_ A ) + USE SIGNAL ;
- serial_data_in ( PIN serial_data_in ) ( _095_ D ) + USE SIGNAL ;
- serial_data_out ( PIN serial_data_out ) ( _107_ Q ) ( _091_ D ) + USE SIGNAL ;
- user_gpio_in ( PIN user_gpio_in ) ( gpio_in_buf Z ) + USE SIGNAL ;
- user_gpio_oeb ( PIN user_gpio_oeb ) ( _071_ B1 ) + USE SIGNAL ;
- user_gpio_out ( PIN user_gpio_out ) ( _076_ B2 ) + USE SIGNAL ;
- _000_ ( gpio_in_buf A ) ( _077_ Y ) + USE SIGNAL ;
- _001_ ( _082_ SET_B ) ( _069_ X ) + USE SIGNAL ;
- _002_ ( _083_ RESET_B ) ( _068_ X ) + USE SIGNAL ;
- _003_ ( _084_ RESET_B ) ( _067_ X ) + USE SIGNAL ;
- _004_ ( _085_ RESET_B ) ( _066_ X ) + USE SIGNAL ;
- _005_ ( _086_ RESET_B ) ( _065_ X ) + USE SIGNAL ;
- _006_ ( _087_ RESET_B ) ( _063_ X ) + USE SIGNAL ;
- _007_ ( _088_ SET_B ) ( _062_ X ) + USE SIGNAL ;
- _008_ ( _089_ SET_B ) ( _061_ X ) + USE SIGNAL ;
- _009_ ( _090_ RESET_B ) ( _060_ X ) + USE SIGNAL ;
- _010_ ( _091_ RESET_B ) ( _059_ X ) + USE SIGNAL ;
- _011_ ( _092_ RESET_B ) ( _057_ X ) + USE SIGNAL ;
- _012_ ( _093_ RESET_B ) ( _056_ X ) + USE SIGNAL ;
- _013_ ( _094_ RESET_B ) ( _055_ X ) + USE SIGNAL ;
- _014_ ( _095_ RESET_B ) ( _054_ X ) + USE SIGNAL ;
- _015_ ( _096_ RESET_B ) ( _053_ X ) + USE SIGNAL ;
- _016_ ( _097_ RESET_B ) ( _051_ X ) + USE SIGNAL ;
- _017_ ( _098_ RESET_B ) ( _050_ X ) + USE SIGNAL ;
- _018_ ( _099_ RESET_B ) ( _049_ X ) + USE SIGNAL ;
- _019_ ( _100_ RESET_B ) ( _048_ X ) + USE SIGNAL ;
- _020_ ( _101_ RESET_B ) ( _047_ X ) + USE SIGNAL ;
- _021_ ( _102_ RESET_B ) ( _045_ X ) + USE SIGNAL ;
- _022_ ( _103_ RESET_B ) ( _044_ X ) + USE SIGNAL ;
- _023_ ( _104_ RESET_B ) ( _043_ X ) + USE SIGNAL ;
- _024_ ( _105_ RESET_B ) ( _042_ X ) + USE SIGNAL ;
- _025_ ( _106_ RESET_B ) ( _045_ A ) ( _044_ A ) ( _043_ A ) ( _042_ A ) ( _041_ X ) + USE SIGNAL ;
- _026_ ( _107_ RESET_B ) ( _081_ X ) + USE SIGNAL ;
- _027_ ( _064_ A ) ( _040_ A ) ( _039_ X ) + USE SIGNAL ;
- _028_ ( _081_ A ) ( _058_ A ) ( _052_ A ) ( _046_ A ) ( _041_ A ) ( _040_ X ) + USE SIGNAL ;
- _029_ ( _051_ A ) ( _050_ A ) ( _049_ A ) ( _048_ A ) ( _047_ A ) ( _046_ X ) + USE SIGNAL ;
- _030_ ( _057_ A ) ( _056_ A ) ( _055_ A ) ( _054_ A ) ( _053_ A ) ( _052_ X ) + USE SIGNAL ;
- _031_ ( _063_ A ) ( _062_ A ) ( _061_ A ) ( _060_ A ) ( _059_ A ) ( _058_ X ) + USE SIGNAL ;
- _032_ ( _069_ A ) ( _068_ A ) ( _067_ A ) ( _066_ A ) ( _065_ A ) ( _064_ X ) + USE SIGNAL ;
- _033_ ( _077_ A ) ( _076_ B1 ) ( _071_ B2 ) ( _070_ Y ) + USE SIGNAL ;
- _034_ ( _073_ B ) ( _072_ Y ) + USE SIGNAL ;
- _035_ ( _075_ B ) ( _074_ B ) ( _073_ X ) + USE SIGNAL ;
- _036_ ( _076_ A2 ) ( _074_ X ) + USE SIGNAL ;
- _037_ ( _076_ A3 ) ( _075_ Y ) + USE SIGNAL ;
- _038_ ( _079_ B ) ( _078_ Y ) + USE SIGNAL ;
- gpio_logic1 ( gpio_logic_high HI ) ( gpio_in_buf TE ) + USE SIGNAL ;
- gpio_outenb ( _088_ Q ) ( _071_ A1 ) + USE SIGNAL ;
- load_data ( _094_ CLK ) ( _093_ CLK ) ( _092_ CLK ) ( _091_ CLK ) ( _090_ CLK ) ( _089_ CLK ) ( _088_ CLK )
( _087_ CLK ) ( _086_ CLK ) ( _085_ CLK ) ( _084_ CLK ) ( _083_ CLK ) ( _082_ CLK ) ( _079_ X ) + USE SIGNAL ;
- mgmt_ena ( _082_ Q ) ( _080_ A ) ( _076_ A1 ) ( _071_ A3 ) ( _070_ A ) + USE SIGNAL ;
- shift_register\[0\] ( _096_ D ) ( _095_ Q ) ( _082_ D ) + USE SIGNAL ;
- shift_register\[10\] ( _106_ D ) ( _105_ Q ) ( _089_ D ) + USE SIGNAL ;
- shift_register\[11\] ( _107_ D ) ( _106_ Q ) ( _090_ D ) + USE SIGNAL ;
- shift_register\[1\] ( _097_ D ) ( _096_ Q ) ( _088_ D ) + USE SIGNAL ;
- shift_register\[2\] ( _098_ D ) ( _097_ Q ) ( _083_ D ) + USE SIGNAL ;
- shift_register\[3\] ( _099_ D ) ( _098_ Q ) ( _086_ D ) + USE SIGNAL ;
- shift_register\[4\] ( _100_ D ) ( _099_ Q ) ( _087_ D ) + USE SIGNAL ;
- shift_register\[5\] ( _101_ D ) ( _100_ Q ) ( _092_ D ) + USE SIGNAL ;
- shift_register\[6\] ( _102_ D ) ( _101_ Q ) ( _093_ D ) + USE SIGNAL ;
- shift_register\[7\] ( _103_ D ) ( _102_ Q ) ( _094_ D ) + USE SIGNAL ;
- shift_register\[8\] ( _104_ D ) ( _103_ Q ) ( _084_ D ) + USE SIGNAL ;
- shift_register\[9\] ( _105_ D ) ( _104_ Q ) ( _085_ D ) + USE SIGNAL ;
END NETS
END DESIGN