blob: 021e0745fd0178a7710ee53d0ccd5a88520ff913 [file] [log] [blame]
/* Generated by Yosys 0.9+3621 (git sha1 84e9fa7, gcc 8.3.1 -fPIC -Os) */
module digital_pll(dco, enable, osc, resetb, VPWR, VGND, clockp, div, ext_trim);
input VGND;
input VPWR;
wire _000_;
wire _001_;
wire _002_;
wire _003_;
wire _004_;
wire _005_;
wire _006_;
wire _007_;
wire _008_;
wire _009_;
wire _010_;
wire _011_;
wire _012_;
wire _013_;
wire _014_;
wire _015_;
wire _016_;
wire _017_;
wire _018_;
wire _019_;
wire _020_;
wire _021_;
wire _022_;
wire _023_;
wire _024_;
wire _025_;
wire _026_;
wire _027_;
wire _028_;
wire _029_;
wire _030_;
wire _031_;
wire _032_;
wire _033_;
wire _034_;
wire _035_;
wire _036_;
wire _037_;
wire _038_;
wire _039_;
wire _040_;
wire _041_;
wire _042_;
wire _043_;
wire _044_;
wire _045_;
wire _046_;
wire _047_;
wire _048_;
wire _049_;
wire _050_;
wire _051_;
wire _052_;
wire _053_;
wire _054_;
wire _055_;
wire _056_;
wire _057_;
wire _058_;
wire _059_;
wire _060_;
wire _061_;
wire _062_;
wire _063_;
wire _064_;
wire _065_;
wire _066_;
wire _067_;
wire _068_;
wire _069_;
wire _070_;
wire _071_;
wire _072_;
wire _073_;
wire _074_;
wire _075_;
wire _076_;
wire _077_;
wire _078_;
wire _079_;
wire _080_;
wire _081_;
wire _082_;
wire _083_;
wire _084_;
wire _085_;
wire _086_;
wire _087_;
wire _088_;
wire _089_;
wire _090_;
wire _091_;
wire _092_;
wire _093_;
wire _094_;
wire _095_;
wire _096_;
wire _097_;
wire _098_;
wire _099_;
wire _100_;
wire _101_;
wire _102_;
wire _103_;
wire _104_;
wire _105_;
wire _106_;
wire _107_;
wire _108_;
wire _109_;
wire _110_;
wire _111_;
wire _112_;
wire _113_;
wire _114_;
wire _115_;
wire _116_;
wire _117_;
wire _118_;
wire _119_;
wire _120_;
wire _121_;
wire _122_;
wire _123_;
wire _124_;
wire _125_;
wire _126_;
wire _127_;
wire _128_;
wire _129_;
wire _130_;
wire _131_;
wire _132_;
wire _133_;
wire _134_;
wire _135_;
wire _136_;
wire _137_;
wire _138_;
wire _139_;
wire _140_;
wire _141_;
wire _142_;
wire _143_;
wire _144_;
wire _145_;
wire _146_;
wire _147_;
wire _148_;
wire _149_;
wire _150_;
wire _151_;
wire _152_;
wire _153_;
wire _154_;
wire _155_;
wire _156_;
wire _157_;
wire _158_;
wire _159_;
wire _160_;
wire _161_;
wire _162_;
wire _163_;
wire _164_;
wire _165_;
wire _166_;
wire _167_;
wire _168_;
wire _169_;
wire _170_;
wire _171_;
wire _172_;
wire _173_;
wire _174_;
wire _175_;
wire _176_;
wire _177_;
wire _178_;
wire _179_;
wire _180_;
wire _181_;
wire _182_;
wire _183_;
wire _184_;
wire _185_;
wire _186_;
wire _187_;
wire _188_;
wire _189_;
wire _190_;
wire _191_;
wire _192_;
wire _193_;
wire _194_;
wire _195_;
wire _196_;
wire _197_;
wire _198_;
wire _199_;
wire _200_;
wire _201_;
wire _202_;
wire _203_;
wire _204_;
wire _205_;
wire _206_;
wire _207_;
wire _208_;
wire _209_;
wire _210_;
wire _211_;
wire _212_;
wire _213_;
wire _214_;
wire _215_;
wire _216_;
wire _217_;
wire _218_;
wire _219_;
wire _220_;
wire _221_;
wire _222_;
wire _223_;
wire _224_;
wire _225_;
wire _226_;
wire _227_;
wire _228_;
wire _229_;
wire _230_;
wire _231_;
wire _232_;
wire _233_;
wire _234_;
wire _235_;
wire _236_;
wire _237_;
wire _238_;
wire _239_;
wire _240_;
wire _241_;
wire _242_;
output [1:0] clockp;
input dco;
input [4:0] div;
input enable;
input [25:0] ext_trim;
input osc;
wire \pll_control.clock ;
wire \pll_control.count0[0] ;
wire \pll_control.count0[1] ;
wire \pll_control.count0[2] ;
wire \pll_control.count0[3] ;
wire \pll_control.count0[4] ;
wire \pll_control.count1[0] ;
wire \pll_control.count1[1] ;
wire \pll_control.count1[2] ;
wire \pll_control.count1[3] ;
wire \pll_control.count1[4] ;
wire \pll_control.oscbuf[0] ;
wire \pll_control.oscbuf[1] ;
wire \pll_control.oscbuf[2] ;
wire \pll_control.prep[0] ;
wire \pll_control.prep[1] ;
wire \pll_control.prep[2] ;
wire \pll_control.tint[0] ;
wire \pll_control.tint[1] ;
wire \pll_control.tint[2] ;
wire \pll_control.tint[3] ;
wire \pll_control.tint[4] ;
wire \pll_control.tval[0] ;
wire \pll_control.tval[1] ;
input resetb;
wire \ringosc.c[0] ;
wire \ringosc.c[1] ;
wire \ringosc.dstage[0].id.d0 ;
wire \ringosc.dstage[0].id.d1 ;
wire \ringosc.dstage[0].id.d2 ;
wire \ringosc.dstage[0].id.in ;
wire \ringosc.dstage[0].id.out ;
wire \ringosc.dstage[0].id.trim[0] ;
wire \ringosc.dstage[0].id.trim[1] ;
wire \ringosc.dstage[0].id.ts ;
wire \ringosc.dstage[10].id.d0 ;
wire \ringosc.dstage[10].id.d1 ;
wire \ringosc.dstage[10].id.d2 ;
wire \ringosc.dstage[10].id.in ;
wire \ringosc.dstage[10].id.out ;
wire \ringosc.dstage[10].id.trim[0] ;
wire \ringosc.dstage[10].id.trim[1] ;
wire \ringosc.dstage[10].id.ts ;
wire \ringosc.dstage[11].id.d0 ;
wire \ringosc.dstage[11].id.d1 ;
wire \ringosc.dstage[11].id.d2 ;
wire \ringosc.dstage[11].id.out ;
wire \ringosc.dstage[11].id.trim[0] ;
wire \ringosc.dstage[11].id.trim[1] ;
wire \ringosc.dstage[11].id.ts ;
wire \ringosc.dstage[1].id.d0 ;
wire \ringosc.dstage[1].id.d1 ;
wire \ringosc.dstage[1].id.d2 ;
wire \ringosc.dstage[1].id.out ;
wire \ringosc.dstage[1].id.trim[0] ;
wire \ringosc.dstage[1].id.trim[1] ;
wire \ringosc.dstage[1].id.ts ;
wire \ringosc.dstage[2].id.d0 ;
wire \ringosc.dstage[2].id.d1 ;
wire \ringosc.dstage[2].id.d2 ;
wire \ringosc.dstage[2].id.out ;
wire \ringosc.dstage[2].id.trim[0] ;
wire \ringosc.dstage[2].id.trim[1] ;
wire \ringosc.dstage[2].id.ts ;
wire \ringosc.dstage[3].id.d0 ;
wire \ringosc.dstage[3].id.d1 ;
wire \ringosc.dstage[3].id.d2 ;
wire \ringosc.dstage[3].id.out ;
wire \ringosc.dstage[3].id.trim[0] ;
wire \ringosc.dstage[3].id.trim[1] ;
wire \ringosc.dstage[3].id.ts ;
wire \ringosc.dstage[4].id.d0 ;
wire \ringosc.dstage[4].id.d1 ;
wire \ringosc.dstage[4].id.d2 ;
wire \ringosc.dstage[4].id.out ;
wire \ringosc.dstage[4].id.trim[0] ;
wire \ringosc.dstage[4].id.trim[1] ;
wire \ringosc.dstage[4].id.ts ;
wire \ringosc.dstage[5].id.d0 ;
wire \ringosc.dstage[5].id.d1 ;
wire \ringosc.dstage[5].id.d2 ;
wire \ringosc.dstage[5].id.out ;
wire \ringosc.dstage[5].id.trim[0] ;
wire \ringosc.dstage[5].id.trim[1] ;
wire \ringosc.dstage[5].id.ts ;
wire \ringosc.dstage[6].id.d0 ;
wire \ringosc.dstage[6].id.d1 ;
wire \ringosc.dstage[6].id.d2 ;
wire \ringosc.dstage[6].id.out ;
wire \ringosc.dstage[6].id.trim[0] ;
wire \ringosc.dstage[6].id.trim[1] ;
wire \ringosc.dstage[6].id.ts ;
wire \ringosc.dstage[7].id.d0 ;
wire \ringosc.dstage[7].id.d1 ;
wire \ringosc.dstage[7].id.d2 ;
wire \ringosc.dstage[7].id.out ;
wire \ringosc.dstage[7].id.trim[0] ;
wire \ringosc.dstage[7].id.trim[1] ;
wire \ringosc.dstage[7].id.ts ;
wire \ringosc.dstage[8].id.d0 ;
wire \ringosc.dstage[8].id.d1 ;
wire \ringosc.dstage[8].id.d2 ;
wire \ringosc.dstage[8].id.out ;
wire \ringosc.dstage[8].id.trim[0] ;
wire \ringosc.dstage[8].id.trim[1] ;
wire \ringosc.dstage[8].id.ts ;
wire \ringosc.dstage[9].id.d0 ;
wire \ringosc.dstage[9].id.d1 ;
wire \ringosc.dstage[9].id.d2 ;
wire \ringosc.dstage[9].id.trim[0] ;
wire \ringosc.dstage[9].id.trim[1] ;
wire \ringosc.dstage[9].id.ts ;
wire \ringosc.iss.ctrl0 ;
wire \ringosc.iss.d0 ;
wire \ringosc.iss.d1 ;
wire \ringosc.iss.d2 ;
wire \ringosc.iss.one ;
wire \ringosc.iss.reset ;
wire \ringosc.iss.trim[0] ;
wire \ringosc.iss.trim[1] ;
sky130_fd_sc_hd__fill_2 FILLER_0_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_230 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_242 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_249 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_49 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_0_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_0_63 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_0_67 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_0_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_0_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_0_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_10_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_10_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_18 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_190 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_202 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_30 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_10_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_10_64 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_10_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_10_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_11_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_159 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_17 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_11_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_11_29 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_11_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_11_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_82 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_11_9 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_11_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_12_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_12_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_201 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_12_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_12_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_55 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_12_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_12_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_13_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_13_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_13_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_13_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_13_35 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_13_40 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_13_54 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_13_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_13_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_13_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_13_96 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_14_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_14_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_14_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_14_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_14_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_15_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_15_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_15_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_202 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_219 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_15_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_15_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_35 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_15_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_15_54 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_15_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_15_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_15_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_15_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_15_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_16_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_16_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_16_55 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_16_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_16_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_16_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_153 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_206 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_230 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_242 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_17_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_17_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_17_35 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_17_54 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_17_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_17_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_17_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_18_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_188 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_195 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_201 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_209 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_18_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_18_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_18_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_18_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_19_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_19_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_19_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_194 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_19_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_19_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_19_37 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_43 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_19_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_19_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_19_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_103 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_1_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_1_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_1_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_1_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_1_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_1_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_20_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_20_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_195 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_210 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_222 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_234 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_246 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_250 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_20_41 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_20_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_20_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_20_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_20_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_20_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_164 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_21_192 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_21_212 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_21_224 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_236 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_21_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_21_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_46 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_21_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_21_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_21_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_22_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_22_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_193 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_22_206 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_219 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_22_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_22_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_22_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_22_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_23_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_23_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_190 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_216 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_23_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_23_52 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_23_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_23_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_23_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_23_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_24_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_24_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_24_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_19 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_210 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_224 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_24_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_24_244 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_250 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_24_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_24_36 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_24_46 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_24_58 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_24_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_134 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_25_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_25_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_25_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_25_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_25_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_240 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_25_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_25_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_25_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_25_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_25_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_25_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_25_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_25_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_26_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_26_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_26_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_26_207 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_26_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_226 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_233 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_26_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_26_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_26_55 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_26_63 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_26_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_27_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_27_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_27_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_210 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_27_214 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_222 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_229 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_241 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_27_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_27_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_27_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_27_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_28_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_197 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_225 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_237 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_28_249 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_28_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_28_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_38 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_53 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_28_65 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_28_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_29_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_158 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_29_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_222 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_234 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_29_242 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_29_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_29_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_29_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_29_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_29_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_2_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_2_11 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_2_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_2_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_2_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_2_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_2_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_2_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_2_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_2_54 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_2_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_2_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_30_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_30_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_194 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_30_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_50 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_30_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_30_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_30_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_31_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_31_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_31_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_31_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_31_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_31_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_31_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_31_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_31_50 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_31_58 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_31_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_31_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_194 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_200 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_32_212 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_32_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_221 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_226 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_238 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_250 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_32_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_36 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_32_40 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_32_46 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_32_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_32_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_32_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_33_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_33_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_33_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_202 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_33_210 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_223 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_235 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_33_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_33_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_33_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_33_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_33_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_33_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_34_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_34_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_34_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_192 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_34_207 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_34_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_228 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_34_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_34_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_34_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_34_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_34_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_34_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_34_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_34_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_35_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_35_144 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_35_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_35_174 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_35_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_35_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_35_197 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_35_223 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_35_230 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_35_237 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_35_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_35_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_35_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_35_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_35_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_35_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_35_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_35_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_35_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_35_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_35_98 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_36_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_36_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_36_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_36_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_36_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_36_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_36_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_36_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_36_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_36_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_222 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_234 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_36_246 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_36_250 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_36_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_36_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_36_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_37_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_37_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_37_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_37_137 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_37_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_37_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_37_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_37_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_37_198 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_37_206 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_223 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_37_235 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_37_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_37_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_37_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_37_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_37_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_38_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_38_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_38_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_38_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_38_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_38_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_38_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_38_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_38_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_38_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_38_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_38_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_38_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_194 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_38_206 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_38_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_38_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_38_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_39_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_39_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_39_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_39_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_39_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_39_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_39_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_195 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_207 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_219 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_39_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_39_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_39_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_39_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_39_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_39_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_39_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_3_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_3_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_3_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_3_30 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_3_50 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_3_58 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_3_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_3_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_40_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_40_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_40_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_192 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_40_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_219 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_40_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_40_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_40_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_41_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_41_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_41_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_41_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_41_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_41_170 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_41_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_197 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_209 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_221 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_41_233 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_41_241 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_41_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_41_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_41_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_41_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_41_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_41_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_42_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_42_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_42_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_42_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_42_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_42_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_42_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_42_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_230 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_42_242 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_42_249 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_42_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_42_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_63 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_42_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_42_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_4_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_19 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_201 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_4_48 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_64 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_4_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_4_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_5_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_5_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_5_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_5_26 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_5_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_5_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_5_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_5_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_5_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_5_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_197 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_209 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_6_23 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_6_45 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_6_52 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_6_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_6_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_9 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_6_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_6_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_12 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_7_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_7_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_7_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_7_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_7_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_7_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_7_84 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_7_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_8_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_8_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_190 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_202 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_8_23 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_8_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_8_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_8_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_8_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_8_9 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_9_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_2 FILLER_9_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 FILLER_9_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_21 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_12 FILLER_9_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_9_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_9_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_9_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_8 FILLER_9_53 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__fill_1 FILLER_9_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_4 FILLER_9_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_6 FILLER_9_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_0 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_1 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_10 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_100 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_101 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_102 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_103 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_104 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_105 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_106 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_107 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_108 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_109 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_11 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_110 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_111 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_112 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_113 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_114 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_115 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_116 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_117 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_118 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_119 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_12 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_120 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_121 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_122 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_123 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_124 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_125 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_126 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_127 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_128 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_129 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_13 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_130 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_131 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_132 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_133 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_134 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_135 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_136 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_137 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_138 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_139 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_14 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_140 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_141 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_142 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_143 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_144 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_145 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_146 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_147 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_148 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_149 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_15 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_150 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_151 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_152 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_153 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_154 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_155 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_156 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_157 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_158 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_159 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_16 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_160 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_161 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_162 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_163 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_164 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_165 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_166 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_167 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_168 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_169 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_17 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_170 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_171 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_172 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_173 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_174 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_175 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_176 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_177 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_178 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_179 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_18 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_180 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_181 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_182 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_183 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_184 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_185 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_186 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_187 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_188 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_189 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_19 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_190 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_191 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_192 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_193 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_194 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_195 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_196 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_197 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_198 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_199 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_2 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_20 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_200 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_201 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_202 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_203 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_204 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_205 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_206 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_207 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_208 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_209 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_21 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_210 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_211 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_212 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_213 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_214 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_215 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_216 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_217 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_218 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_219 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_22 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_220 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_221 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_222 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_223 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_224 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_225 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_226 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_227 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_228 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_229 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_23 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_230 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_231 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_232 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_233 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_234 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_235 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_236 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_237 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_238 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_239 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_24 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_240 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_241 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_242 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_243 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_244 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_245 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_246 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_247 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_248 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_249 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_25 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_250 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_251 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_252 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_253 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_254 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_255 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_256 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_257 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_258 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_259 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_26 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_260 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_261 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_262 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_263 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_264 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_265 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_27 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_28 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_29 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_3 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_30 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_31 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_32 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_33 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_34 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_35 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_36 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_37 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_38 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_39 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_4 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_40 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_41 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_42 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_43 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_44 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_45 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_46 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_47 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_48 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_49 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_5 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_50 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_51 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_52 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_53 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_54 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_55 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_56 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_57 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_58 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_59 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_6 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_60 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_61 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_62 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_63 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_64 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_65 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_66 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_67 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_68 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_69 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_7 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_70 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_71 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_72 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_73 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_74 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_75 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_76 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_77 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_78 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_79 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_8 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_80 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_81 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_82 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_83 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_84 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_85 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_86 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_87 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_88 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_89 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__decap_3 PHY_9 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_90 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_91 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_92 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_93 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_94 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_95 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_96 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_97 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_98 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__tapvpwrvgnd_1 PHY_99 (
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__inv_8 _243_ (
.A(\pll_control.count0[4] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_043_)
);
sky130_fd_sc_hd__inv_8 _244_ (
.A(\pll_control.count1[4] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_044_)
);
sky130_fd_sc_hd__inv_8 _245_ (
.A(\pll_control.count0[3] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_045_)
);
sky130_fd_sc_hd__inv_8 _246_ (
.A(\pll_control.count1[3] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_046_)
);
sky130_fd_sc_hd__inv_8 _247_ (
.A(\pll_control.count0[2] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_047_)
);
sky130_fd_sc_hd__inv_8 _248_ (
.A(\pll_control.count1[2] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_048_)
);
sky130_fd_sc_hd__inv_8 _249_ (
.A(\pll_control.count0[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_049_)
);
sky130_fd_sc_hd__inv_8 _250_ (
.A(\pll_control.count1[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_050_)
);
sky130_fd_sc_hd__inv_8 _251_ (
.A(\pll_control.count0[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_051_)
);
sky130_fd_sc_hd__inv_8 _252_ (
.A(\pll_control.prep[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_052_)
);
sky130_fd_sc_hd__inv_8 _253_ (
.A(\pll_control.tint[4] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_053_)
);
sky130_fd_sc_hd__inv_8 _254_ (
.A(\pll_control.tint[3] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_054_)
);
sky130_fd_sc_hd__inv_8 _255_ (
.A(\pll_control.tint[2] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_055_)
);
sky130_fd_sc_hd__inv_8 _256_ (
.A(\pll_control.tint[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_056_)
);
sky130_fd_sc_hd__inv_8 _257_ (
.A(\pll_control.tint[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_057_)
);
sky130_fd_sc_hd__inv_8 _258_ (
.A(\pll_control.tval[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_058_)
);
sky130_fd_sc_hd__inv_8 _259_ (
.A(\pll_control.tval[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_059_)
);
sky130_fd_sc_hd__inv_8 _260_ (
.A(div[2]),
.VGND(VGND),
.VPWR(VPWR),
.Y(_060_)
);
sky130_fd_sc_hd__inv_8 _261_ (
.A(div[0]),
.VGND(VGND),
.VPWR(VPWR),
.Y(_061_)
);
sky130_fd_sc_hd__inv_8 _262_ (
.A(dco),
.VGND(VGND),
.VPWR(VPWR),
.Y(_062_)
);
sky130_fd_sc_hd__xor2_4 _263_ (
.A(\pll_control.oscbuf[1] ),
.B(\pll_control.oscbuf[2] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_063_)
);
sky130_fd_sc_hd__inv_8 _264_ (
.A(_063_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_064_)
);
sky130_fd_sc_hd__a2bb2o_4 _265_ (
.A1_N(_043_),
.A2_N(_064_),
.B1(\pll_control.count1[4] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_042_)
);
sky130_fd_sc_hd__nand2_4 _266_ (
.A(enable),
.B(resetb),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.iss.reset )
);
sky130_fd_sc_hd__and3_4 _267_ (
.A(enable),
.B(resetb),
.C(_062_),
.VGND(VGND),
.VPWR(VPWR),
.X(_021_)
);
sky130_fd_sc_hd__a2bb2o_4 _268_ (
.A1_N(_045_),
.A2_N(_064_),
.B1(\pll_control.count1[3] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_041_)
);
sky130_fd_sc_hd__a2bb2o_4 _269_ (
.A1_N(_047_),
.A2_N(_064_),
.B1(\pll_control.count1[2] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_040_)
);
sky130_fd_sc_hd__a2bb2o_4 _270_ (
.A1_N(_049_),
.A2_N(_064_),
.B1(\pll_control.count1[1] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_039_)
);
sky130_fd_sc_hd__a2bb2o_4 _271_ (
.A1_N(_051_),
.A2_N(_064_),
.B1(\pll_control.count1[0] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_038_)
);
sky130_fd_sc_hd__a2bb2o_4 _272_ (
.A1_N(_052_),
.A2_N(_064_),
.B1(\pll_control.prep[2] ),
.B2(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_037_)
);
sky130_fd_sc_hd__a2bb2o_4 _273_ (
.A1_N(_052_),
.A2_N(_063_),
.B1(\pll_control.prep[0] ),
.B2(_063_),
.VGND(VGND),
.VPWR(VPWR),
.X(_036_)
);
sky130_fd_sc_hd__or2_4 _274_ (
.A(\pll_control.prep[0] ),
.B(_063_),
.VGND(VGND),
.VPWR(VPWR),
.X(_035_)
);
sky130_fd_sc_hd__a2bb2o_4 _275_ (
.A1_N(_049_),
.A2_N(_050_),
.B1(_049_),
.B2(_050_),
.VGND(VGND),
.VPWR(VPWR),
.X(_065_)
);
sky130_fd_sc_hd__nand2_4 _276_ (
.A(\pll_control.count0[0] ),
.B(\pll_control.count1[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_066_)
);
sky130_fd_sc_hd__a2bb2o_4 _277_ (
.A1_N(_065_),
.A2_N(_066_),
.B1(_065_),
.B2(_066_),
.VGND(VGND),
.VPWR(VPWR),
.X(_067_)
);
sky130_fd_sc_hd__and2_4 _278_ (
.A(div[1]),
.B(_067_),
.VGND(VGND),
.VPWR(VPWR),
.X(_068_)
);
sky130_fd_sc_hd__nor2_4 _279_ (
.A(div[1]),
.B(_067_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_069_)
);
sky130_fd_sc_hd__o21a_4 _280_ (
.A1(\pll_control.count0[0] ),
.A2(\pll_control.count1[0] ),
.B1(_066_),
.VGND(VGND),
.VPWR(VPWR),
.X(_070_)
);
sky130_fd_sc_hd__and2_4 _281_ (
.A(_061_),
.B(_070_),
.VGND(VGND),
.VPWR(VPWR),
.X(_071_)
);
sky130_fd_sc_hd__nor3_4 _282_ (
.A(_068_),
.B(_071_),
.C(_069_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_072_)
);
sky130_fd_sc_hd__and2_4 _283_ (
.A(_045_),
.B(_046_),
.VGND(VGND),
.VPWR(VPWR),
.X(_073_)
);
sky130_fd_sc_hd__a21o_4 _284_ (
.A1(\pll_control.count0[3] ),
.A2(\pll_control.count1[3] ),
.B1(_073_),
.VGND(VGND),
.VPWR(VPWR),
.X(_074_)
);
sky130_fd_sc_hd__and2_4 _285_ (
.A(_047_),
.B(_048_),
.VGND(VGND),
.VPWR(VPWR),
.X(_075_)
);
sky130_fd_sc_hd__o22a_4 _286_ (
.A1(_049_),
.A2(_050_),
.B1(_065_),
.B2(_066_),
.VGND(VGND),
.VPWR(VPWR),
.X(_076_)
);
sky130_fd_sc_hd__o22a_4 _287_ (
.A1(_047_),
.A2(_048_),
.B1(_075_),
.B2(_076_),
.VGND(VGND),
.VPWR(VPWR),
.X(_077_)
);
sky130_fd_sc_hd__a2bb2o_4 _288_ (
.A1_N(_074_),
.A2_N(_077_),
.B1(_074_),
.B2(_077_),
.VGND(VGND),
.VPWR(VPWR),
.X(_078_)
);
sky130_fd_sc_hd__a21oi_4 _289_ (
.A1(\pll_control.count0[2] ),
.A2(\pll_control.count1[2] ),
.B1(_075_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_079_)
);
sky130_fd_sc_hd__xnor2_4 _290_ (
.A(_076_),
.B(_079_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_080_)
);
sky130_fd_sc_hd__or2_4 _291_ (
.A(_060_),
.B(_080_),
.VGND(VGND),
.VPWR(VPWR),
.X(_081_)
);
sky130_fd_sc_hd__a21bo_4 _292_ (
.A1(div[3]),
.A2(_078_),
.B1_N(_081_),
.VGND(VGND),
.VPWR(VPWR),
.X(_082_)
);
sky130_fd_sc_hd__nor2_4 _293_ (
.A(div[3]),
.B(_078_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_083_)
);
sky130_fd_sc_hd__a211o_4 _294_ (
.A1(_060_),
.A2(_080_),
.B1(_083_),
.C1(_082_),
.VGND(VGND),
.VPWR(VPWR),
.X(_084_)
);
sky130_fd_sc_hd__inv_8 _295_ (
.A(_084_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_085_)
);
sky130_fd_sc_hd__o21a_4 _296_ (
.A1(_068_),
.A2(_072_),
.B1(_085_),
.VGND(VGND),
.VPWR(VPWR),
.X(_086_)
);
sky130_fd_sc_hd__a2bb2o_4 _297_ (
.A1_N(_043_),
.A2_N(_044_),
.B1(_043_),
.B2(_044_),
.VGND(VGND),
.VPWR(VPWR),
.X(_087_)
);
sky130_fd_sc_hd__o22a_4 _298_ (
.A1(_045_),
.A2(_046_),
.B1(_073_),
.B2(_077_),
.VGND(VGND),
.VPWR(VPWR),
.X(_088_)
);
sky130_fd_sc_hd__a2bb2o_4 _299_ (
.A1_N(_087_),
.A2_N(_088_),
.B1(_087_),
.B2(_088_),
.VGND(VGND),
.VPWR(VPWR),
.X(_089_)
);
sky130_fd_sc_hd__and2_4 _300_ (
.A(div[4]),
.B(_089_),
.VGND(VGND),
.VPWR(VPWR),
.X(_090_)
);
sky130_fd_sc_hd__o21a_4 _301_ (
.A1(div[3]),
.A2(_078_),
.B1(_082_),
.VGND(VGND),
.VPWR(VPWR),
.X(_091_)
);
sky130_fd_sc_hd__nor3_4 _302_ (
.A(_090_),
.B(_091_),
.C(_086_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_092_)
);
sky130_fd_sc_hd__o22a_4 _303_ (
.A1(_043_),
.A2(_044_),
.B1(_087_),
.B2(_088_),
.VGND(VGND),
.VPWR(VPWR),
.X(_093_)
);
sky130_fd_sc_hd__o21ai_4 _304_ (
.A1(div[4]),
.A2(_089_),
.B1(_093_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_094_)
);
sky130_fd_sc_hd__or2_4 _305_ (
.A(_092_),
.B(_094_),
.VGND(VGND),
.VPWR(VPWR),
.X(_095_)
);
sky130_fd_sc_hd__inv_8 _306_ (
.A(_095_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_096_)
);
sky130_fd_sc_hd__or2_4 _307_ (
.A(\pll_control.tint[3] ),
.B(\pll_control.tint[2] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_097_)
);
sky130_fd_sc_hd__or2_4 _308_ (
.A(\pll_control.tint[1] ),
.B(\pll_control.tint[0] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_098_)
);
sky130_fd_sc_hd__inv_8 _309_ (
.A(_098_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_099_)
);
sky130_fd_sc_hd__and4_4 _310_ (
.A(_054_),
.B(_055_),
.C(_099_),
.D(_053_),
.VGND(VGND),
.VPWR(VPWR),
.X(_100_)
);
sky130_fd_sc_hd__and4_4 _311_ (
.A(_058_),
.B(_059_),
.C(_100_),
.D(_096_),
.VGND(VGND),
.VPWR(VPWR),
.X(_101_)
);
sky130_fd_sc_hd__o21ai_4 _312_ (
.A1(_061_),
.A2(_070_),
.B1(_072_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_102_)
);
sky130_fd_sc_hd__nor4_4 _313_ (
.A(_084_),
.B(_102_),
.C(_090_),
.D(_094_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_103_)
);
sky130_fd_sc_hd__nand4_4 _314_ (
.A(\pll_control.prep[1] ),
.B(_063_),
.C(\pll_control.prep[2] ),
.D(\pll_control.prep[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(_104_)
);
sky130_fd_sc_hd__or3_4 _315_ (
.A(_103_),
.B(_104_),
.C(_101_),
.VGND(VGND),
.VPWR(VPWR),
.X(_105_)
);
sky130_fd_sc_hd__or4_4 _316_ (
.A(_055_),
.B(_057_),
.C(_058_),
.D(_059_),
.VGND(VGND),
.VPWR(VPWR),
.X(_106_)
);
sky130_fd_sc_hd__or3_4 _317_ (
.A(_053_),
.B(_056_),
.C(_106_),
.VGND(VGND),
.VPWR(VPWR),
.X(_107_)
);
sky130_fd_sc_hd__nor3_4 _318_ (
.A(_054_),
.B(_096_),
.C(_107_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_108_)
);
sky130_fd_sc_hd__or2_4 _319_ (
.A(_105_),
.B(_108_),
.VGND(VGND),
.VPWR(VPWR),
.X(_109_)
);
sky130_fd_sc_hd__inv_8 _320_ (
.A(_109_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_110_)
);
sky130_fd_sc_hd__o22a_4 _321_ (
.A1(_058_),
.A2(_095_),
.B1(\pll_control.tval[1] ),
.B2(_096_),
.VGND(VGND),
.VPWR(VPWR),
.X(_111_)
);
sky130_fd_sc_hd__nand2_4 _322_ (
.A(\pll_control.tval[0] ),
.B(_111_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_112_)
);
sky130_fd_sc_hd__o21ai_4 _323_ (
.A1(_058_),
.A2(_095_),
.B1(_112_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_113_)
);
sky130_fd_sc_hd__o22a_4 _324_ (
.A1(_056_),
.A2(_096_),
.B1(\pll_control.tint[1] ),
.B2(_095_),
.VGND(VGND),
.VPWR(VPWR),
.X(_114_)
);
sky130_fd_sc_hd__inv_8 _325_ (
.A(_114_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_115_)
);
sky130_fd_sc_hd__or2_4 _326_ (
.A(\pll_control.tint[1] ),
.B(_057_),
.VGND(VGND),
.VPWR(VPWR),
.X(_116_)
);
sky130_fd_sc_hd__inv_8 _327_ (
.A(_116_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_117_)
);
sky130_fd_sc_hd__or2_4 _328_ (
.A(_056_),
.B(\pll_control.tint[0] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_118_)
);
sky130_fd_sc_hd__inv_8 _329_ (
.A(_118_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_119_)
);
sky130_fd_sc_hd__and2_4 _330_ (
.A(_116_),
.B(_118_),
.VGND(VGND),
.VPWR(VPWR),
.X(_120_)
);
sky130_fd_sc_hd__a32o_4 _331_ (
.A1(_115_),
.A2(_120_),
.A3(_113_),
.B1(_096_),
.B2(_098_),
.VGND(VGND),
.VPWR(VPWR),
.X(_121_)
);
sky130_fd_sc_hd__o22a_4 _332_ (
.A1(_055_),
.A2(_095_),
.B1(\pll_control.tint[2] ),
.B2(_096_),
.VGND(VGND),
.VPWR(VPWR),
.X(_122_)
);
sky130_fd_sc_hd__o22a_4 _333_ (
.A1(_054_),
.A2(_096_),
.B1(\pll_control.tint[3] ),
.B2(_095_),
.VGND(VGND),
.VPWR(VPWR),
.X(_123_)
);
sky130_fd_sc_hd__inv_8 _334_ (
.A(_123_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_124_)
);
sky130_fd_sc_hd__a32o_4 _335_ (
.A1(_122_),
.A2(_124_),
.A3(_121_),
.B1(_096_),
.B2(_097_),
.VGND(VGND),
.VPWR(VPWR),
.X(_125_)
);
sky130_fd_sc_hd__o22a_4 _336_ (
.A1(\pll_control.tint[4] ),
.A2(_096_),
.B1(_053_),
.B2(_095_),
.VGND(VGND),
.VPWR(VPWR),
.X(_126_)
);
sky130_fd_sc_hd__or2_4 _337_ (
.A(_125_),
.B(_126_),
.VGND(VGND),
.VPWR(VPWR),
.X(_127_)
);
sky130_fd_sc_hd__nand2_4 _338_ (
.A(_125_),
.B(_126_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_128_)
);
sky130_fd_sc_hd__a32o_4 _339_ (
.A1(_110_),
.A2(_128_),
.A3(_127_),
.B1(\pll_control.tint[4] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_034_)
);
sky130_fd_sc_hd__nand2_4 _340_ (
.A(_121_),
.B(_122_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_129_)
);
sky130_fd_sc_hd__o21a_4 _341_ (
.A1(_055_),
.A2(_095_),
.B1(_129_),
.VGND(VGND),
.VPWR(VPWR),
.X(_130_)
);
sky130_fd_sc_hd__nand2_4 _342_ (
.A(_123_),
.B(_130_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_131_)
);
sky130_fd_sc_hd__or2_4 _343_ (
.A(_123_),
.B(_130_),
.VGND(VGND),
.VPWR(VPWR),
.X(_132_)
);
sky130_fd_sc_hd__a32o_4 _344_ (
.A1(_110_),
.A2(_132_),
.A3(_131_),
.B1(\pll_control.tint[3] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_033_)
);
sky130_fd_sc_hd__or2_4 _345_ (
.A(_121_),
.B(_122_),
.VGND(VGND),
.VPWR(VPWR),
.X(_133_)
);
sky130_fd_sc_hd__a32o_4 _346_ (
.A1(_110_),
.A2(_129_),
.A3(_133_),
.B1(\pll_control.tint[2] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_032_)
);
sky130_fd_sc_hd__o22a_4 _347_ (
.A1(_057_),
.A2(_095_),
.B1(\pll_control.tint[0] ),
.B2(_096_),
.VGND(VGND),
.VPWR(VPWR),
.X(_134_)
);
sky130_fd_sc_hd__nand2_4 _348_ (
.A(_113_),
.B(_134_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_135_)
);
sky130_fd_sc_hd__o21a_4 _349_ (
.A1(_057_),
.A2(_095_),
.B1(_135_),
.VGND(VGND),
.VPWR(VPWR),
.X(_136_)
);
sky130_fd_sc_hd__nand2_4 _350_ (
.A(_114_),
.B(_136_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_137_)
);
sky130_fd_sc_hd__or2_4 _351_ (
.A(_114_),
.B(_136_),
.VGND(VGND),
.VPWR(VPWR),
.X(_138_)
);
sky130_fd_sc_hd__a32o_4 _352_ (
.A1(_110_),
.A2(_138_),
.A3(_137_),
.B1(\pll_control.tint[1] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_031_)
);
sky130_fd_sc_hd__or2_4 _353_ (
.A(_113_),
.B(_134_),
.VGND(VGND),
.VPWR(VPWR),
.X(_139_)
);
sky130_fd_sc_hd__a32o_4 _354_ (
.A1(_110_),
.A2(_135_),
.A3(_139_),
.B1(\pll_control.tint[0] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_030_)
);
sky130_fd_sc_hd__or2_4 _355_ (
.A(\pll_control.tval[0] ),
.B(_111_),
.VGND(VGND),
.VPWR(VPWR),
.X(_140_)
);
sky130_fd_sc_hd__a32o_4 _356_ (
.A1(_110_),
.A2(_112_),
.A3(_140_),
.B1(\pll_control.tval[1] ),
.B2(_109_),
.VGND(VGND),
.VPWR(VPWR),
.X(_029_)
);
sky130_fd_sc_hd__or2_4 _357_ (
.A(\pll_control.tval[0] ),
.B(_105_),
.VGND(VGND),
.VPWR(VPWR),
.X(_141_)
);
sky130_fd_sc_hd__o21ai_4 _358_ (
.A1(_059_),
.A2(_110_),
.B1(_141_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_028_)
);
sky130_fd_sc_hd__or2_4 _359_ (
.A(_049_),
.B(_051_),
.VGND(VGND),
.VPWR(VPWR),
.X(_142_)
);
sky130_fd_sc_hd__and3_4 _360_ (
.A(\pll_control.count0[1] ),
.B(\pll_control.count0[0] ),
.C(\pll_control.count0[2] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_143_)
);
sky130_fd_sc_hd__and2_4 _361_ (
.A(\pll_control.count0[3] ),
.B(_143_),
.VGND(VGND),
.VPWR(VPWR),
.X(_144_)
);
sky130_fd_sc_hd__o21a_4 _362_ (
.A1(\pll_control.count0[4] ),
.A2(_144_),
.B1(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_027_)
);
sky130_fd_sc_hd__o21ai_4 _363_ (
.A1(\pll_control.count0[3] ),
.A2(_143_),
.B1(_064_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_145_)
);
sky130_fd_sc_hd__a21oi_4 _364_ (
.A1(_043_),
.A2(_144_),
.B1(_145_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_026_)
);
sky130_fd_sc_hd__and4_4 _365_ (
.A(\pll_control.count0[3] ),
.B(_143_),
.C(\pll_control.count0[4] ),
.D(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_146_)
);
sky130_fd_sc_hd__and2_4 _366_ (
.A(_047_),
.B(_142_),
.VGND(VGND),
.VPWR(VPWR),
.X(_147_)
);
sky130_fd_sc_hd__nor3_4 _367_ (
.A(_063_),
.B(_143_),
.C(_147_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_148_)
);
sky130_fd_sc_hd__or2_4 _368_ (
.A(_146_),
.B(_148_),
.VGND(VGND),
.VPWR(VPWR),
.X(_025_)
);
sky130_fd_sc_hd__or2_4 _369_ (
.A(\pll_control.count0[1] ),
.B(\pll_control.count0[0] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_149_)
);
sky130_fd_sc_hd__and3_4 _370_ (
.A(_142_),
.B(_149_),
.C(_064_),
.VGND(VGND),
.VPWR(VPWR),
.X(_150_)
);
sky130_fd_sc_hd__or2_4 _371_ (
.A(_146_),
.B(_150_),
.VGND(VGND),
.VPWR(VPWR),
.X(_024_)
);
sky130_fd_sc_hd__a211o_4 _372_ (
.A1(\pll_control.count0[4] ),
.A2(_144_),
.B1(_051_),
.C1(_063_),
.VGND(VGND),
.VPWR(VPWR),
.X(_023_)
);
sky130_fd_sc_hd__or2_4 _373_ (
.A(dco),
.B(_100_),
.VGND(VGND),
.VPWR(VPWR),
.X(_151_)
);
sky130_fd_sc_hd__a21bo_4 _374_ (
.A1(ext_trim[0]),
.A2(dco),
.B1_N(_151_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[0].id.trim[0] )
);
sky130_fd_sc_hd__or3_4 _375_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(\pll_control.tint[4] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_152_)
);
sky130_fd_sc_hd__inv_8 _376_ (
.A(_152_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_153_)
);
sky130_fd_sc_hd__or2_4 _377_ (
.A(_056_),
.B(_057_),
.VGND(VGND),
.VPWR(VPWR),
.X(_154_)
);
sky130_fd_sc_hd__inv_8 _378_ (
.A(_154_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_155_)
);
sky130_fd_sc_hd__and4_4 _379_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(_053_),
.D(_155_),
.VGND(VGND),
.VPWR(VPWR),
.X(_156_)
);
sky130_fd_sc_hd__and4_4 _380_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(_053_),
.D(_119_),
.VGND(VGND),
.VPWR(VPWR),
.X(_157_)
);
sky130_fd_sc_hd__and4_4 _381_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(_053_),
.D(_117_),
.VGND(VGND),
.VPWR(VPWR),
.X(_158_)
);
sky130_fd_sc_hd__or2_4 _382_ (
.A(_157_),
.B(_158_),
.VGND(VGND),
.VPWR(VPWR),
.X(_159_)
);
sky130_fd_sc_hd__o32a_4 _383_ (
.A1(\pll_control.tint[3] ),
.A2(_055_),
.A3(_098_),
.B1(_097_),
.B2(_154_),
.VGND(VGND),
.VPWR(VPWR),
.X(_160_)
);
sky130_fd_sc_hd__nor2_4 _384_ (
.A(\pll_control.tint[4] ),
.B(_160_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_161_)
);
sky130_fd_sc_hd__nor3_4 _385_ (
.A(\pll_control.tint[4] ),
.B(_097_),
.C(_120_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_162_)
);
sky130_fd_sc_hd__or2_4 _386_ (
.A(_161_),
.B(_162_),
.VGND(VGND),
.VPWR(VPWR),
.X(_163_)
);
sky130_fd_sc_hd__or2_4 _387_ (
.A(_151_),
.B(_163_),
.VGND(VGND),
.VPWR(VPWR),
.X(_164_)
);
sky130_fd_sc_hd__inv_8 _388_ (
.A(_164_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_165_)
);
sky130_fd_sc_hd__or2_4 _389_ (
.A(_159_),
.B(_164_),
.VGND(VGND),
.VPWR(VPWR),
.X(_166_)
);
sky130_fd_sc_hd__or2_4 _390_ (
.A(_156_),
.B(_166_),
.VGND(VGND),
.VPWR(VPWR),
.X(_167_)
);
sky130_fd_sc_hd__or2_4 _391_ (
.A(_153_),
.B(_167_),
.VGND(VGND),
.VPWR(VPWR),
.X(_168_)
);
sky130_fd_sc_hd__a21bo_4 _392_ (
.A1(dco),
.A2(ext_trim[1]),
.B1_N(_168_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[1].id.trim[0] )
);
sky130_fd_sc_hd__or2_4 _393_ (
.A(_156_),
.B(_157_),
.VGND(VGND),
.VPWR(VPWR),
.X(_169_)
);
sky130_fd_sc_hd__or2_4 _394_ (
.A(_158_),
.B(_161_),
.VGND(VGND),
.VPWR(VPWR),
.X(_170_)
);
sky130_fd_sc_hd__and4_4 _395_ (
.A(_054_),
.B(_055_),
.C(_053_),
.D(_119_),
.VGND(VGND),
.VPWR(VPWR),
.X(_171_)
);
sky130_fd_sc_hd__and4_4 _396_ (
.A(_054_),
.B(_055_),
.C(_053_),
.D(_117_),
.VGND(VGND),
.VPWR(VPWR),
.X(_172_)
);
sky130_fd_sc_hd__or2_4 _397_ (
.A(_151_),
.B(_172_),
.VGND(VGND),
.VPWR(VPWR),
.X(_173_)
);
sky130_fd_sc_hd__or2_4 _398_ (
.A(_171_),
.B(_173_),
.VGND(VGND),
.VPWR(VPWR),
.X(_174_)
);
sky130_fd_sc_hd__or2_4 _399_ (
.A(_170_),
.B(_174_),
.VGND(VGND),
.VPWR(VPWR),
.X(_175_)
);
sky130_fd_sc_hd__or2_4 _400_ (
.A(_169_),
.B(_175_),
.VGND(VGND),
.VPWR(VPWR),
.X(_176_)
);
sky130_fd_sc_hd__inv_8 _401_ (
.A(_176_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_177_)
);
sky130_fd_sc_hd__a21o_4 _402_ (
.A1(dco),
.A2(ext_trim[2]),
.B1(_177_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[2].id.trim[0] )
);
sky130_fd_sc_hd__and4_4 _403_ (
.A(_054_),
.B(_055_),
.C(_053_),
.D(_155_),
.VGND(VGND),
.VPWR(VPWR),
.X(_178_)
);
sky130_fd_sc_hd__or2_4 _404_ (
.A(_174_),
.B(_178_),
.VGND(VGND),
.VPWR(VPWR),
.X(_179_)
);
sky130_fd_sc_hd__a21bo_4 _405_ (
.A1(dco),
.A2(ext_trim[3]),
.B1_N(_179_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[3].id.trim[0] )
);
sky130_fd_sc_hd__o21a_4 _406_ (
.A1(_099_),
.A2(_117_),
.B1(_153_),
.VGND(VGND),
.VPWR(VPWR),
.X(_180_)
);
sky130_fd_sc_hd__a2bb2o_4 _407_ (
.A1_N(_167_),
.A2_N(_180_),
.B1(dco),
.B2(ext_trim[4]),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[4].id.trim[0] )
);
sky130_fd_sc_hd__a21bo_4 _408_ (
.A1(dco),
.A2(ext_trim[5]),
.B1_N(_166_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[5].id.trim[0] )
);
sky130_fd_sc_hd__a21bo_4 _409_ (
.A1(dco),
.A2(ext_trim[6]),
.B1_N(_173_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[6].id.trim[0] )
);
sky130_fd_sc_hd__and2_4 _410_ (
.A(_153_),
.B(_154_),
.VGND(VGND),
.VPWR(VPWR),
.X(_181_)
);
sky130_fd_sc_hd__or2_4 _411_ (
.A(_159_),
.B(_181_),
.VGND(VGND),
.VPWR(VPWR),
.X(_182_)
);
sky130_fd_sc_hd__and4_4 _412_ (
.A(\pll_control.tint[3] ),
.B(\pll_control.tint[2] ),
.C(_053_),
.D(_099_),
.VGND(VGND),
.VPWR(VPWR),
.X(_183_)
);
sky130_fd_sc_hd__and2_4 _413_ (
.A(_153_),
.B(_155_),
.VGND(VGND),
.VPWR(VPWR),
.X(_184_)
);
sky130_fd_sc_hd__or2_4 _414_ (
.A(_183_),
.B(_184_),
.VGND(VGND),
.VPWR(VPWR),
.X(_185_)
);
sky130_fd_sc_hd__and4_4 _415_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(_099_),
.D(_053_),
.VGND(VGND),
.VPWR(VPWR),
.X(_186_)
);
sky130_fd_sc_hd__or2_4 _416_ (
.A(_156_),
.B(_186_),
.VGND(VGND),
.VPWR(VPWR),
.X(_187_)
);
sky130_fd_sc_hd__or4_4 _417_ (
.A(_185_),
.B(_187_),
.C(_182_),
.D(_179_),
.VGND(VGND),
.VPWR(VPWR),
.X(_188_)
);
sky130_fd_sc_hd__a21bo_4 _418_ (
.A1(dco),
.A2(ext_trim[7]),
.B1_N(_188_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[7].id.trim[0] )
);
sky130_fd_sc_hd__a21o_4 _419_ (
.A1(dco),
.A2(ext_trim[8]),
.B1(_165_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[8].id.trim[0] )
);
sky130_fd_sc_hd__a21o_4 _420_ (
.A1(_099_),
.A2(_153_),
.B1(_167_),
.VGND(VGND),
.VPWR(VPWR),
.X(_189_)
);
sky130_fd_sc_hd__a21bo_4 _421_ (
.A1(dco),
.A2(ext_trim[9]),
.B1_N(_189_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[9].id.trim[0] )
);
sky130_fd_sc_hd__a21bo_4 _422_ (
.A1(dco),
.A2(ext_trim[10]),
.B1_N(_174_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[10].id.trim[0] )
);
sky130_fd_sc_hd__or2_4 _423_ (
.A(_176_),
.B(_181_),
.VGND(VGND),
.VPWR(VPWR),
.X(_190_)
);
sky130_fd_sc_hd__a21bo_4 _424_ (
.A1(dco),
.A2(ext_trim[11]),
.B1_N(_190_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[11].id.trim[0] )
);
sky130_fd_sc_hd__a21bo_4 _425_ (
.A1(dco),
.A2(ext_trim[12]),
.B1_N(_175_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.iss.trim[0] )
);
sky130_fd_sc_hd__or4_4 _426_ (
.A(_054_),
.B(_055_),
.C(\pll_control.tint[4] ),
.D(\pll_control.tint[1] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_191_)
);
sky130_fd_sc_hd__a32o_4 _427_ (
.A1(_152_),
.A2(_191_),
.A3(_177_),
.B1(dco),
.B2(ext_trim[13]),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[0].id.trim[1] )
);
sky130_fd_sc_hd__and4_4 _428_ (
.A(\pll_control.tint[3] ),
.B(\pll_control.tint[2] ),
.C(_053_),
.D(_154_),
.VGND(VGND),
.VPWR(VPWR),
.X(_192_)
);
sky130_fd_sc_hd__or2_4 _429_ (
.A(_159_),
.B(_192_),
.VGND(VGND),
.VPWR(VPWR),
.X(_193_)
);
sky130_fd_sc_hd__and4_4 _430_ (
.A(_054_),
.B(_055_),
.C(_099_),
.D(\pll_control.tint[4] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_194_)
);
sky130_fd_sc_hd__and4_4 _431_ (
.A(_053_),
.B(_155_),
.C(\pll_control.tint[3] ),
.D(\pll_control.tint[2] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_195_)
);
sky130_fd_sc_hd__or2_4 _432_ (
.A(_194_),
.B(_195_),
.VGND(VGND),
.VPWR(VPWR),
.X(_196_)
);
sky130_fd_sc_hd__or4_4 _433_ (
.A(_151_),
.B(_196_),
.C(_163_),
.D(_193_),
.VGND(VGND),
.VPWR(VPWR),
.X(_197_)
);
sky130_fd_sc_hd__and4_4 _434_ (
.A(_054_),
.B(_055_),
.C(\pll_control.tint[4] ),
.D(\pll_control.tint[1] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_198_)
);
sky130_fd_sc_hd__and4_4 _435_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(\pll_control.tint[4] ),
.D(_056_),
.VGND(VGND),
.VPWR(VPWR),
.X(_199_)
);
sky130_fd_sc_hd__or2_4 _436_ (
.A(_198_),
.B(_199_),
.VGND(VGND),
.VPWR(VPWR),
.X(_200_)
);
sky130_fd_sc_hd__and4_4 _437_ (
.A(\pll_control.tint[3] ),
.B(_055_),
.C(_053_),
.D(_117_),
.VGND(VGND),
.VPWR(VPWR),
.X(_201_)
);
sky130_fd_sc_hd__a211o_4 _438_ (
.A1(_116_),
.A2(_153_),
.B1(_200_),
.C1(_201_),
.VGND(VGND),
.VPWR(VPWR),
.X(_202_)
);
sky130_fd_sc_hd__o32a_4 _439_ (
.A1(_054_),
.A2(\pll_control.tint[2] ),
.A3(_098_),
.B1(_097_),
.B2(_116_),
.VGND(VGND),
.VPWR(VPWR),
.X(_203_)
);
sky130_fd_sc_hd__nor2_4 _440_ (
.A(_053_),
.B(_203_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_204_)
);
sky130_fd_sc_hd__and4_4 _441_ (
.A(\pll_control.tint[4] ),
.B(\pll_control.tint[1] ),
.C(_054_),
.D(\pll_control.tint[2] ),
.VGND(VGND),
.VPWR(VPWR),
.X(_205_)
);
sky130_fd_sc_hd__or4_4 _442_ (
.A(_156_),
.B(_205_),
.C(_204_),
.D(_202_),
.VGND(VGND),
.VPWR(VPWR),
.X(_206_)
);
sky130_fd_sc_hd__or2_4 _443_ (
.A(_197_),
.B(_206_),
.VGND(VGND),
.VPWR(VPWR),
.X(_207_)
);
sky130_fd_sc_hd__a21bo_4 _444_ (
.A1(dco),
.A2(ext_trim[14]),
.B1_N(_207_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[1].id.trim[1] )
);
sky130_fd_sc_hd__nor2_4 _445_ (
.A(_053_),
.B(_160_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_208_)
);
sky130_fd_sc_hd__nor3_4 _446_ (
.A(_053_),
.B(_097_),
.C(_120_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_209_)
);
sky130_fd_sc_hd__or4_4 _447_ (
.A(_153_),
.B(_156_),
.C(_209_),
.D(_208_),
.VGND(VGND),
.VPWR(VPWR),
.X(_210_)
);
sky130_fd_sc_hd__or2_4 _448_ (
.A(_197_),
.B(_210_),
.VGND(VGND),
.VPWR(VPWR),
.X(_211_)
);
sky130_fd_sc_hd__a21bo_4 _449_ (
.A1(dco),
.A2(ext_trim[15]),
.B1_N(_211_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[2].id.trim[1] )
);
sky130_fd_sc_hd__or2_4 _450_ (
.A(_170_),
.B(_192_),
.VGND(VGND),
.VPWR(VPWR),
.X(_212_)
);
sky130_fd_sc_hd__or2_4 _451_ (
.A(_180_),
.B(_196_),
.VGND(VGND),
.VPWR(VPWR),
.X(_213_)
);
sky130_fd_sc_hd__and2_4 _452_ (
.A(\pll_control.tint[1] ),
.B(_153_),
.VGND(VGND),
.VPWR(VPWR),
.X(_214_)
);
sky130_fd_sc_hd__or2_4 _453_ (
.A(_169_),
.B(_214_),
.VGND(VGND),
.VPWR(VPWR),
.X(_215_)
);
sky130_fd_sc_hd__or4_4 _454_ (
.A(_213_),
.B(_215_),
.C(_174_),
.D(_212_),
.VGND(VGND),
.VPWR(VPWR),
.X(_216_)
);
sky130_fd_sc_hd__a21bo_4 _455_ (
.A1(dco),
.A2(ext_trim[16]),
.B1_N(_216_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[3].id.trim[1] )
);
sky130_fd_sc_hd__or2_4 _456_ (
.A(_183_),
.B(_214_),
.VGND(VGND),
.VPWR(VPWR),
.X(_217_)
);
sky130_fd_sc_hd__and4_4 _457_ (
.A(_054_),
.B(\pll_control.tint[2] ),
.C(\pll_control.tint[4] ),
.D(_154_),
.VGND(VGND),
.VPWR(VPWR),
.X(_218_)
);
sky130_fd_sc_hd__nor4_4 _458_ (
.A(_054_),
.B(_055_),
.C(\pll_control.tint[4] ),
.D(_120_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_219_)
);
sky130_fd_sc_hd__and4_4 _459_ (
.A(_054_),
.B(_055_),
.C(\pll_control.tint[4] ),
.D(_117_),
.VGND(VGND),
.VPWR(VPWR),
.X(_220_)
);
sky130_fd_sc_hd__or4_4 _460_ (
.A(_219_),
.B(_220_),
.C(_218_),
.D(_217_),
.VGND(VGND),
.VPWR(VPWR),
.X(_221_)
);
sky130_fd_sc_hd__or2_4 _461_ (
.A(_169_),
.B(_198_),
.VGND(VGND),
.VPWR(VPWR),
.X(_222_)
);
sky130_fd_sc_hd__or4_4 _462_ (
.A(_213_),
.B(_222_),
.C(_221_),
.D(_175_),
.VGND(VGND),
.VPWR(VPWR),
.X(_223_)
);
sky130_fd_sc_hd__a21bo_4 _463_ (
.A1(dco),
.A2(ext_trim[17]),
.B1_N(_223_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[4].id.trim[1] )
);
sky130_fd_sc_hd__or3_4 _464_ (
.A(_153_),
.B(_162_),
.C(_220_),
.VGND(VGND),
.VPWR(VPWR),
.X(_224_)
);
sky130_fd_sc_hd__or4_4 _465_ (
.A(_151_),
.B(_196_),
.C(_224_),
.D(_222_),
.VGND(VGND),
.VPWR(VPWR),
.X(_225_)
);
sky130_fd_sc_hd__or2_4 _466_ (
.A(_212_),
.B(_225_),
.VGND(VGND),
.VPWR(VPWR),
.X(_226_)
);
sky130_fd_sc_hd__a21bo_4 _467_ (
.A1(dco),
.A2(ext_trim[18]),
.B1_N(_226_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[5].id.trim[1] )
);
sky130_fd_sc_hd__or2_4 _468_ (
.A(_184_),
.B(_192_),
.VGND(VGND),
.VPWR(VPWR),
.X(_227_)
);
sky130_fd_sc_hd__or2_4 _469_ (
.A(_190_),
.B(_227_),
.VGND(VGND),
.VPWR(VPWR),
.X(_228_)
);
sky130_fd_sc_hd__a21bo_4 _470_ (
.A1(dco),
.A2(ext_trim[19]),
.B1_N(_228_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[6].id.trim[1] )
);
sky130_fd_sc_hd__or2_4 _471_ (
.A(_196_),
.B(_220_),
.VGND(VGND),
.VPWR(VPWR),
.X(_229_)
);
sky130_fd_sc_hd__or2_4 _472_ (
.A(_200_),
.B(_229_),
.VGND(VGND),
.VPWR(VPWR),
.X(_230_)
);
sky130_fd_sc_hd__inv_8 _473_ (
.A(_230_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_231_)
);
sky130_fd_sc_hd__and4_4 _474_ (
.A(\pll_control.tint[3] ),
.B(_055_),
.C(\pll_control.tint[4] ),
.D(_056_),
.VGND(VGND),
.VPWR(VPWR),
.X(_232_)
);
sky130_fd_sc_hd__or4_4 _475_ (
.A(_205_),
.B(_232_),
.C(_230_),
.D(_228_),
.VGND(VGND),
.VPWR(VPWR),
.X(_233_)
);
sky130_fd_sc_hd__a21bo_4 _476_ (
.A1(dco),
.A2(ext_trim[20]),
.B1_N(_233_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[7].id.trim[1] )
);
sky130_fd_sc_hd__or2_4 _477_ (
.A(_163_),
.B(_229_),
.VGND(VGND),
.VPWR(VPWR),
.X(_234_)
);
sky130_fd_sc_hd__or2_4 _478_ (
.A(_151_),
.B(_156_),
.VGND(VGND),
.VPWR(VPWR),
.X(_235_)
);
sky130_fd_sc_hd__or4_4 _479_ (
.A(_227_),
.B(_235_),
.C(_182_),
.D(_234_),
.VGND(VGND),
.VPWR(VPWR),
.X(_236_)
);
sky130_fd_sc_hd__a21bo_4 _480_ (
.A1(dco),
.A2(ext_trim[21]),
.B1_N(_236_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[8].id.trim[1] )
);
sky130_fd_sc_hd__or3_4 _481_ (
.A(_153_),
.B(_156_),
.C(_200_),
.VGND(VGND),
.VPWR(VPWR),
.X(_237_)
);
sky130_fd_sc_hd__or4_4 _482_ (
.A(_151_),
.B(_237_),
.C(_193_),
.D(_234_),
.VGND(VGND),
.VPWR(VPWR),
.X(_238_)
);
sky130_fd_sc_hd__a21bo_4 _483_ (
.A1(dco),
.A2(ext_trim[22]),
.B1_N(_238_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[9].id.trim[1] )
);
sky130_fd_sc_hd__o22a_4 _484_ (
.A1(\pll_control.tint[4] ),
.A2(dco),
.B1(_062_),
.B2(ext_trim[23]),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[10].id.trim[1] )
);
sky130_fd_sc_hd__a211o_4 _485_ (
.A1(_119_),
.A2(_153_),
.B1(_156_),
.C1(_159_),
.VGND(VGND),
.VPWR(VPWR),
.X(_239_)
);
sky130_fd_sc_hd__nor4_4 _486_ (
.A(_180_),
.B(_205_),
.C(_227_),
.D(_239_),
.VGND(VGND),
.VPWR(VPWR),
.Y(_240_)
);
sky130_fd_sc_hd__a32o_4 _487_ (
.A1(_165_),
.A2(_240_),
.A3(_231_),
.B1(dco),
.B2(ext_trim[24]),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[11].id.trim[1] )
);
sky130_fd_sc_hd__or4_4 _488_ (
.A(_209_),
.B(_219_),
.C(_161_),
.D(_185_),
.VGND(VGND),
.VPWR(VPWR),
.X(_241_)
);
sky130_fd_sc_hd__or4_4 _489_ (
.A(_213_),
.B(_239_),
.C(_241_),
.D(_174_),
.VGND(VGND),
.VPWR(VPWR),
.X(_242_)
);
sky130_fd_sc_hd__a21bo_4 _490_ (
.A1(dco),
.A2(ext_trim[25]),
.B1_N(_242_),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.iss.trim[1] )
);
sky130_fd_sc_hd__buf_1 _491_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_020_)
);
sky130_fd_sc_hd__buf_1 _492_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_019_)
);
sky130_fd_sc_hd__buf_1 _493_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_018_)
);
sky130_fd_sc_hd__buf_1 _494_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_017_)
);
sky130_fd_sc_hd__buf_1 _495_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_016_)
);
sky130_fd_sc_hd__buf_1 _496_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_015_)
);
sky130_fd_sc_hd__buf_1 _497_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_014_)
);
sky130_fd_sc_hd__buf_1 _498_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_013_)
);
sky130_fd_sc_hd__buf_1 _499_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_012_)
);
sky130_fd_sc_hd__buf_1 _500_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_011_)
);
sky130_fd_sc_hd__buf_1 _501_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_010_)
);
sky130_fd_sc_hd__buf_1 _502_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_009_)
);
sky130_fd_sc_hd__buf_1 _503_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_008_)
);
sky130_fd_sc_hd__buf_1 _504_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_007_)
);
sky130_fd_sc_hd__buf_1 _505_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_006_)
);
sky130_fd_sc_hd__buf_1 _506_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_005_)
);
sky130_fd_sc_hd__buf_1 _507_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_004_)
);
sky130_fd_sc_hd__buf_1 _508_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_003_)
);
sky130_fd_sc_hd__buf_1 _509_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_002_)
);
sky130_fd_sc_hd__buf_1 _510_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_001_)
);
sky130_fd_sc_hd__buf_1 _511_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_000_)
);
sky130_fd_sc_hd__buf_1 _512_ (
.A(_021_),
.VGND(VGND),
.VPWR(VPWR),
.X(_022_)
);
sky130_fd_sc_hd__buf_2 _513_ (
.A(\pll_control.clock ),
.VGND(VGND),
.VPWR(VPWR),
.X(clockp[0])
);
sky130_fd_sc_hd__dfrtp_4 _514_ (
.CLK(\pll_control.clock ),
.D(osc),
.Q(\pll_control.oscbuf[0] ),
.RESET_B(_000_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _515_ (
.CLK(\pll_control.clock ),
.D(\pll_control.oscbuf[0] ),
.Q(\pll_control.oscbuf[1] ),
.RESET_B(_001_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _516_ (
.CLK(\pll_control.clock ),
.D(\pll_control.oscbuf[1] ),
.Q(\pll_control.oscbuf[2] ),
.RESET_B(_002_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _517_ (
.CLK(\pll_control.clock ),
.D(_023_),
.Q(\pll_control.count0[0] ),
.RESET_B(_003_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _518_ (
.CLK(\pll_control.clock ),
.D(_024_),
.Q(\pll_control.count0[1] ),
.RESET_B(_004_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _519_ (
.CLK(\pll_control.clock ),
.D(_025_),
.Q(\pll_control.count0[2] ),
.RESET_B(_005_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _520_ (
.CLK(\pll_control.clock ),
.D(_026_),
.Q(\pll_control.count0[3] ),
.RESET_B(_006_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _521_ (
.CLK(\pll_control.clock ),
.D(_027_),
.Q(\pll_control.count0[4] ),
.RESET_B(_007_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _522_ (
.CLK(\pll_control.clock ),
.D(_028_),
.Q(\pll_control.tval[0] ),
.RESET_B(_008_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _523_ (
.CLK(\pll_control.clock ),
.D(_029_),
.Q(\pll_control.tval[1] ),
.RESET_B(_009_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _524_ (
.CLK(\pll_control.clock ),
.D(_030_),
.Q(\pll_control.tint[0] ),
.RESET_B(_010_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _525_ (
.CLK(\pll_control.clock ),
.D(_031_),
.Q(\pll_control.tint[1] ),
.RESET_B(_011_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _526_ (
.CLK(\pll_control.clock ),
.D(_032_),
.Q(\pll_control.tint[2] ),
.RESET_B(_012_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _527_ (
.CLK(\pll_control.clock ),
.D(_033_),
.Q(\pll_control.tint[3] ),
.RESET_B(_013_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _528_ (
.CLK(\pll_control.clock ),
.D(_034_),
.Q(\pll_control.tint[4] ),
.RESET_B(_014_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _529_ (
.CLK(\pll_control.clock ),
.D(_035_),
.Q(\pll_control.prep[0] ),
.RESET_B(_015_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _530_ (
.CLK(\pll_control.clock ),
.D(_036_),
.Q(\pll_control.prep[1] ),
.RESET_B(_016_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _531_ (
.CLK(\pll_control.clock ),
.D(_037_),
.Q(\pll_control.prep[2] ),
.RESET_B(_017_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _532_ (
.CLK(\pll_control.clock ),
.D(_038_),
.Q(\pll_control.count1[0] ),
.RESET_B(_018_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _533_ (
.CLK(\pll_control.clock ),
.D(_039_),
.Q(\pll_control.count1[1] ),
.RESET_B(_019_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _534_ (
.CLK(\pll_control.clock ),
.D(_040_),
.Q(\pll_control.count1[2] ),
.RESET_B(_020_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _535_ (
.CLK(\pll_control.clock ),
.D(_041_),
.Q(\pll_control.count1[3] ),
.RESET_B(_021_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__dfrtp_4 _536_ (
.CLK(\pll_control.clock ),
.D(_042_),
.Q(\pll_control.count1[4] ),
.RESET_B(_022_),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[0].id.delaybuf0 (
.A(\ringosc.dstage[0].id.in ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[0].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[0].id.delaybuf1 (
.A(\ringosc.dstage[0].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[0].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen0 (
.A(\ringosc.dstage[0].id.d2 ),
.TE(\ringosc.dstage[0].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[0].id.delayen1 (
.A(\ringosc.dstage[0].id.d0 ),
.TE(\ringosc.dstage[0].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[0].id.delayenb0 (
.A(\ringosc.dstage[0].id.ts ),
.TE_B(\ringosc.dstage[0].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[0].id.delayenb1 (
.A(\ringosc.dstage[0].id.ts ),
.TE_B(\ringosc.dstage[0].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[0].id.delayint0 (
.A(\ringosc.dstage[0].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[0].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[10].id.delaybuf0 (
.A(\ringosc.dstage[10].id.in ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[10].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[10].id.delaybuf1 (
.A(\ringosc.dstage[10].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[10].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen0 (
.A(\ringosc.dstage[10].id.d2 ),
.TE(\ringosc.dstage[10].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[10].id.delayen1 (
.A(\ringosc.dstage[10].id.d0 ),
.TE(\ringosc.dstage[10].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[10].id.delayenb0 (
.A(\ringosc.dstage[10].id.ts ),
.TE_B(\ringosc.dstage[10].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[10].id.delayenb1 (
.A(\ringosc.dstage[10].id.ts ),
.TE_B(\ringosc.dstage[10].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[10].id.delayint0 (
.A(\ringosc.dstage[10].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[10].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[11].id.delaybuf0 (
.A(\ringosc.dstage[10].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[11].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[11].id.delaybuf1 (
.A(\ringosc.dstage[11].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[11].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen0 (
.A(\ringosc.dstage[11].id.d2 ),
.TE(\ringosc.dstage[11].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[11].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[11].id.delayen1 (
.A(\ringosc.dstage[11].id.d0 ),
.TE(\ringosc.dstage[11].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[11].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[11].id.delayenb0 (
.A(\ringosc.dstage[11].id.ts ),
.TE_B(\ringosc.dstage[11].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[11].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[11].id.delayenb1 (
.A(\ringosc.dstage[11].id.ts ),
.TE_B(\ringosc.dstage[11].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[11].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[11].id.delayint0 (
.A(\ringosc.dstage[11].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[11].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[1].id.delaybuf0 (
.A(\ringosc.dstage[0].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[1].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[1].id.delaybuf1 (
.A(\ringosc.dstage[1].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[1].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen0 (
.A(\ringosc.dstage[1].id.d2 ),
.TE(\ringosc.dstage[1].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[1].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[1].id.delayen1 (
.A(\ringosc.dstage[1].id.d0 ),
.TE(\ringosc.dstage[1].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[1].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[1].id.delayenb0 (
.A(\ringosc.dstage[1].id.ts ),
.TE_B(\ringosc.dstage[1].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[1].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[1].id.delayenb1 (
.A(\ringosc.dstage[1].id.ts ),
.TE_B(\ringosc.dstage[1].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[1].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[1].id.delayint0 (
.A(\ringosc.dstage[1].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[1].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[2].id.delaybuf0 (
.A(\ringosc.dstage[1].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[2].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[2].id.delaybuf1 (
.A(\ringosc.dstage[2].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[2].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen0 (
.A(\ringosc.dstage[2].id.d2 ),
.TE(\ringosc.dstage[2].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[2].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[2].id.delayen1 (
.A(\ringosc.dstage[2].id.d0 ),
.TE(\ringosc.dstage[2].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[2].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[2].id.delayenb0 (
.A(\ringosc.dstage[2].id.ts ),
.TE_B(\ringosc.dstage[2].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[2].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[2].id.delayenb1 (
.A(\ringosc.dstage[2].id.ts ),
.TE_B(\ringosc.dstage[2].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[2].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[2].id.delayint0 (
.A(\ringosc.dstage[2].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[2].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[3].id.delaybuf0 (
.A(\ringosc.dstage[2].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[3].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[3].id.delaybuf1 (
.A(\ringosc.dstage[3].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[3].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen0 (
.A(\ringosc.dstage[3].id.d2 ),
.TE(\ringosc.dstage[3].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[3].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[3].id.delayen1 (
.A(\ringosc.dstage[3].id.d0 ),
.TE(\ringosc.dstage[3].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[3].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[3].id.delayenb0 (
.A(\ringosc.dstage[3].id.ts ),
.TE_B(\ringosc.dstage[3].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[3].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[3].id.delayenb1 (
.A(\ringosc.dstage[3].id.ts ),
.TE_B(\ringosc.dstage[3].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[3].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[3].id.delayint0 (
.A(\ringosc.dstage[3].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[3].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[4].id.delaybuf0 (
.A(\ringosc.dstage[3].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[4].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[4].id.delaybuf1 (
.A(\ringosc.dstage[4].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[4].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen0 (
.A(\ringosc.dstage[4].id.d2 ),
.TE(\ringosc.dstage[4].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[4].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[4].id.delayen1 (
.A(\ringosc.dstage[4].id.d0 ),
.TE(\ringosc.dstage[4].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[4].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[4].id.delayenb0 (
.A(\ringosc.dstage[4].id.ts ),
.TE_B(\ringosc.dstage[4].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[4].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[4].id.delayenb1 (
.A(\ringosc.dstage[4].id.ts ),
.TE_B(\ringosc.dstage[4].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[4].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[4].id.delayint0 (
.A(\ringosc.dstage[4].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[4].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[5].id.delaybuf0 (
.A(\ringosc.dstage[4].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[5].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[5].id.delaybuf1 (
.A(\ringosc.dstage[5].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[5].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen0 (
.A(\ringosc.dstage[5].id.d2 ),
.TE(\ringosc.dstage[5].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[5].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[5].id.delayen1 (
.A(\ringosc.dstage[5].id.d0 ),
.TE(\ringosc.dstage[5].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[5].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[5].id.delayenb0 (
.A(\ringosc.dstage[5].id.ts ),
.TE_B(\ringosc.dstage[5].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[5].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[5].id.delayenb1 (
.A(\ringosc.dstage[5].id.ts ),
.TE_B(\ringosc.dstage[5].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[5].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[5].id.delayint0 (
.A(\ringosc.dstage[5].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[5].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[6].id.delaybuf0 (
.A(\ringosc.dstage[5].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[6].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[6].id.delaybuf1 (
.A(\ringosc.dstage[6].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[6].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen0 (
.A(\ringosc.dstage[6].id.d2 ),
.TE(\ringosc.dstage[6].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[6].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[6].id.delayen1 (
.A(\ringosc.dstage[6].id.d0 ),
.TE(\ringosc.dstage[6].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[6].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[6].id.delayenb0 (
.A(\ringosc.dstage[6].id.ts ),
.TE_B(\ringosc.dstage[6].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[6].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[6].id.delayenb1 (
.A(\ringosc.dstage[6].id.ts ),
.TE_B(\ringosc.dstage[6].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[6].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[6].id.delayint0 (
.A(\ringosc.dstage[6].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[6].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[7].id.delaybuf0 (
.A(\ringosc.dstage[6].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[7].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[7].id.delaybuf1 (
.A(\ringosc.dstage[7].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[7].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen0 (
.A(\ringosc.dstage[7].id.d2 ),
.TE(\ringosc.dstage[7].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[7].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[7].id.delayen1 (
.A(\ringosc.dstage[7].id.d0 ),
.TE(\ringosc.dstage[7].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[7].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[7].id.delayenb0 (
.A(\ringosc.dstage[7].id.ts ),
.TE_B(\ringosc.dstage[7].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[7].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[7].id.delayenb1 (
.A(\ringosc.dstage[7].id.ts ),
.TE_B(\ringosc.dstage[7].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[7].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[7].id.delayint0 (
.A(\ringosc.dstage[7].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[7].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[8].id.delaybuf0 (
.A(\ringosc.dstage[7].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[8].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[8].id.delaybuf1 (
.A(\ringosc.dstage[8].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[8].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen0 (
.A(\ringosc.dstage[8].id.d2 ),
.TE(\ringosc.dstage[8].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[8].id.out )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[8].id.delayen1 (
.A(\ringosc.dstage[8].id.d0 ),
.TE(\ringosc.dstage[8].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[8].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[8].id.delayenb0 (
.A(\ringosc.dstage[8].id.ts ),
.TE_B(\ringosc.dstage[8].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[8].id.out )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[8].id.delayenb1 (
.A(\ringosc.dstage[8].id.ts ),
.TE_B(\ringosc.dstage[8].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[8].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[8].id.delayint0 (
.A(\ringosc.dstage[8].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[8].id.d2 )
);
sky130_fd_sc_hd__clkbuf_2 \ringosc.dstage[9].id.delaybuf0 (
.A(\ringosc.dstage[8].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[9].id.ts )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.dstage[9].id.delaybuf1 (
.A(\ringosc.dstage[9].id.ts ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.dstage[9].id.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen0 (
.A(\ringosc.dstage[9].id.d2 ),
.TE(\ringosc.dstage[9].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.in )
);
sky130_fd_sc_hd__einvp_2 \ringosc.dstage[9].id.delayen1 (
.A(\ringosc.dstage[9].id.d0 ),
.TE(\ringosc.dstage[9].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[9].id.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.dstage[9].id.delayenb0 (
.A(\ringosc.dstage[9].id.ts ),
.TE_B(\ringosc.dstage[9].id.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[10].id.in )
);
sky130_fd_sc_hd__einvn_4 \ringosc.dstage[9].id.delayenb1 (
.A(\ringosc.dstage[9].id.ts ),
.TE_B(\ringosc.dstage[9].id.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[9].id.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.dstage[9].id.delayint0 (
.A(\ringosc.dstage[9].id.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.dstage[9].id.d2 )
);
sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp00 (
.A(\ringosc.dstage[0].id.in ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.c[0] )
);
sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp01 (
.A(\ringosc.c[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\pll_control.clock )
);
sky130_fd_sc_hd__clkinv_2 \ringosc.ibufp10 (
.A(\ringosc.dstage[5].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.c[1] )
);
sky130_fd_sc_hd__clkinv_8 \ringosc.ibufp11 (
.A(\ringosc.c[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Y(clockp[1])
);
sky130_fd_sc_hd__conb_1 \ringosc.iss.const1 (
.HI(\ringosc.iss.one ),
.VGND(VGND),
.VPWR(VPWR)
);
sky130_fd_sc_hd__or2_2 \ringosc.iss.ctrlen0 (
.A(\ringosc.iss.reset ),
.B(\ringosc.iss.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.iss.ctrl0 )
);
sky130_fd_sc_hd__clkbuf_1 \ringosc.iss.delaybuf0 (
.A(\ringosc.dstage[11].id.out ),
.VGND(VGND),
.VPWR(VPWR),
.X(\ringosc.iss.d0 )
);
sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen0 (
.A(\ringosc.iss.d2 ),
.TE(\ringosc.iss.trim[0] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.in )
);
sky130_fd_sc_hd__einvp_2 \ringosc.iss.delayen1 (
.A(\ringosc.iss.d0 ),
.TE(\ringosc.iss.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.iss.d1 )
);
sky130_fd_sc_hd__einvn_8 \ringosc.iss.delayenb0 (
.A(\ringosc.dstage[11].id.out ),
.TE_B(\ringosc.iss.ctrl0 ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.in )
);
sky130_fd_sc_hd__einvn_4 \ringosc.iss.delayenb1 (
.A(\ringosc.dstage[11].id.out ),
.TE_B(\ringosc.iss.trim[1] ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.iss.d1 )
);
sky130_fd_sc_hd__clkinv_1 \ringosc.iss.delayint0 (
.A(\ringosc.iss.d1 ),
.VGND(VGND),
.VPWR(VPWR),
.Y(\ringosc.iss.d2 )
);
sky130_fd_sc_hd__einvp_1 \ringosc.iss.reseten0 (
.A(\ringosc.iss.one ),
.TE(\ringosc.iss.reset ),
.VGND(VGND),
.VPWR(VPWR),
.Z(\ringosc.dstage[0].id.in )
);
endmodule