blob: a3b72f863d85fc2690a98cb755466b3e00268368 [file] [log] [blame]
Startpoint: B_0_0.WORD[0].W.B0.BIT[0].FF
(rising edge-triggered flip-flop clocked by CLK)
Endpoint: B_0_0.OUT[0].FF (rising edge-triggered flip-flop clocked by CLK)
Path Group: CLK
Path Type: min
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock CLK (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 ^ B_0_0.WORD[0].W.B0.BIT[0].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
0.17 0.17 v B_0_0.WORD[0].W.B0.BIT[0].FF/Q (sky130_fd_sc_hd__dfxtp_1)
0.42 0.59 v B_0_0.WORD[0].W.B0.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
0.00 0.59 v B_0_0.OUT[0].FF/D (sky130_fd_sc_hd__dfxtp_1)
0.59 data arrival time
0.00 0.00 clock CLK (rise edge)
0.00 0.00 clock network delay (ideal)
0.00 0.00 clock reconvergence pessimism
0.00 ^ B_0_0.OUT[0].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.03 -0.03 library hold time
-0.03 data required time
---------------------------------------------------------
-0.03 data required time
-0.59 data arrival time
---------------------------------------------------------
0.62 slack (MET)
Startpoint: A[6] (input port clocked by CLK)
Endpoint: B_0_0.OUT[0].FF (rising edge-triggered flip-flop clocked by CLK)
Path Group: CLK
Path Type: max
Delay Time Description
---------------------------------------------------------
0.00 0.00 clock CLK (rise edge)
0.00 0.00 clock network delay (ideal)
2.00 2.00 v input external delay
0.10 2.10 v A[6] (in)
6.46 8.56 ^ DEC.AND0/Y (sky130_fd_sc_hd__nor3b_2)
2.13 10.68 ^ B_0_0.DEC.DEC_L0.AND0/Y (sky130_fd_sc_hd__nor4b_2)
0.86 11.54 ^ B_0_0.DEC.DEC_L1[0].U.AND0/Y (sky130_fd_sc_hd__nor4b_2)
0.54 12.09 v B_0_0.WORD[0].W.B0.INV/Y (sky130_fd_sc_hd__inv_1)
2.61 14.69 ^ B_0_0.WORD[0].W.B0.BIT[0].OBUF/Z (sky130_fd_sc_hd__ebufn_2)
0.00 14.69 ^ B_0_0.OUT[0].FF/D (sky130_fd_sc_hd__dfxtp_1)
14.69 data arrival time
10.00 10.00 clock CLK (rise edge)
0.00 10.00 clock network delay (ideal)
0.00 10.00 clock reconvergence pessimism
10.00 ^ B_0_0.OUT[0].FF/CLK (sky130_fd_sc_hd__dfxtp_1)
-0.88 9.12 library setup time
9.12 data required time
---------------------------------------------------------
9.12 data required time
-14.69 data arrival time
---------------------------------------------------------
-5.57 slack (VIOLATED)