blob: 45be69b34dcda61b6914b0d8a229a5b6009d912f [file] [log] [blame]
${PACKAGES_DIR}/googletest-hdl/src/sv/googletest_sv_pkg.sv
${FWRISC}/ve/fwrisc/tb/fwrisc_tb_hvl.sv