blob: 7c58687b133725f359f41364c0b1d51249f9a458 [file] [log] [blame]
+incdir+.
./fwrisc_tests_pkg.sv