blob: f3520fe7936525e9c11d02d22b5ba4135b061b2d [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/ldst_sh_lw.elf