blob: 5f6080c93c540fff7ccf1ff1196fe515c78a2619 [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/branch_beq_t_back.elf