blob: defa81693bbc839edcfbb705ea601d8998ee4b73 [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests_counters.cycle
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main