blob: 4925b7e226509d2d21dafa42b0160fb6e49f1f3c [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/branch_bne_t_fwd.elf