blob: a0c0a230197d979116c1d7a19669d71338ef10a4 [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/branch_bge_eq_t_neg.elf