blob: a1cbbcb2648e98fbc24d9cb2b92dd3bdd93ae90b [file] [log] [blame]
+gtest-filter=fwrisc_instr_tests.runtest
+UVM_TESTNAME=fwrisc_instr_test
+hpi.entry=fwrisc_tests.instr_main
+SW_IMAGE=${BUILD_DIR}/esw/unit/branch_beq_f_back.elf