blob: 40a1e94c2df3ac8a976602967a0952321b9eca6c [file] [log] [blame]
${PACKAGES_DIR}/googletest-hdl/src/sv/googletest_sv_pkg.sv
+incdir+${FWRISC}/ve/fwrisc/tests/uvm
${FWRISC}/ve/fwrisc/tests/uvm/fwrisc_tests_pkg.sv
${FWRISC}/ve/fwrisc/tb/fwrisc_tb_hvl.sv