blob: 8f8fa3f736dc2d77f68c78daba9c5e4adc1ff997 [file] [log] [blame]
//***************************************************************************
//* sve.F
//***************************************************************************
+incdir+${UVM_HOME}/src
${UVM_HOME}/src/uvm_pkg.sv
// List file paths and processing directives here
-f ${FWRISC}/rtl/fwrisc.f
-f ${PACKAGES_DIR}/sve.F
-F ${FWRISC}/ve/ve.F