[DOC] Add more information on tooling for MPW #1
diff --git a/README.md b/README.md
index 198a4b2..2c77d56 100644
--- a/README.md
+++ b/README.md
@@ -6,8 +6,11 @@
 <img src="/doc/ciic_harness.png" width="75%" height="75%"> 
 </p>
 
+
 ## Getting Started:
 
+* For information on tooling and versioning, please refer to [this][1].
+
 Start by cloning the repo and uncompressing the files.
 ```bash
 git clone https://github.com/efabless/caravel.git
@@ -117,3 +120,4 @@
 3. Configure the User Project I/O pads as o/p. Use the Chip LA to control the clock source and reset signals and observe the counter value for five clock cylcles:  [LA_Test2](verilog/dv/caravel/user_proj_example/la_test2).
 
 [0]: openlane/README.md
+[1]: mpw-one-a.md
diff --git a/mpw-one-a.md b/mpw-one-a.md
new file mode 100644
index 0000000..7c4833e
--- /dev/null
+++ b/mpw-one-a.md
@@ -0,0 +1,71 @@
+# Repositories and versions to use
+
+## skywater-pdk:
+
+Please stick to version `v0.0.0-303-g3d7617a`
+(commit hash: `3d7617a1acb92ea883539bcf22a632d6361a5de4`)
+```
+git clone https://github.com/google/skywater-pdk.git
+cd skywater-pdk
+git checkout v0.0.0-303-g3d7617a
+git submodule update --init libraries/sky130_fd_sc_hd/latest
+git submodule update --init libraries/sky130_fd_sc_hvl/latest
+...
+git submodule update --init libraries/sky130_fd_io/latest
+```
+
+## open_pdks:
+
+Please stick to the `mpw-one-a` tag.
+```
+git clone https://github.com/RTimothyEdwards/open_pdks.git -b mpw-one-a
+```
+
+## OpenLane:
+
+Please stick to the `mpw-one-a` tag.
+```
+git clone https://github.com/efabless/openlane.git -b mpw-one-a
+```
+Note that the `mpw-one-a` tag is equivalent to the `rc5` tag. Also, note that
+running `make` inside the openlane directory will automatically grab the right
+versions of `open_pdks` and `skywater-pdk` as listed above and install them to
+PDK_ROOT.
+
+For example,
+
+```
+export PDK_ROOT=$HOME/pdks
+cd openlane
+make
+```
+
+## Caravel: 
+
+Please stick to the `mpw-one-a` tag.
+```
+git clone https://github.com/efabless/caravel.git -b mpw-one-a
+```
+
+## Notes
+
+- If you have already successfully hardened your blocks and have a clean
+  `user_project_wrapper`, you don't have to recreate it and can just reuse it.
+  No changes have been made to the user project area or to the tools that
+  require you to reharden your design(s).
+
+- If you prefer to re-generate your blocks (using OpenLane), you can refer to
+  this [page][1].
+
+- **IMPORTANT**. Do not forget to run `make uncompress -j4` in the caravel root
+  directory before you start working. Likewise, before you commit and push your
+  changes back, run `make compress -j4`.
+
+- If you already have a clean working tree in a previously cloned repository from
+  those listed above, what you need to do is:
+  ```
+  git pull
+  git checkout tag
+  ```
+
+[1]: ./openlane/README.md