Matt Venn | 08cd6eb | 2020-11-16 12:01:14 +0100 | [diff] [blame] | 1 | `default_nettype none |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 2 | module sysctrl_wb #( |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 3 | parameter BASE_ADR = 32'h2F00_0000, |
| 4 | parameter PWRGOOD = 8'h00, |
| 5 | parameter CLK_OUT = 8'h04, |
| 6 | parameter TRAP_OUT = 8'h08, |
| 7 | parameter IRQ_SRC = 8'h0c |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 8 | ) ( |
| 9 | input wb_clk_i, |
| 10 | input wb_rst_i, |
| 11 | |
| 12 | input [31:0] wb_dat_i, |
| 13 | input [31:0] wb_adr_i, |
| 14 | input [3:0] wb_sel_i, |
| 15 | input wb_cyc_i, |
| 16 | input wb_stb_i, |
| 17 | input wb_we_i, |
| 18 | |
| 19 | output [31:0] wb_dat_o, |
| 20 | output wb_ack_o, |
| 21 | |
Tim Edwards | 05ad4fc | 2020-10-19 22:12:33 -0400 | [diff] [blame] | 22 | input usr1_vcc_pwrgood, |
| 23 | input usr2_vcc_pwrgood, |
| 24 | input usr1_vdd_pwrgood, |
| 25 | input usr2_vdd_pwrgood, |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 26 | output clk1_output_dest, |
| 27 | output clk2_output_dest, |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 28 | output trap_output_dest, |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 29 | output irq_7_inputsrc, |
| 30 | output irq_8_inputsrc |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 31 | |
| 32 | ); |
| 33 | |
| 34 | wire resetn; |
| 35 | wire valid; |
| 36 | wire ready; |
| 37 | wire [3:0] iomem_we; |
| 38 | |
| 39 | assign resetn = ~wb_rst_i; |
| 40 | assign valid = wb_stb_i && wb_cyc_i; |
| 41 | |
| 42 | assign iomem_we = wb_sel_i & {4{wb_we_i}}; |
| 43 | assign wb_ack_o = ready; |
| 44 | |
| 45 | sysctrl #( |
| 46 | .BASE_ADR(BASE_ADR), |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 47 | .PWRGOOD(PWRGOOD), |
| 48 | .CLK_OUT(CLK_OUT), |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 49 | .TRAP_OUT(TRAP_OUT), |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 50 | .IRQ_SRC(IRQ_SRC) |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 51 | ) sysctrl ( |
| 52 | .clk(wb_clk_i), |
| 53 | .resetn(resetn), |
| 54 | |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 55 | .iomem_addr(wb_adr_i), |
| 56 | .iomem_valid(valid), |
| 57 | .iomem_wstrb(iomem_we), |
| 58 | .iomem_wdata(wb_dat_i), |
| 59 | .iomem_rdata(wb_dat_o), |
| 60 | .iomem_ready(ready), |
| 61 | |
Tim Edwards | 05ad4fc | 2020-10-19 22:12:33 -0400 | [diff] [blame] | 62 | .usr1_vcc_pwrgood(usr1_vcc_pwrgood), |
| 63 | .usr2_vcc_pwrgood(usr2_vcc_pwrgood), |
| 64 | .usr1_vdd_pwrgood(usr1_vdd_pwrgood), |
| 65 | .usr2_vdd_pwrgood(usr2_vdd_pwrgood), |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 66 | .clk1_output_dest(clk1_output_dest), |
| 67 | .clk2_output_dest(clk2_output_dest), |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 68 | .trap_output_dest(trap_output_dest), |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 69 | .irq_8_inputsrc(irq_8_inputsrc), |
Tim Edwards | 04ba17f | 2020-10-02 22:27:50 -0400 | [diff] [blame] | 70 | .irq_7_inputsrc(irq_7_inputsrc) |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 71 | ); |
| 72 | |
| 73 | endmodule |
| 74 | |
| 75 | module sysctrl #( |
| 76 | parameter BASE_ADR = 32'h2300_0000, |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 77 | parameter PWRGOOD = 8'h00, |
| 78 | parameter CLK_OUT = 8'h04, |
| 79 | parameter TRAP_OUT = 8'h08, |
| 80 | parameter IRQ_SRC = 8'h0c |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 81 | ) ( |
| 82 | input clk, |
| 83 | input resetn, |
| 84 | |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 85 | input [31:0] iomem_addr, |
| 86 | input iomem_valid, |
| 87 | input [3:0] iomem_wstrb, |
| 88 | input [31:0] iomem_wdata, |
| 89 | output reg [31:0] iomem_rdata, |
| 90 | output reg iomem_ready, |
| 91 | |
Tim Edwards | 05ad4fc | 2020-10-19 22:12:33 -0400 | [diff] [blame] | 92 | input usr1_vcc_pwrgood, |
| 93 | input usr2_vcc_pwrgood, |
| 94 | input usr1_vdd_pwrgood, |
| 95 | input usr2_vdd_pwrgood, |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 96 | output clk1_output_dest, |
| 97 | output clk2_output_dest, |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 98 | output trap_output_dest, |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 99 | output irq_7_inputsrc, |
| 100 | output irq_8_inputsrc |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 101 | ); |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 102 | |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 103 | reg clk1_output_dest; |
| 104 | reg clk2_output_dest; |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 105 | reg trap_output_dest; |
| 106 | reg irq_7_inputsrc; |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 107 | reg irq_8_inputsrc; |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 108 | |
Tim Edwards | 05ad4fc | 2020-10-19 22:12:33 -0400 | [diff] [blame] | 109 | wire usr1_vcc_pwrgood; |
| 110 | wire usr2_vcc_pwrgood; |
| 111 | wire usr1_vdd_pwrgood; |
| 112 | wire usr2_vdd_pwrgood; |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 113 | |
Tim Edwards | 581068f | 2020-11-19 12:45:25 -0500 | [diff] [blame] | 114 | wire pwrgood_sel; |
| 115 | wire clk_out_sel; |
| 116 | wire trap_out_sel; |
| 117 | wire irq_sel; |
| 118 | |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 119 | assign pwrgood_sel = (iomem_addr[7:0] == PWRGOOD); |
| 120 | assign clk_out_sel = (iomem_addr[7:0] == CLK_OUT); |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 121 | assign trap_out_sel = (iomem_addr[7:0] == TRAP_OUT); |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 122 | assign irq_sel = (iomem_addr[7:0] == IRQ_SRC); |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 123 | |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 124 | always @(posedge clk) begin |
| 125 | if (!resetn) begin |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 126 | clk1_output_dest <= 0; |
| 127 | clk2_output_dest <= 0; |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 128 | trap_output_dest <= 0; |
| 129 | irq_7_inputsrc <= 0; |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 130 | irq_8_inputsrc <= 0; |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 131 | end else begin |
| 132 | iomem_ready <= 0; |
| 133 | if (iomem_valid && !iomem_ready && iomem_addr[31:8] == BASE_ADR[31:8]) begin |
| 134 | iomem_ready <= 1'b 1; |
| 135 | |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 136 | if (pwrgood_sel) begin |
Tim Edwards | 05ad4fc | 2020-10-19 22:12:33 -0400 | [diff] [blame] | 137 | iomem_rdata <= {28'd0, usr2_vdd_pwrgood, usr1_vdd_pwrgood, |
| 138 | usr2_vcc_pwrgood, usr1_vcc_pwrgood}; |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 139 | // These are read-only bits; no write behavior on wstrb. |
| 140 | |
| 141 | end else if (clk_out_sel) begin |
| 142 | iomem_rdata <= {30'd0, clk2_output_dest, clk1_output_dest}; |
| 143 | if (iomem_wstrb[0]) begin |
| 144 | clk1_output_dest <= iomem_wdata[0]; |
| 145 | clk2_output_dest <= iomem_wdata[1]; |
| 146 | end |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 147 | |
| 148 | end else if (trap_out_sel) begin |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 149 | iomem_rdata <= {31'd0, trap_output_dest}; |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 150 | if (iomem_wstrb[0]) |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 151 | trap_output_dest <= iomem_wdata[0]; |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 152 | |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 153 | end else if (irq_sel) begin |
| 154 | iomem_rdata <= {30'd0, irq_8_inputsrc, irq_7_inputsrc}; |
| 155 | if (iomem_wstrb[0]) begin |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 156 | irq_7_inputsrc <= iomem_wdata[0]; |
Tim Edwards | 32d0542 | 2020-10-19 19:43:52 -0400 | [diff] [blame] | 157 | irq_8_inputsrc <= iomem_wdata[1]; |
| 158 | end |
shalan | fd13eb5 | 2020-08-21 16:48:07 +0200 | [diff] [blame] | 159 | end |
| 160 | end |
| 161 | end |
| 162 | end |
| 163 | |
Tim Edwards | ef8312e | 2020-09-22 17:20:06 -0400 | [diff] [blame] | 164 | endmodule |
Tim Edwards | 581068f | 2020-11-19 12:45:25 -0500 | [diff] [blame] | 165 | `default_nettype wire |