Add a sample user project wrapper

- current dimensions: 2.7x2.7 mm^2
diff --git a/def/user_project_wrapper_empty.def b/def/user_project_wrapper_empty.def
new file mode 100644
index 0000000..d775931
--- /dev/null
+++ b/def/user_project_wrapper_empty.def
@@ -0,0 +1,622 @@
+VERSION 5.8 ;
+DIVIDERCHAR "/" ;
+BUSBITCHARS "[]" ;
+DESIGN user_project_wrapper_empty ;
+UNITS DISTANCE MICRONS 1000 ;
+DIEAREA ( 0 0 ) ( 2700000 2700000 ) ;
+PINS 613 ;
+    - io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 28220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1828180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1996820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 2165460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 2334100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 2559180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2651670 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2265730 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1976390 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1687050 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1398170 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 196860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108830 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 819490 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 530150 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 240810 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2670700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2377620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2201500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 2025380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1849260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1673140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 365500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1497020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1320900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 1027140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 851020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 674900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 498780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 322660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1200 146540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 534140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 702780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 871420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1040740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1321580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1490220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2698800 1659540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[0] + NET io_oeb[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 140420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[10] + NET io_oeb[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1940380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2109020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2278340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2446980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2672060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2458930 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2072990 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1783650 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1494310 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1204970 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[1] + NET io_oeb[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 309060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 915630 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 626290 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 336950 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 48070 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2553740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2259980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2083860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[27] + NET io_oeb[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1907740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[28] + NET io_oeb[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1731620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[29] + NET io_oeb[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1555500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[2] + NET io_oeb[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 477700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[30] + NET io_oeb[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1379380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[31] + NET io_oeb[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1203260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[32] + NET io_oeb[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 909500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[33] + NET io_oeb[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 733380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[34] + NET io_oeb[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 557260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[35] + NET io_oeb[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 381140 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[36] + NET io_oeb[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 205020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[37] + NET io_oeb[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 29580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[3] + NET io_oeb[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 647020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[4] + NET io_oeb[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 815660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[5] + NET io_oeb[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 984300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[6] + NET io_oeb[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1152940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[7] + NET io_oeb[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1434460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[8] + NET io_oeb[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1603100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_oeb[9] + NET io_oeb[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1771740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[0] + NET io_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 83980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[10] + NET io_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1884620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2053260 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2221900 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2390540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 2615620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2555070 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2169590 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1880250 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1590910 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1301570 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[1] + NET io_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 252620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1012230 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 722890 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 433550 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 144210 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2612220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2318460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 2142340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[27] + NET io_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1966220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[28] + NET io_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1790100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[29] + NET io_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1613980 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[2] + NET io_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 421940 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[30] + NET io_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1437860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[31] + NET io_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 1261740 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[32] + NET io_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 968660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[33] + NET io_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 792540 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[34] + NET io_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 616420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[35] + NET io_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 440300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[36] + NET io_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 264180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[37] + NET io_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 88060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[3] + NET io_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 590580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[4] + NET io_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 759220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[5] + NET io_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 927860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[6] + NET io_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1096500 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[7] + NET io_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1378020 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[8] + NET io_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1546660 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - io_out[9] + NET io_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2698800 1715300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 585350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2234910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2251470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2268030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2284590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2301150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2317710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2334270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2350370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2366930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2383490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 750030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2400050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2416610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2433170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2449730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2465830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2482390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2498950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2515510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2532070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2548630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 766590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2565190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2581290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2597850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2614410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2630970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2647530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2664090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2680650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 783150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 799710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 816270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 832830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 849390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 865490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 882050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 898610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 601910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 915170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 931730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 948290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 964850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 981410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 997510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1014070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1030630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1047190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1063750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 618010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1080310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1096870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1112970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1129530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1146090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1162650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1179210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1195770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1212330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1228890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 634570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1244990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1261550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1278110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1294670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1311230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1327790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1344350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1360450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1377010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1393570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1410130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1426690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1443250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1459810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1475910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1492470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1509030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1542150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1558710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 667690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1575270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1591830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1607930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1624490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1641050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1657610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1674170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1690730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1707290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1723390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 684250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1739950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1773070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1789630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1806190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1839310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1855410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1871970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1888530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 700810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1905090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1921650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1938210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1954770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1970870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1987430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2003990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2020550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2037110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2053670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 717370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2070230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2086790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2102890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2119450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2136010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2152570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2169130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2185690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2202250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2218350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 733930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[0] + NET la_data_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 590870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[100] + NET la_data_out[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2240430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[101] + NET la_data_out[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2256990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[102] + NET la_data_out[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2273550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[103] + NET la_data_out[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2290110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[104] + NET la_data_out[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2306670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[105] + NET la_data_out[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2323230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[106] + NET la_data_out[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2339330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[107] + NET la_data_out[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2355890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[108] + NET la_data_out[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2372450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[109] + NET la_data_out[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2389010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[10] + NET la_data_out[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 755550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[110] + NET la_data_out[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2405570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[111] + NET la_data_out[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2422130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[112] + NET la_data_out[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2438690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[113] + NET la_data_out[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2455250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[114] + NET la_data_out[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2471350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[115] + NET la_data_out[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2487910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[116] + NET la_data_out[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2504470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[117] + NET la_data_out[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2521030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[118] + NET la_data_out[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2537590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[119] + NET la_data_out[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2554150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[11] + NET la_data_out[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 772110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[120] + NET la_data_out[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2570710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[121] + NET la_data_out[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2586810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[122] + NET la_data_out[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2603370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[123] + NET la_data_out[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2619930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[124] + NET la_data_out[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2636490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[125] + NET la_data_out[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2653050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[126] + NET la_data_out[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2669610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[127] + NET la_data_out[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2686170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[12] + NET la_data_out[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 788670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[13] + NET la_data_out[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 805230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[14] + NET la_data_out[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 821790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[15] + NET la_data_out[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 838350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[16] + NET la_data_out[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 854910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[17] + NET la_data_out[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 871010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[18] + NET la_data_out[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 887570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[19] + NET la_data_out[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 904130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[1] + NET la_data_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 607430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[20] + NET la_data_out[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 920690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[21] + NET la_data_out[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 937250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[22] + NET la_data_out[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 953810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[23] + NET la_data_out[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 970370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[24] + NET la_data_out[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 986470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[25] + NET la_data_out[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1003030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[26] + NET la_data_out[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1019590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[27] + NET la_data_out[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1036150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[28] + NET la_data_out[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1052710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[29] + NET la_data_out[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1069270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[2] + NET la_data_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 623530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[30] + NET la_data_out[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1085830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[31] + NET la_data_out[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1102390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[32] + NET la_data_out[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1118490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[33] + NET la_data_out[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1135050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[34] + NET la_data_out[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1151610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[35] + NET la_data_out[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1168170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[36] + NET la_data_out[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1184730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[37] + NET la_data_out[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1201290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[38] + NET la_data_out[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1217850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[39] + NET la_data_out[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1233950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[3] + NET la_data_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 640090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[40] + NET la_data_out[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1250510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[41] + NET la_data_out[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1267070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[42] + NET la_data_out[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1283630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[43] + NET la_data_out[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1300190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[44] + NET la_data_out[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1316750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[45] + NET la_data_out[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1333310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[46] + NET la_data_out[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1349870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[47] + NET la_data_out[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1365970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[48] + NET la_data_out[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1382530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[49] + NET la_data_out[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1399090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[4] + NET la_data_out[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 656650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[50] + NET la_data_out[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1415650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[51] + NET la_data_out[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1432210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[52] + NET la_data_out[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1448770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[53] + NET la_data_out[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1465330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[54] + NET la_data_out[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1481430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[55] + NET la_data_out[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1497990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[56] + NET la_data_out[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1514550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[57] + NET la_data_out[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1531110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[58] + NET la_data_out[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1547670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[59] + NET la_data_out[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1564230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[5] + NET la_data_out[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 673210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[60] + NET la_data_out[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1580790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[61] + NET la_data_out[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1597350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[62] + NET la_data_out[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1613450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[63] + NET la_data_out[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1630010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[64] + NET la_data_out[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1646570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[65] + NET la_data_out[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1663130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[66] + NET la_data_out[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1679690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[67] + NET la_data_out[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1696250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[68] + NET la_data_out[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1712810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[69] + NET la_data_out[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1728910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[6] + NET la_data_out[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[70] + NET la_data_out[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1745470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[71] + NET la_data_out[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1762030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[72] + NET la_data_out[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1778590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[73] + NET la_data_out[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1795150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[74] + NET la_data_out[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1811710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[75] + NET la_data_out[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1828270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[76] + NET la_data_out[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1844370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[77] + NET la_data_out[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1860930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[78] + NET la_data_out[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1877490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[79] + NET la_data_out[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1894050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[7] + NET la_data_out[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 706330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[80] + NET la_data_out[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1910610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[81] + NET la_data_out[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1927170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[82] + NET la_data_out[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1943730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[83] + NET la_data_out[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1960290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[84] + NET la_data_out[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1976390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[85] + NET la_data_out[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1992950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[86] + NET la_data_out[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2009510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[87] + NET la_data_out[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2026070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[88] + NET la_data_out[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2042630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[89] + NET la_data_out[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2059190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[8] + NET la_data_out[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 722890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[90] + NET la_data_out[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2075750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[91] + NET la_data_out[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2091850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[92] + NET la_data_out[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2108410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[93] + NET la_data_out[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2124970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[94] + NET la_data_out[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2141530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[95] + NET la_data_out[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2158090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[96] + NET la_data_out[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2174650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[97] + NET la_data_out[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2191210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[98] + NET la_data_out[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2207770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[99] + NET la_data_out[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2223870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_data_out[9] + NET la_data_out[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 596390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2245950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2262510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2279070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2295630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2312190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2344850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2361410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2377970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2394530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 761070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2411090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2427650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2444210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2460310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2476870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2493430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2509990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2526550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2543110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2559670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 777630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2576230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2592330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2608890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2625450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2642010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2658570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2675130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2691690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 794190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 810750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 827310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 843870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 860430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 893090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 909650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 612950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 926210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 942770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 959330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 975890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 991990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1008550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1025110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1041670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1058230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1074790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 629050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1091350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1107450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1124010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1140570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1157130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1173690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1190250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1206810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1223370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1239470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 645610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1256030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1272590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1289150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1305710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1322270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1338830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1354930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1371490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1388050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1404610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 662170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1421170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1437730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1454290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1470850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1486950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1503510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1520070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1536630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1553190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1569750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 678730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1586310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1602410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1618970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1635530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1652090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1685210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1701770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1718330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1734430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 695290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1750990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1767550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1784110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1800670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1817230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1833790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1849890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1866450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1883010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1899570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 711850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1916130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1932690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1949250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1965810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1981910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1998470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2015030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2031590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2048150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2064710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 728410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2081270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2097370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2113930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2130490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2147050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2163610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2180170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2196730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2212830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2229390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 744510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 2697210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2698800 2503420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2494580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2698800 1265820 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 1144780 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2362330 2698800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 2436100 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2698800 1209380 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 1085620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
+    - wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 7590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 35190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 222410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 238970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 255070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 271630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 288190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 304750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 321310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 337870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 354430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 57270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 387090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 403650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 420210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 436770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 453330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 469890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 486450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 502550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 519110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 535670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 79350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 552230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 568790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 101430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 123510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 156170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 172730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 189290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 205850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 18630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 40710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 227930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 244490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 260590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 277150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 293710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 310270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 326830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 343390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 359950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 376050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 392610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 409170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 425730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 442290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 458850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 475410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 491970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 508070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 524630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 541190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 84870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 557750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 574310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 128570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 145130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 161690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 178250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 194810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 211370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 46230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 233450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 249550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 266110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 282670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 299230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 315790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 332350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 348910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 381570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 68310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 398130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 414690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 431250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 447810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 464370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 480930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 513590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 530150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 546710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 90390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 563270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 579830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 112470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 134090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 150650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 167210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 200330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 51750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 73830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 95910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 24150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+    - wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 29670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
+END PINS
+END DESIGN
diff --git a/gds/user_project_wrapper_empty.gds b/gds/user_project_wrapper_empty.gds
new file mode 100644
index 0000000..aa567b0
--- /dev/null
+++ b/gds/user_project_wrapper_empty.gds
Binary files differ
diff --git a/lef/user_project_wrapper_empty.lef b/lef/user_project_wrapper_empty.lef
new file mode 100644
index 0000000..60b39f2
--- /dev/null
+++ b/lef/user_project_wrapper_empty.lef
@@ -0,0 +1,4303 @@
+VERSION 5.7 ;
+  NOWIREEXTENSIONATPIN ON ;
+  DIVIDERCHAR "/" ;
+  BUSBITCHARS "[]" ;
+MACRO user_project_wrapper_empty
+  CLASS BLOCK ;
+  FOREIGN user_project_wrapper_empty ;
+  ORIGIN 0.000 0.000 ;
+  SIZE 2700.000 BY 2700.000 ;
+  PIN io_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 27.920 2700.000 28.520 ;
+    END
+  END io_in[0]
+  PIN io_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1827.880 2700.000 1828.480 ;
+    END
+  END io_in[10]
+  PIN io_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1996.520 2700.000 1997.120 ;
+    END
+  END io_in[11]
+  PIN io_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2165.160 2700.000 2165.760 ;
+    END
+  END io_in[12]
+  PIN io_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2333.800 2700.000 2334.400 ;
+    END
+  END io_in[13]
+  PIN io_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2558.880 2700.000 2559.480 ;
+    END
+  END io_in[14]
+  PIN io_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2651.530 2697.600 2651.810 2700.000 ;
+    END
+  END io_in[15]
+  PIN io_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2265.590 2697.600 2265.870 2700.000 ;
+    END
+  END io_in[16]
+  PIN io_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1976.250 2697.600 1976.530 2700.000 ;
+    END
+  END io_in[17]
+  PIN io_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1686.910 2697.600 1687.190 2700.000 ;
+    END
+  END io_in[18]
+  PIN io_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1398.030 2697.600 1398.310 2700.000 ;
+    END
+  END io_in[19]
+  PIN io_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 196.560 2700.000 197.160 ;
+    END
+  END io_in[1]
+  PIN io_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1108.690 2697.600 1108.970 2700.000 ;
+    END
+  END io_in[20]
+  PIN io_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 819.350 2697.600 819.630 2700.000 ;
+    END
+  END io_in[21]
+  PIN io_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 530.010 2697.600 530.290 2700.000 ;
+    END
+  END io_in[22]
+  PIN io_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 240.670 2697.600 240.950 2700.000 ;
+    END
+  END io_in[23]
+  PIN io_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2670.400 2.400 2671.000 ;
+    END
+  END io_in[24]
+  PIN io_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2377.320 2.400 2377.920 ;
+    END
+  END io_in[25]
+  PIN io_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2201.200 2.400 2201.800 ;
+    END
+  END io_in[26]
+  PIN io_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2025.080 2.400 2025.680 ;
+    END
+  END io_in[27]
+  PIN io_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1848.960 2.400 1849.560 ;
+    END
+  END io_in[28]
+  PIN io_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1672.840 2.400 1673.440 ;
+    END
+  END io_in[29]
+  PIN io_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 365.200 2700.000 365.800 ;
+    END
+  END io_in[2]
+  PIN io_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1496.720 2.400 1497.320 ;
+    END
+  END io_in[30]
+  PIN io_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1320.600 2.400 1321.200 ;
+    END
+  END io_in[31]
+  PIN io_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1026.840 2.400 1027.440 ;
+    END
+  END io_in[32]
+  PIN io_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 850.720 2.400 851.320 ;
+    END
+  END io_in[33]
+  PIN io_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 674.600 2.400 675.200 ;
+    END
+  END io_in[34]
+  PIN io_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 498.480 2.400 499.080 ;
+    END
+  END io_in[35]
+  PIN io_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 322.360 2.400 322.960 ;
+    END
+  END io_in[36]
+  PIN io_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 146.240 2.400 146.840 ;
+    END
+  END io_in[37]
+  PIN io_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 533.840 2700.000 534.440 ;
+    END
+  END io_in[3]
+  PIN io_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 702.480 2700.000 703.080 ;
+    END
+  END io_in[4]
+  PIN io_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 871.120 2700.000 871.720 ;
+    END
+  END io_in[5]
+  PIN io_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1040.440 2700.000 1041.040 ;
+    END
+  END io_in[6]
+  PIN io_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1321.280 2700.000 1321.880 ;
+    END
+  END io_in[7]
+  PIN io_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1489.920 2700.000 1490.520 ;
+    END
+  END io_in[8]
+  PIN io_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1659.240 2700.000 1659.840 ;
+    END
+  END io_in[9]
+  PIN io_oeb[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 140.120 2700.000 140.720 ;
+    END
+  END io_oeb[0]
+  PIN io_oeb[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1940.080 2700.000 1940.680 ;
+    END
+  END io_oeb[10]
+  PIN io_oeb[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2108.720 2700.000 2109.320 ;
+    END
+  END io_oeb[11]
+  PIN io_oeb[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2278.040 2700.000 2278.640 ;
+    END
+  END io_oeb[12]
+  PIN io_oeb[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2446.680 2700.000 2447.280 ;
+    END
+  END io_oeb[13]
+  PIN io_oeb[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2671.760 2700.000 2672.360 ;
+    END
+  END io_oeb[14]
+  PIN io_oeb[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2458.790 2697.600 2459.070 2700.000 ;
+    END
+  END io_oeb[15]
+  PIN io_oeb[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2072.850 2697.600 2073.130 2700.000 ;
+    END
+  END io_oeb[16]
+  PIN io_oeb[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1783.510 2697.600 1783.790 2700.000 ;
+    END
+  END io_oeb[17]
+  PIN io_oeb[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1494.170 2697.600 1494.450 2700.000 ;
+    END
+  END io_oeb[18]
+  PIN io_oeb[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1204.830 2697.600 1205.110 2700.000 ;
+    END
+  END io_oeb[19]
+  PIN io_oeb[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 308.760 2700.000 309.360 ;
+    END
+  END io_oeb[1]
+  PIN io_oeb[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 915.490 2697.600 915.770 2700.000 ;
+    END
+  END io_oeb[20]
+  PIN io_oeb[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 626.150 2697.600 626.430 2700.000 ;
+    END
+  END io_oeb[21]
+  PIN io_oeb[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 336.810 2697.600 337.090 2700.000 ;
+    END
+  END io_oeb[22]
+  PIN io_oeb[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 47.930 2697.600 48.210 2700.000 ;
+    END
+  END io_oeb[23]
+  PIN io_oeb[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2553.440 2.400 2554.040 ;
+    END
+  END io_oeb[24]
+  PIN io_oeb[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2259.680 2.400 2260.280 ;
+    END
+  END io_oeb[25]
+  PIN io_oeb[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2083.560 2.400 2084.160 ;
+    END
+  END io_oeb[26]
+  PIN io_oeb[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1907.440 2.400 1908.040 ;
+    END
+  END io_oeb[27]
+  PIN io_oeb[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1731.320 2.400 1731.920 ;
+    END
+  END io_oeb[28]
+  PIN io_oeb[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1555.200 2.400 1555.800 ;
+    END
+  END io_oeb[29]
+  PIN io_oeb[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 477.400 2700.000 478.000 ;
+    END
+  END io_oeb[2]
+  PIN io_oeb[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1379.080 2.400 1379.680 ;
+    END
+  END io_oeb[30]
+  PIN io_oeb[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1202.960 2.400 1203.560 ;
+    END
+  END io_oeb[31]
+  PIN io_oeb[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 909.200 2.400 909.800 ;
+    END
+  END io_oeb[32]
+  PIN io_oeb[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 733.080 2.400 733.680 ;
+    END
+  END io_oeb[33]
+  PIN io_oeb[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 556.960 2.400 557.560 ;
+    END
+  END io_oeb[34]
+  PIN io_oeb[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 380.840 2.400 381.440 ;
+    END
+  END io_oeb[35]
+  PIN io_oeb[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 204.720 2.400 205.320 ;
+    END
+  END io_oeb[36]
+  PIN io_oeb[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 29.280 2.400 29.880 ;
+    END
+  END io_oeb[37]
+  PIN io_oeb[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 646.720 2700.000 647.320 ;
+    END
+  END io_oeb[3]
+  PIN io_oeb[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 815.360 2700.000 815.960 ;
+    END
+  END io_oeb[4]
+  PIN io_oeb[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 984.000 2700.000 984.600 ;
+    END
+  END io_oeb[5]
+  PIN io_oeb[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1152.640 2700.000 1153.240 ;
+    END
+  END io_oeb[6]
+  PIN io_oeb[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1434.160 2700.000 1434.760 ;
+    END
+  END io_oeb[7]
+  PIN io_oeb[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1602.800 2700.000 1603.400 ;
+    END
+  END io_oeb[8]
+  PIN io_oeb[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1771.440 2700.000 1772.040 ;
+    END
+  END io_oeb[9]
+  PIN io_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 83.680 2700.000 84.280 ;
+    END
+  END io_out[0]
+  PIN io_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1884.320 2700.000 1884.920 ;
+    END
+  END io_out[10]
+  PIN io_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2052.960 2700.000 2053.560 ;
+    END
+  END io_out[11]
+  PIN io_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2221.600 2700.000 2222.200 ;
+    END
+  END io_out[12]
+  PIN io_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2390.240 2700.000 2390.840 ;
+    END
+  END io_out[13]
+  PIN io_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2615.320 2700.000 2615.920 ;
+    END
+  END io_out[14]
+  PIN io_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2554.930 2697.600 2555.210 2700.000 ;
+    END
+  END io_out[15]
+  PIN io_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2169.450 2697.600 2169.730 2700.000 ;
+    END
+  END io_out[16]
+  PIN io_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1880.110 2697.600 1880.390 2700.000 ;
+    END
+  END io_out[17]
+  PIN io_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1590.770 2697.600 1591.050 2700.000 ;
+    END
+  END io_out[18]
+  PIN io_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1301.430 2697.600 1301.710 2700.000 ;
+    END
+  END io_out[19]
+  PIN io_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 252.320 2700.000 252.920 ;
+    END
+  END io_out[1]
+  PIN io_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1012.090 2697.600 1012.370 2700.000 ;
+    END
+  END io_out[20]
+  PIN io_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 722.750 2697.600 723.030 2700.000 ;
+    END
+  END io_out[21]
+  PIN io_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 433.410 2697.600 433.690 2700.000 ;
+    END
+  END io_out[22]
+  PIN io_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 144.070 2697.600 144.350 2700.000 ;
+    END
+  END io_out[23]
+  PIN io_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2611.920 2.400 2612.520 ;
+    END
+  END io_out[24]
+  PIN io_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2318.160 2.400 2318.760 ;
+    END
+  END io_out[25]
+  PIN io_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2142.040 2.400 2142.640 ;
+    END
+  END io_out[26]
+  PIN io_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1965.920 2.400 1966.520 ;
+    END
+  END io_out[27]
+  PIN io_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1789.800 2.400 1790.400 ;
+    END
+  END io_out[28]
+  PIN io_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1613.680 2.400 1614.280 ;
+    END
+  END io_out[29]
+  PIN io_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 421.640 2700.000 422.240 ;
+    END
+  END io_out[2]
+  PIN io_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1437.560 2.400 1438.160 ;
+    END
+  END io_out[30]
+  PIN io_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1261.440 2.400 1262.040 ;
+    END
+  END io_out[31]
+  PIN io_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 968.360 2.400 968.960 ;
+    END
+  END io_out[32]
+  PIN io_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 792.240 2.400 792.840 ;
+    END
+  END io_out[33]
+  PIN io_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 616.120 2.400 616.720 ;
+    END
+  END io_out[34]
+  PIN io_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 440.000 2.400 440.600 ;
+    END
+  END io_out[35]
+  PIN io_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 263.880 2.400 264.480 ;
+    END
+  END io_out[36]
+  PIN io_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 87.760 2.400 88.360 ;
+    END
+  END io_out[37]
+  PIN io_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 590.280 2700.000 590.880 ;
+    END
+  END io_out[3]
+  PIN io_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 758.920 2700.000 759.520 ;
+    END
+  END io_out[4]
+  PIN io_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 927.560 2700.000 928.160 ;
+    END
+  END io_out[5]
+  PIN io_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1096.200 2700.000 1096.800 ;
+    END
+  END io_out[6]
+  PIN io_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1377.720 2700.000 1378.320 ;
+    END
+  END io_out[7]
+  PIN io_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1546.360 2700.000 1546.960 ;
+    END
+  END io_out[8]
+  PIN io_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1715.000 2700.000 1715.600 ;
+    END
+  END io_out[9]
+  PIN la_data_in[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 585.210 0.000 585.490 2.400 ;
+    END
+  END la_data_in[0]
+  PIN la_data_in[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2234.770 0.000 2235.050 2.400 ;
+    END
+  END la_data_in[100]
+  PIN la_data_in[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2251.330 0.000 2251.610 2.400 ;
+    END
+  END la_data_in[101]
+  PIN la_data_in[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2267.890 0.000 2268.170 2.400 ;
+    END
+  END la_data_in[102]
+  PIN la_data_in[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2284.450 0.000 2284.730 2.400 ;
+    END
+  END la_data_in[103]
+  PIN la_data_in[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2301.010 0.000 2301.290 2.400 ;
+    END
+  END la_data_in[104]
+  PIN la_data_in[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2317.570 0.000 2317.850 2.400 ;
+    END
+  END la_data_in[105]
+  PIN la_data_in[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2334.130 0.000 2334.410 2.400 ;
+    END
+  END la_data_in[106]
+  PIN la_data_in[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2350.230 0.000 2350.510 2.400 ;
+    END
+  END la_data_in[107]
+  PIN la_data_in[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2366.790 0.000 2367.070 2.400 ;
+    END
+  END la_data_in[108]
+  PIN la_data_in[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2383.350 0.000 2383.630 2.400 ;
+    END
+  END la_data_in[109]
+  PIN la_data_in[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 749.890 0.000 750.170 2.400 ;
+    END
+  END la_data_in[10]
+  PIN la_data_in[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2399.910 0.000 2400.190 2.400 ;
+    END
+  END la_data_in[110]
+  PIN la_data_in[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2416.470 0.000 2416.750 2.400 ;
+    END
+  END la_data_in[111]
+  PIN la_data_in[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2433.030 0.000 2433.310 2.400 ;
+    END
+  END la_data_in[112]
+  PIN la_data_in[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2449.590 0.000 2449.870 2.400 ;
+    END
+  END la_data_in[113]
+  PIN la_data_in[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2465.690 0.000 2465.970 2.400 ;
+    END
+  END la_data_in[114]
+  PIN la_data_in[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2482.250 0.000 2482.530 2.400 ;
+    END
+  END la_data_in[115]
+  PIN la_data_in[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2498.810 0.000 2499.090 2.400 ;
+    END
+  END la_data_in[116]
+  PIN la_data_in[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2515.370 0.000 2515.650 2.400 ;
+    END
+  END la_data_in[117]
+  PIN la_data_in[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2531.930 0.000 2532.210 2.400 ;
+    END
+  END la_data_in[118]
+  PIN la_data_in[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2548.490 0.000 2548.770 2.400 ;
+    END
+  END la_data_in[119]
+  PIN la_data_in[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 766.450 0.000 766.730 2.400 ;
+    END
+  END la_data_in[11]
+  PIN la_data_in[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2565.050 0.000 2565.330 2.400 ;
+    END
+  END la_data_in[120]
+  PIN la_data_in[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2581.150 0.000 2581.430 2.400 ;
+    END
+  END la_data_in[121]
+  PIN la_data_in[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2597.710 0.000 2597.990 2.400 ;
+    END
+  END la_data_in[122]
+  PIN la_data_in[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2614.270 0.000 2614.550 2.400 ;
+    END
+  END la_data_in[123]
+  PIN la_data_in[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2630.830 0.000 2631.110 2.400 ;
+    END
+  END la_data_in[124]
+  PIN la_data_in[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2647.390 0.000 2647.670 2.400 ;
+    END
+  END la_data_in[125]
+  PIN la_data_in[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2663.950 0.000 2664.230 2.400 ;
+    END
+  END la_data_in[126]
+  PIN la_data_in[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2680.510 0.000 2680.790 2.400 ;
+    END
+  END la_data_in[127]
+  PIN la_data_in[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 783.010 0.000 783.290 2.400 ;
+    END
+  END la_data_in[12]
+  PIN la_data_in[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 799.570 0.000 799.850 2.400 ;
+    END
+  END la_data_in[13]
+  PIN la_data_in[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 816.130 0.000 816.410 2.400 ;
+    END
+  END la_data_in[14]
+  PIN la_data_in[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 832.690 0.000 832.970 2.400 ;
+    END
+  END la_data_in[15]
+  PIN la_data_in[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 849.250 0.000 849.530 2.400 ;
+    END
+  END la_data_in[16]
+  PIN la_data_in[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 865.350 0.000 865.630 2.400 ;
+    END
+  END la_data_in[17]
+  PIN la_data_in[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 881.910 0.000 882.190 2.400 ;
+    END
+  END la_data_in[18]
+  PIN la_data_in[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 898.470 0.000 898.750 2.400 ;
+    END
+  END la_data_in[19]
+  PIN la_data_in[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 601.770 0.000 602.050 2.400 ;
+    END
+  END la_data_in[1]
+  PIN la_data_in[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 915.030 0.000 915.310 2.400 ;
+    END
+  END la_data_in[20]
+  PIN la_data_in[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 931.590 0.000 931.870 2.400 ;
+    END
+  END la_data_in[21]
+  PIN la_data_in[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 948.150 0.000 948.430 2.400 ;
+    END
+  END la_data_in[22]
+  PIN la_data_in[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 964.710 0.000 964.990 2.400 ;
+    END
+  END la_data_in[23]
+  PIN la_data_in[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 981.270 0.000 981.550 2.400 ;
+    END
+  END la_data_in[24]
+  PIN la_data_in[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 997.370 0.000 997.650 2.400 ;
+    END
+  END la_data_in[25]
+  PIN la_data_in[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1013.930 0.000 1014.210 2.400 ;
+    END
+  END la_data_in[26]
+  PIN la_data_in[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1030.490 0.000 1030.770 2.400 ;
+    END
+  END la_data_in[27]
+  PIN la_data_in[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1047.050 0.000 1047.330 2.400 ;
+    END
+  END la_data_in[28]
+  PIN la_data_in[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1063.610 0.000 1063.890 2.400 ;
+    END
+  END la_data_in[29]
+  PIN la_data_in[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 617.870 0.000 618.150 2.400 ;
+    END
+  END la_data_in[2]
+  PIN la_data_in[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1080.170 0.000 1080.450 2.400 ;
+    END
+  END la_data_in[30]
+  PIN la_data_in[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1096.730 0.000 1097.010 2.400 ;
+    END
+  END la_data_in[31]
+  PIN la_data_in[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1112.830 0.000 1113.110 2.400 ;
+    END
+  END la_data_in[32]
+  PIN la_data_in[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1129.390 0.000 1129.670 2.400 ;
+    END
+  END la_data_in[33]
+  PIN la_data_in[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1145.950 0.000 1146.230 2.400 ;
+    END
+  END la_data_in[34]
+  PIN la_data_in[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1162.510 0.000 1162.790 2.400 ;
+    END
+  END la_data_in[35]
+  PIN la_data_in[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1179.070 0.000 1179.350 2.400 ;
+    END
+  END la_data_in[36]
+  PIN la_data_in[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1195.630 0.000 1195.910 2.400 ;
+    END
+  END la_data_in[37]
+  PIN la_data_in[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1212.190 0.000 1212.470 2.400 ;
+    END
+  END la_data_in[38]
+  PIN la_data_in[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1228.750 0.000 1229.030 2.400 ;
+    END
+  END la_data_in[39]
+  PIN la_data_in[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 634.430 0.000 634.710 2.400 ;
+    END
+  END la_data_in[3]
+  PIN la_data_in[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1244.850 0.000 1245.130 2.400 ;
+    END
+  END la_data_in[40]
+  PIN la_data_in[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1261.410 0.000 1261.690 2.400 ;
+    END
+  END la_data_in[41]
+  PIN la_data_in[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1277.970 0.000 1278.250 2.400 ;
+    END
+  END la_data_in[42]
+  PIN la_data_in[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1294.530 0.000 1294.810 2.400 ;
+    END
+  END la_data_in[43]
+  PIN la_data_in[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1311.090 0.000 1311.370 2.400 ;
+    END
+  END la_data_in[44]
+  PIN la_data_in[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1327.650 0.000 1327.930 2.400 ;
+    END
+  END la_data_in[45]
+  PIN la_data_in[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1344.210 0.000 1344.490 2.400 ;
+    END
+  END la_data_in[46]
+  PIN la_data_in[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1360.310 0.000 1360.590 2.400 ;
+    END
+  END la_data_in[47]
+  PIN la_data_in[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1376.870 0.000 1377.150 2.400 ;
+    END
+  END la_data_in[48]
+  PIN la_data_in[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1393.430 0.000 1393.710 2.400 ;
+    END
+  END la_data_in[49]
+  PIN la_data_in[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 650.990 0.000 651.270 2.400 ;
+    END
+  END la_data_in[4]
+  PIN la_data_in[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1409.990 0.000 1410.270 2.400 ;
+    END
+  END la_data_in[50]
+  PIN la_data_in[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1426.550 0.000 1426.830 2.400 ;
+    END
+  END la_data_in[51]
+  PIN la_data_in[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1443.110 0.000 1443.390 2.400 ;
+    END
+  END la_data_in[52]
+  PIN la_data_in[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1459.670 0.000 1459.950 2.400 ;
+    END
+  END la_data_in[53]
+  PIN la_data_in[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1475.770 0.000 1476.050 2.400 ;
+    END
+  END la_data_in[54]
+  PIN la_data_in[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1492.330 0.000 1492.610 2.400 ;
+    END
+  END la_data_in[55]
+  PIN la_data_in[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1508.890 0.000 1509.170 2.400 ;
+    END
+  END la_data_in[56]
+  PIN la_data_in[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1525.450 0.000 1525.730 2.400 ;
+    END
+  END la_data_in[57]
+  PIN la_data_in[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1542.010 0.000 1542.290 2.400 ;
+    END
+  END la_data_in[58]
+  PIN la_data_in[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1558.570 0.000 1558.850 2.400 ;
+    END
+  END la_data_in[59]
+  PIN la_data_in[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 667.550 0.000 667.830 2.400 ;
+    END
+  END la_data_in[5]
+  PIN la_data_in[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1575.130 0.000 1575.410 2.400 ;
+    END
+  END la_data_in[60]
+  PIN la_data_in[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1591.690 0.000 1591.970 2.400 ;
+    END
+  END la_data_in[61]
+  PIN la_data_in[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1607.790 0.000 1608.070 2.400 ;
+    END
+  END la_data_in[62]
+  PIN la_data_in[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1624.350 0.000 1624.630 2.400 ;
+    END
+  END la_data_in[63]
+  PIN la_data_in[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1640.910 0.000 1641.190 2.400 ;
+    END
+  END la_data_in[64]
+  PIN la_data_in[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1657.470 0.000 1657.750 2.400 ;
+    END
+  END la_data_in[65]
+  PIN la_data_in[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1674.030 0.000 1674.310 2.400 ;
+    END
+  END la_data_in[66]
+  PIN la_data_in[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1690.590 0.000 1690.870 2.400 ;
+    END
+  END la_data_in[67]
+  PIN la_data_in[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1707.150 0.000 1707.430 2.400 ;
+    END
+  END la_data_in[68]
+  PIN la_data_in[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1723.250 0.000 1723.530 2.400 ;
+    END
+  END la_data_in[69]
+  PIN la_data_in[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 684.110 0.000 684.390 2.400 ;
+    END
+  END la_data_in[6]
+  PIN la_data_in[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1739.810 0.000 1740.090 2.400 ;
+    END
+  END la_data_in[70]
+  PIN la_data_in[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1756.370 0.000 1756.650 2.400 ;
+    END
+  END la_data_in[71]
+  PIN la_data_in[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1772.930 0.000 1773.210 2.400 ;
+    END
+  END la_data_in[72]
+  PIN la_data_in[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1789.490 0.000 1789.770 2.400 ;
+    END
+  END la_data_in[73]
+  PIN la_data_in[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1806.050 0.000 1806.330 2.400 ;
+    END
+  END la_data_in[74]
+  PIN la_data_in[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1822.610 0.000 1822.890 2.400 ;
+    END
+  END la_data_in[75]
+  PIN la_data_in[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1839.170 0.000 1839.450 2.400 ;
+    END
+  END la_data_in[76]
+  PIN la_data_in[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1855.270 0.000 1855.550 2.400 ;
+    END
+  END la_data_in[77]
+  PIN la_data_in[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1871.830 0.000 1872.110 2.400 ;
+    END
+  END la_data_in[78]
+  PIN la_data_in[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1888.390 0.000 1888.670 2.400 ;
+    END
+  END la_data_in[79]
+  PIN la_data_in[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 700.670 0.000 700.950 2.400 ;
+    END
+  END la_data_in[7]
+  PIN la_data_in[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1904.950 0.000 1905.230 2.400 ;
+    END
+  END la_data_in[80]
+  PIN la_data_in[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1921.510 0.000 1921.790 2.400 ;
+    END
+  END la_data_in[81]
+  PIN la_data_in[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1938.070 0.000 1938.350 2.400 ;
+    END
+  END la_data_in[82]
+  PIN la_data_in[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1954.630 0.000 1954.910 2.400 ;
+    END
+  END la_data_in[83]
+  PIN la_data_in[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1970.730 0.000 1971.010 2.400 ;
+    END
+  END la_data_in[84]
+  PIN la_data_in[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1987.290 0.000 1987.570 2.400 ;
+    END
+  END la_data_in[85]
+  PIN la_data_in[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2003.850 0.000 2004.130 2.400 ;
+    END
+  END la_data_in[86]
+  PIN la_data_in[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2020.410 0.000 2020.690 2.400 ;
+    END
+  END la_data_in[87]
+  PIN la_data_in[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2036.970 0.000 2037.250 2.400 ;
+    END
+  END la_data_in[88]
+  PIN la_data_in[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2053.530 0.000 2053.810 2.400 ;
+    END
+  END la_data_in[89]
+  PIN la_data_in[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 717.230 0.000 717.510 2.400 ;
+    END
+  END la_data_in[8]
+  PIN la_data_in[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2070.090 0.000 2070.370 2.400 ;
+    END
+  END la_data_in[90]
+  PIN la_data_in[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2086.650 0.000 2086.930 2.400 ;
+    END
+  END la_data_in[91]
+  PIN la_data_in[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2102.750 0.000 2103.030 2.400 ;
+    END
+  END la_data_in[92]
+  PIN la_data_in[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2119.310 0.000 2119.590 2.400 ;
+    END
+  END la_data_in[93]
+  PIN la_data_in[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2135.870 0.000 2136.150 2.400 ;
+    END
+  END la_data_in[94]
+  PIN la_data_in[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2152.430 0.000 2152.710 2.400 ;
+    END
+  END la_data_in[95]
+  PIN la_data_in[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2168.990 0.000 2169.270 2.400 ;
+    END
+  END la_data_in[96]
+  PIN la_data_in[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2185.550 0.000 2185.830 2.400 ;
+    END
+  END la_data_in[97]
+  PIN la_data_in[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2202.110 0.000 2202.390 2.400 ;
+    END
+  END la_data_in[98]
+  PIN la_data_in[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2218.210 0.000 2218.490 2.400 ;
+    END
+  END la_data_in[99]
+  PIN la_data_in[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 733.790 0.000 734.070 2.400 ;
+    END
+  END la_data_in[9]
+  PIN la_data_out[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 590.730 0.000 591.010 2.400 ;
+    END
+  END la_data_out[0]
+  PIN la_data_out[100]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2240.290 0.000 2240.570 2.400 ;
+    END
+  END la_data_out[100]
+  PIN la_data_out[101]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2256.850 0.000 2257.130 2.400 ;
+    END
+  END la_data_out[101]
+  PIN la_data_out[102]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2273.410 0.000 2273.690 2.400 ;
+    END
+  END la_data_out[102]
+  PIN la_data_out[103]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2289.970 0.000 2290.250 2.400 ;
+    END
+  END la_data_out[103]
+  PIN la_data_out[104]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2306.530 0.000 2306.810 2.400 ;
+    END
+  END la_data_out[104]
+  PIN la_data_out[105]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2323.090 0.000 2323.370 2.400 ;
+    END
+  END la_data_out[105]
+  PIN la_data_out[106]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2339.190 0.000 2339.470 2.400 ;
+    END
+  END la_data_out[106]
+  PIN la_data_out[107]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2355.750 0.000 2356.030 2.400 ;
+    END
+  END la_data_out[107]
+  PIN la_data_out[108]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2372.310 0.000 2372.590 2.400 ;
+    END
+  END la_data_out[108]
+  PIN la_data_out[109]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2388.870 0.000 2389.150 2.400 ;
+    END
+  END la_data_out[109]
+  PIN la_data_out[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 755.410 0.000 755.690 2.400 ;
+    END
+  END la_data_out[10]
+  PIN la_data_out[110]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2405.430 0.000 2405.710 2.400 ;
+    END
+  END la_data_out[110]
+  PIN la_data_out[111]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2421.990 0.000 2422.270 2.400 ;
+    END
+  END la_data_out[111]
+  PIN la_data_out[112]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2438.550 0.000 2438.830 2.400 ;
+    END
+  END la_data_out[112]
+  PIN la_data_out[113]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2455.110 0.000 2455.390 2.400 ;
+    END
+  END la_data_out[113]
+  PIN la_data_out[114]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2471.210 0.000 2471.490 2.400 ;
+    END
+  END la_data_out[114]
+  PIN la_data_out[115]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2487.770 0.000 2488.050 2.400 ;
+    END
+  END la_data_out[115]
+  PIN la_data_out[116]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2504.330 0.000 2504.610 2.400 ;
+    END
+  END la_data_out[116]
+  PIN la_data_out[117]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2520.890 0.000 2521.170 2.400 ;
+    END
+  END la_data_out[117]
+  PIN la_data_out[118]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2537.450 0.000 2537.730 2.400 ;
+    END
+  END la_data_out[118]
+  PIN la_data_out[119]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2554.010 0.000 2554.290 2.400 ;
+    END
+  END la_data_out[119]
+  PIN la_data_out[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 771.970 0.000 772.250 2.400 ;
+    END
+  END la_data_out[11]
+  PIN la_data_out[120]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2570.570 0.000 2570.850 2.400 ;
+    END
+  END la_data_out[120]
+  PIN la_data_out[121]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2586.670 0.000 2586.950 2.400 ;
+    END
+  END la_data_out[121]
+  PIN la_data_out[122]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2603.230 0.000 2603.510 2.400 ;
+    END
+  END la_data_out[122]
+  PIN la_data_out[123]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2619.790 0.000 2620.070 2.400 ;
+    END
+  END la_data_out[123]
+  PIN la_data_out[124]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2636.350 0.000 2636.630 2.400 ;
+    END
+  END la_data_out[124]
+  PIN la_data_out[125]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2652.910 0.000 2653.190 2.400 ;
+    END
+  END la_data_out[125]
+  PIN la_data_out[126]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2669.470 0.000 2669.750 2.400 ;
+    END
+  END la_data_out[126]
+  PIN la_data_out[127]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2686.030 0.000 2686.310 2.400 ;
+    END
+  END la_data_out[127]
+  PIN la_data_out[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 788.530 0.000 788.810 2.400 ;
+    END
+  END la_data_out[12]
+  PIN la_data_out[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 805.090 0.000 805.370 2.400 ;
+    END
+  END la_data_out[13]
+  PIN la_data_out[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 821.650 0.000 821.930 2.400 ;
+    END
+  END la_data_out[14]
+  PIN la_data_out[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 838.210 0.000 838.490 2.400 ;
+    END
+  END la_data_out[15]
+  PIN la_data_out[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 854.770 0.000 855.050 2.400 ;
+    END
+  END la_data_out[16]
+  PIN la_data_out[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 870.870 0.000 871.150 2.400 ;
+    END
+  END la_data_out[17]
+  PIN la_data_out[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 887.430 0.000 887.710 2.400 ;
+    END
+  END la_data_out[18]
+  PIN la_data_out[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 903.990 0.000 904.270 2.400 ;
+    END
+  END la_data_out[19]
+  PIN la_data_out[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 607.290 0.000 607.570 2.400 ;
+    END
+  END la_data_out[1]
+  PIN la_data_out[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 920.550 0.000 920.830 2.400 ;
+    END
+  END la_data_out[20]
+  PIN la_data_out[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 937.110 0.000 937.390 2.400 ;
+    END
+  END la_data_out[21]
+  PIN la_data_out[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 953.670 0.000 953.950 2.400 ;
+    END
+  END la_data_out[22]
+  PIN la_data_out[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 970.230 0.000 970.510 2.400 ;
+    END
+  END la_data_out[23]
+  PIN la_data_out[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 986.330 0.000 986.610 2.400 ;
+    END
+  END la_data_out[24]
+  PIN la_data_out[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1002.890 0.000 1003.170 2.400 ;
+    END
+  END la_data_out[25]
+  PIN la_data_out[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1019.450 0.000 1019.730 2.400 ;
+    END
+  END la_data_out[26]
+  PIN la_data_out[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1036.010 0.000 1036.290 2.400 ;
+    END
+  END la_data_out[27]
+  PIN la_data_out[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1052.570 0.000 1052.850 2.400 ;
+    END
+  END la_data_out[28]
+  PIN la_data_out[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1069.130 0.000 1069.410 2.400 ;
+    END
+  END la_data_out[29]
+  PIN la_data_out[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 623.390 0.000 623.670 2.400 ;
+    END
+  END la_data_out[2]
+  PIN la_data_out[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1085.690 0.000 1085.970 2.400 ;
+    END
+  END la_data_out[30]
+  PIN la_data_out[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1102.250 0.000 1102.530 2.400 ;
+    END
+  END la_data_out[31]
+  PIN la_data_out[32]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1118.350 0.000 1118.630 2.400 ;
+    END
+  END la_data_out[32]
+  PIN la_data_out[33]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1134.910 0.000 1135.190 2.400 ;
+    END
+  END la_data_out[33]
+  PIN la_data_out[34]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1151.470 0.000 1151.750 2.400 ;
+    END
+  END la_data_out[34]
+  PIN la_data_out[35]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1168.030 0.000 1168.310 2.400 ;
+    END
+  END la_data_out[35]
+  PIN la_data_out[36]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1184.590 0.000 1184.870 2.400 ;
+    END
+  END la_data_out[36]
+  PIN la_data_out[37]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1201.150 0.000 1201.430 2.400 ;
+    END
+  END la_data_out[37]
+  PIN la_data_out[38]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1217.710 0.000 1217.990 2.400 ;
+    END
+  END la_data_out[38]
+  PIN la_data_out[39]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1233.810 0.000 1234.090 2.400 ;
+    END
+  END la_data_out[39]
+  PIN la_data_out[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 639.950 0.000 640.230 2.400 ;
+    END
+  END la_data_out[3]
+  PIN la_data_out[40]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1250.370 0.000 1250.650 2.400 ;
+    END
+  END la_data_out[40]
+  PIN la_data_out[41]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1266.930 0.000 1267.210 2.400 ;
+    END
+  END la_data_out[41]
+  PIN la_data_out[42]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1283.490 0.000 1283.770 2.400 ;
+    END
+  END la_data_out[42]
+  PIN la_data_out[43]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1300.050 0.000 1300.330 2.400 ;
+    END
+  END la_data_out[43]
+  PIN la_data_out[44]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1316.610 0.000 1316.890 2.400 ;
+    END
+  END la_data_out[44]
+  PIN la_data_out[45]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1333.170 0.000 1333.450 2.400 ;
+    END
+  END la_data_out[45]
+  PIN la_data_out[46]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1349.730 0.000 1350.010 2.400 ;
+    END
+  END la_data_out[46]
+  PIN la_data_out[47]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1365.830 0.000 1366.110 2.400 ;
+    END
+  END la_data_out[47]
+  PIN la_data_out[48]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1382.390 0.000 1382.670 2.400 ;
+    END
+  END la_data_out[48]
+  PIN la_data_out[49]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1398.950 0.000 1399.230 2.400 ;
+    END
+  END la_data_out[49]
+  PIN la_data_out[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 656.510 0.000 656.790 2.400 ;
+    END
+  END la_data_out[4]
+  PIN la_data_out[50]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1415.510 0.000 1415.790 2.400 ;
+    END
+  END la_data_out[50]
+  PIN la_data_out[51]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1432.070 0.000 1432.350 2.400 ;
+    END
+  END la_data_out[51]
+  PIN la_data_out[52]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1448.630 0.000 1448.910 2.400 ;
+    END
+  END la_data_out[52]
+  PIN la_data_out[53]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1465.190 0.000 1465.470 2.400 ;
+    END
+  END la_data_out[53]
+  PIN la_data_out[54]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1481.290 0.000 1481.570 2.400 ;
+    END
+  END la_data_out[54]
+  PIN la_data_out[55]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1497.850 0.000 1498.130 2.400 ;
+    END
+  END la_data_out[55]
+  PIN la_data_out[56]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1514.410 0.000 1514.690 2.400 ;
+    END
+  END la_data_out[56]
+  PIN la_data_out[57]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1530.970 0.000 1531.250 2.400 ;
+    END
+  END la_data_out[57]
+  PIN la_data_out[58]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1547.530 0.000 1547.810 2.400 ;
+    END
+  END la_data_out[58]
+  PIN la_data_out[59]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1564.090 0.000 1564.370 2.400 ;
+    END
+  END la_data_out[59]
+  PIN la_data_out[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 673.070 0.000 673.350 2.400 ;
+    END
+  END la_data_out[5]
+  PIN la_data_out[60]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1580.650 0.000 1580.930 2.400 ;
+    END
+  END la_data_out[60]
+  PIN la_data_out[61]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1597.210 0.000 1597.490 2.400 ;
+    END
+  END la_data_out[61]
+  PIN la_data_out[62]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1613.310 0.000 1613.590 2.400 ;
+    END
+  END la_data_out[62]
+  PIN la_data_out[63]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1629.870 0.000 1630.150 2.400 ;
+    END
+  END la_data_out[63]
+  PIN la_data_out[64]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1646.430 0.000 1646.710 2.400 ;
+    END
+  END la_data_out[64]
+  PIN la_data_out[65]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1662.990 0.000 1663.270 2.400 ;
+    END
+  END la_data_out[65]
+  PIN la_data_out[66]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1679.550 0.000 1679.830 2.400 ;
+    END
+  END la_data_out[66]
+  PIN la_data_out[67]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1696.110 0.000 1696.390 2.400 ;
+    END
+  END la_data_out[67]
+  PIN la_data_out[68]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1712.670 0.000 1712.950 2.400 ;
+    END
+  END la_data_out[68]
+  PIN la_data_out[69]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1728.770 0.000 1729.050 2.400 ;
+    END
+  END la_data_out[69]
+  PIN la_data_out[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 689.630 0.000 689.910 2.400 ;
+    END
+  END la_data_out[6]
+  PIN la_data_out[70]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1745.330 0.000 1745.610 2.400 ;
+    END
+  END la_data_out[70]
+  PIN la_data_out[71]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1761.890 0.000 1762.170 2.400 ;
+    END
+  END la_data_out[71]
+  PIN la_data_out[72]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1778.450 0.000 1778.730 2.400 ;
+    END
+  END la_data_out[72]
+  PIN la_data_out[73]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1795.010 0.000 1795.290 2.400 ;
+    END
+  END la_data_out[73]
+  PIN la_data_out[74]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1811.570 0.000 1811.850 2.400 ;
+    END
+  END la_data_out[74]
+  PIN la_data_out[75]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1828.130 0.000 1828.410 2.400 ;
+    END
+  END la_data_out[75]
+  PIN la_data_out[76]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1844.230 0.000 1844.510 2.400 ;
+    END
+  END la_data_out[76]
+  PIN la_data_out[77]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1860.790 0.000 1861.070 2.400 ;
+    END
+  END la_data_out[77]
+  PIN la_data_out[78]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1877.350 0.000 1877.630 2.400 ;
+    END
+  END la_data_out[78]
+  PIN la_data_out[79]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1893.910 0.000 1894.190 2.400 ;
+    END
+  END la_data_out[79]
+  PIN la_data_out[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 706.190 0.000 706.470 2.400 ;
+    END
+  END la_data_out[7]
+  PIN la_data_out[80]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1910.470 0.000 1910.750 2.400 ;
+    END
+  END la_data_out[80]
+  PIN la_data_out[81]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1927.030 0.000 1927.310 2.400 ;
+    END
+  END la_data_out[81]
+  PIN la_data_out[82]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1943.590 0.000 1943.870 2.400 ;
+    END
+  END la_data_out[82]
+  PIN la_data_out[83]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1960.150 0.000 1960.430 2.400 ;
+    END
+  END la_data_out[83]
+  PIN la_data_out[84]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1976.250 0.000 1976.530 2.400 ;
+    END
+  END la_data_out[84]
+  PIN la_data_out[85]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 1992.810 0.000 1993.090 2.400 ;
+    END
+  END la_data_out[85]
+  PIN la_data_out[86]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2009.370 0.000 2009.650 2.400 ;
+    END
+  END la_data_out[86]
+  PIN la_data_out[87]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2025.930 0.000 2026.210 2.400 ;
+    END
+  END la_data_out[87]
+  PIN la_data_out[88]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2042.490 0.000 2042.770 2.400 ;
+    END
+  END la_data_out[88]
+  PIN la_data_out[89]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2059.050 0.000 2059.330 2.400 ;
+    END
+  END la_data_out[89]
+  PIN la_data_out[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 722.750 0.000 723.030 2.400 ;
+    END
+  END la_data_out[8]
+  PIN la_data_out[90]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2075.610 0.000 2075.890 2.400 ;
+    END
+  END la_data_out[90]
+  PIN la_data_out[91]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2091.710 0.000 2091.990 2.400 ;
+    END
+  END la_data_out[91]
+  PIN la_data_out[92]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2108.270 0.000 2108.550 2.400 ;
+    END
+  END la_data_out[92]
+  PIN la_data_out[93]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2124.830 0.000 2125.110 2.400 ;
+    END
+  END la_data_out[93]
+  PIN la_data_out[94]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2141.390 0.000 2141.670 2.400 ;
+    END
+  END la_data_out[94]
+  PIN la_data_out[95]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2157.950 0.000 2158.230 2.400 ;
+    END
+  END la_data_out[95]
+  PIN la_data_out[96]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2174.510 0.000 2174.790 2.400 ;
+    END
+  END la_data_out[96]
+  PIN la_data_out[97]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2191.070 0.000 2191.350 2.400 ;
+    END
+  END la_data_out[97]
+  PIN la_data_out[98]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2207.630 0.000 2207.910 2.400 ;
+    END
+  END la_data_out[98]
+  PIN la_data_out[99]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 2223.730 0.000 2224.010 2.400 ;
+    END
+  END la_data_out[99]
+  PIN la_data_out[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 738.850 0.000 739.130 2.400 ;
+    END
+  END la_data_out[9]
+  PIN la_oen[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 596.250 0.000 596.530 2.400 ;
+    END
+  END la_oen[0]
+  PIN la_oen[100]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2245.810 0.000 2246.090 2.400 ;
+    END
+  END la_oen[100]
+  PIN la_oen[101]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2262.370 0.000 2262.650 2.400 ;
+    END
+  END la_oen[101]
+  PIN la_oen[102]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2278.930 0.000 2279.210 2.400 ;
+    END
+  END la_oen[102]
+  PIN la_oen[103]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2295.490 0.000 2295.770 2.400 ;
+    END
+  END la_oen[103]
+  PIN la_oen[104]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2312.050 0.000 2312.330 2.400 ;
+    END
+  END la_oen[104]
+  PIN la_oen[105]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2328.610 0.000 2328.890 2.400 ;
+    END
+  END la_oen[105]
+  PIN la_oen[106]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2344.710 0.000 2344.990 2.400 ;
+    END
+  END la_oen[106]
+  PIN la_oen[107]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2361.270 0.000 2361.550 2.400 ;
+    END
+  END la_oen[107]
+  PIN la_oen[108]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2377.830 0.000 2378.110 2.400 ;
+    END
+  END la_oen[108]
+  PIN la_oen[109]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2394.390 0.000 2394.670 2.400 ;
+    END
+  END la_oen[109]
+  PIN la_oen[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 760.930 0.000 761.210 2.400 ;
+    END
+  END la_oen[10]
+  PIN la_oen[110]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2410.950 0.000 2411.230 2.400 ;
+    END
+  END la_oen[110]
+  PIN la_oen[111]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2427.510 0.000 2427.790 2.400 ;
+    END
+  END la_oen[111]
+  PIN la_oen[112]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2444.070 0.000 2444.350 2.400 ;
+    END
+  END la_oen[112]
+  PIN la_oen[113]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2460.170 0.000 2460.450 2.400 ;
+    END
+  END la_oen[113]
+  PIN la_oen[114]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2476.730 0.000 2477.010 2.400 ;
+    END
+  END la_oen[114]
+  PIN la_oen[115]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2493.290 0.000 2493.570 2.400 ;
+    END
+  END la_oen[115]
+  PIN la_oen[116]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2509.850 0.000 2510.130 2.400 ;
+    END
+  END la_oen[116]
+  PIN la_oen[117]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2526.410 0.000 2526.690 2.400 ;
+    END
+  END la_oen[117]
+  PIN la_oen[118]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2542.970 0.000 2543.250 2.400 ;
+    END
+  END la_oen[118]
+  PIN la_oen[119]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2559.530 0.000 2559.810 2.400 ;
+    END
+  END la_oen[119]
+  PIN la_oen[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 777.490 0.000 777.770 2.400 ;
+    END
+  END la_oen[11]
+  PIN la_oen[120]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2576.090 0.000 2576.370 2.400 ;
+    END
+  END la_oen[120]
+  PIN la_oen[121]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2592.190 0.000 2592.470 2.400 ;
+    END
+  END la_oen[121]
+  PIN la_oen[122]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2608.750 0.000 2609.030 2.400 ;
+    END
+  END la_oen[122]
+  PIN la_oen[123]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2625.310 0.000 2625.590 2.400 ;
+    END
+  END la_oen[123]
+  PIN la_oen[124]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2641.870 0.000 2642.150 2.400 ;
+    END
+  END la_oen[124]
+  PIN la_oen[125]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2658.430 0.000 2658.710 2.400 ;
+    END
+  END la_oen[125]
+  PIN la_oen[126]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2674.990 0.000 2675.270 2.400 ;
+    END
+  END la_oen[126]
+  PIN la_oen[127]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2691.550 0.000 2691.830 2.400 ;
+    END
+  END la_oen[127]
+  PIN la_oen[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 794.050 0.000 794.330 2.400 ;
+    END
+  END la_oen[12]
+  PIN la_oen[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 810.610 0.000 810.890 2.400 ;
+    END
+  END la_oen[13]
+  PIN la_oen[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 827.170 0.000 827.450 2.400 ;
+    END
+  END la_oen[14]
+  PIN la_oen[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 843.730 0.000 844.010 2.400 ;
+    END
+  END la_oen[15]
+  PIN la_oen[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 860.290 0.000 860.570 2.400 ;
+    END
+  END la_oen[16]
+  PIN la_oen[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 876.390 0.000 876.670 2.400 ;
+    END
+  END la_oen[17]
+  PIN la_oen[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 892.950 0.000 893.230 2.400 ;
+    END
+  END la_oen[18]
+  PIN la_oen[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 909.510 0.000 909.790 2.400 ;
+    END
+  END la_oen[19]
+  PIN la_oen[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 612.810 0.000 613.090 2.400 ;
+    END
+  END la_oen[1]
+  PIN la_oen[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 926.070 0.000 926.350 2.400 ;
+    END
+  END la_oen[20]
+  PIN la_oen[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 942.630 0.000 942.910 2.400 ;
+    END
+  END la_oen[21]
+  PIN la_oen[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 959.190 0.000 959.470 2.400 ;
+    END
+  END la_oen[22]
+  PIN la_oen[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 975.750 0.000 976.030 2.400 ;
+    END
+  END la_oen[23]
+  PIN la_oen[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 991.850 0.000 992.130 2.400 ;
+    END
+  END la_oen[24]
+  PIN la_oen[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1008.410 0.000 1008.690 2.400 ;
+    END
+  END la_oen[25]
+  PIN la_oen[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1024.970 0.000 1025.250 2.400 ;
+    END
+  END la_oen[26]
+  PIN la_oen[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1041.530 0.000 1041.810 2.400 ;
+    END
+  END la_oen[27]
+  PIN la_oen[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1058.090 0.000 1058.370 2.400 ;
+    END
+  END la_oen[28]
+  PIN la_oen[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1074.650 0.000 1074.930 2.400 ;
+    END
+  END la_oen[29]
+  PIN la_oen[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 628.910 0.000 629.190 2.400 ;
+    END
+  END la_oen[2]
+  PIN la_oen[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1091.210 0.000 1091.490 2.400 ;
+    END
+  END la_oen[30]
+  PIN la_oen[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1107.310 0.000 1107.590 2.400 ;
+    END
+  END la_oen[31]
+  PIN la_oen[32]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1123.870 0.000 1124.150 2.400 ;
+    END
+  END la_oen[32]
+  PIN la_oen[33]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1140.430 0.000 1140.710 2.400 ;
+    END
+  END la_oen[33]
+  PIN la_oen[34]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1156.990 0.000 1157.270 2.400 ;
+    END
+  END la_oen[34]
+  PIN la_oen[35]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1173.550 0.000 1173.830 2.400 ;
+    END
+  END la_oen[35]
+  PIN la_oen[36]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1190.110 0.000 1190.390 2.400 ;
+    END
+  END la_oen[36]
+  PIN la_oen[37]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1206.670 0.000 1206.950 2.400 ;
+    END
+  END la_oen[37]
+  PIN la_oen[38]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1223.230 0.000 1223.510 2.400 ;
+    END
+  END la_oen[38]
+  PIN la_oen[39]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1239.330 0.000 1239.610 2.400 ;
+    END
+  END la_oen[39]
+  PIN la_oen[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 645.470 0.000 645.750 2.400 ;
+    END
+  END la_oen[3]
+  PIN la_oen[40]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1255.890 0.000 1256.170 2.400 ;
+    END
+  END la_oen[40]
+  PIN la_oen[41]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1272.450 0.000 1272.730 2.400 ;
+    END
+  END la_oen[41]
+  PIN la_oen[42]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1289.010 0.000 1289.290 2.400 ;
+    END
+  END la_oen[42]
+  PIN la_oen[43]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1305.570 0.000 1305.850 2.400 ;
+    END
+  END la_oen[43]
+  PIN la_oen[44]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1322.130 0.000 1322.410 2.400 ;
+    END
+  END la_oen[44]
+  PIN la_oen[45]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1338.690 0.000 1338.970 2.400 ;
+    END
+  END la_oen[45]
+  PIN la_oen[46]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1354.790 0.000 1355.070 2.400 ;
+    END
+  END la_oen[46]
+  PIN la_oen[47]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1371.350 0.000 1371.630 2.400 ;
+    END
+  END la_oen[47]
+  PIN la_oen[48]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1387.910 0.000 1388.190 2.400 ;
+    END
+  END la_oen[48]
+  PIN la_oen[49]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1404.470 0.000 1404.750 2.400 ;
+    END
+  END la_oen[49]
+  PIN la_oen[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 662.030 0.000 662.310 2.400 ;
+    END
+  END la_oen[4]
+  PIN la_oen[50]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1421.030 0.000 1421.310 2.400 ;
+    END
+  END la_oen[50]
+  PIN la_oen[51]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1437.590 0.000 1437.870 2.400 ;
+    END
+  END la_oen[51]
+  PIN la_oen[52]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1454.150 0.000 1454.430 2.400 ;
+    END
+  END la_oen[52]
+  PIN la_oen[53]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1470.710 0.000 1470.990 2.400 ;
+    END
+  END la_oen[53]
+  PIN la_oen[54]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1486.810 0.000 1487.090 2.400 ;
+    END
+  END la_oen[54]
+  PIN la_oen[55]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1503.370 0.000 1503.650 2.400 ;
+    END
+  END la_oen[55]
+  PIN la_oen[56]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1519.930 0.000 1520.210 2.400 ;
+    END
+  END la_oen[56]
+  PIN la_oen[57]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1536.490 0.000 1536.770 2.400 ;
+    END
+  END la_oen[57]
+  PIN la_oen[58]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1553.050 0.000 1553.330 2.400 ;
+    END
+  END la_oen[58]
+  PIN la_oen[59]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1569.610 0.000 1569.890 2.400 ;
+    END
+  END la_oen[59]
+  PIN la_oen[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 678.590 0.000 678.870 2.400 ;
+    END
+  END la_oen[5]
+  PIN la_oen[60]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1586.170 0.000 1586.450 2.400 ;
+    END
+  END la_oen[60]
+  PIN la_oen[61]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1602.270 0.000 1602.550 2.400 ;
+    END
+  END la_oen[61]
+  PIN la_oen[62]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1618.830 0.000 1619.110 2.400 ;
+    END
+  END la_oen[62]
+  PIN la_oen[63]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1635.390 0.000 1635.670 2.400 ;
+    END
+  END la_oen[63]
+  PIN la_oen[64]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1651.950 0.000 1652.230 2.400 ;
+    END
+  END la_oen[64]
+  PIN la_oen[65]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1668.510 0.000 1668.790 2.400 ;
+    END
+  END la_oen[65]
+  PIN la_oen[66]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1685.070 0.000 1685.350 2.400 ;
+    END
+  END la_oen[66]
+  PIN la_oen[67]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1701.630 0.000 1701.910 2.400 ;
+    END
+  END la_oen[67]
+  PIN la_oen[68]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1718.190 0.000 1718.470 2.400 ;
+    END
+  END la_oen[68]
+  PIN la_oen[69]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1734.290 0.000 1734.570 2.400 ;
+    END
+  END la_oen[69]
+  PIN la_oen[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 695.150 0.000 695.430 2.400 ;
+    END
+  END la_oen[6]
+  PIN la_oen[70]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1750.850 0.000 1751.130 2.400 ;
+    END
+  END la_oen[70]
+  PIN la_oen[71]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1767.410 0.000 1767.690 2.400 ;
+    END
+  END la_oen[71]
+  PIN la_oen[72]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1783.970 0.000 1784.250 2.400 ;
+    END
+  END la_oen[72]
+  PIN la_oen[73]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1800.530 0.000 1800.810 2.400 ;
+    END
+  END la_oen[73]
+  PIN la_oen[74]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1817.090 0.000 1817.370 2.400 ;
+    END
+  END la_oen[74]
+  PIN la_oen[75]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1833.650 0.000 1833.930 2.400 ;
+    END
+  END la_oen[75]
+  PIN la_oen[76]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1849.750 0.000 1850.030 2.400 ;
+    END
+  END la_oen[76]
+  PIN la_oen[77]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1866.310 0.000 1866.590 2.400 ;
+    END
+  END la_oen[77]
+  PIN la_oen[78]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1882.870 0.000 1883.150 2.400 ;
+    END
+  END la_oen[78]
+  PIN la_oen[79]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1899.430 0.000 1899.710 2.400 ;
+    END
+  END la_oen[79]
+  PIN la_oen[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 711.710 0.000 711.990 2.400 ;
+    END
+  END la_oen[7]
+  PIN la_oen[80]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1915.990 0.000 1916.270 2.400 ;
+    END
+  END la_oen[80]
+  PIN la_oen[81]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1932.550 0.000 1932.830 2.400 ;
+    END
+  END la_oen[81]
+  PIN la_oen[82]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1949.110 0.000 1949.390 2.400 ;
+    END
+  END la_oen[82]
+  PIN la_oen[83]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1965.670 0.000 1965.950 2.400 ;
+    END
+  END la_oen[83]
+  PIN la_oen[84]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1981.770 0.000 1982.050 2.400 ;
+    END
+  END la_oen[84]
+  PIN la_oen[85]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 1998.330 0.000 1998.610 2.400 ;
+    END
+  END la_oen[85]
+  PIN la_oen[86]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2014.890 0.000 2015.170 2.400 ;
+    END
+  END la_oen[86]
+  PIN la_oen[87]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2031.450 0.000 2031.730 2.400 ;
+    END
+  END la_oen[87]
+  PIN la_oen[88]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2048.010 0.000 2048.290 2.400 ;
+    END
+  END la_oen[88]
+  PIN la_oen[89]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2064.570 0.000 2064.850 2.400 ;
+    END
+  END la_oen[89]
+  PIN la_oen[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 728.270 0.000 728.550 2.400 ;
+    END
+  END la_oen[8]
+  PIN la_oen[90]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2081.130 0.000 2081.410 2.400 ;
+    END
+  END la_oen[90]
+  PIN la_oen[91]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2097.230 0.000 2097.510 2.400 ;
+    END
+  END la_oen[91]
+  PIN la_oen[92]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2113.790 0.000 2114.070 2.400 ;
+    END
+  END la_oen[92]
+  PIN la_oen[93]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2130.350 0.000 2130.630 2.400 ;
+    END
+  END la_oen[93]
+  PIN la_oen[94]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2146.910 0.000 2147.190 2.400 ;
+    END
+  END la_oen[94]
+  PIN la_oen[95]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2163.470 0.000 2163.750 2.400 ;
+    END
+  END la_oen[95]
+  PIN la_oen[96]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2180.030 0.000 2180.310 2.400 ;
+    END
+  END la_oen[96]
+  PIN la_oen[97]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2196.590 0.000 2196.870 2.400 ;
+    END
+  END la_oen[97]
+  PIN la_oen[98]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2212.690 0.000 2212.970 2.400 ;
+    END
+  END la_oen[98]
+  PIN la_oen[99]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2229.250 0.000 2229.530 2.400 ;
+    END
+  END la_oen[99]
+  PIN la_oen[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 744.370 0.000 744.650 2.400 ;
+    END
+  END la_oen[9]
+  PIN user_clock2
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2697.070 0.000 2697.350 2.400 ;
+    END
+  END user_clock2
+  PIN vccd1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 2503.120 2700.000 2503.720 ;
+    END
+  END vccd1
+  PIN vccd2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2494.280 2.400 2494.880 ;
+    END
+  END vccd2
+  PIN vdda1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1265.520 2700.000 1266.120 ;
+    END
+  END vdda1
+  PIN vdda2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1144.480 2.400 1145.080 ;
+    END
+  END vdda2
+  PIN vssa1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2362.190 2697.600 2362.470 2700.000 ;
+    END
+  END vssa1
+  PIN vssa2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 2435.800 2.400 2436.400 ;
+    END
+  END vssa2
+  PIN vssd1
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 2697.600 1209.080 2700.000 1209.680 ;
+    END
+  END vssd1
+  PIN vssd2
+    DIRECTION INOUT ;
+    PORT
+      LAYER met3 ;
+        RECT 0.000 1085.320 2.400 1085.920 ;
+    END
+  END vssd2
+  PIN wb_clk_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 2.390 0.000 2.670 2.400 ;
+    END
+  END wb_clk_i
+  PIN wb_rst_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 7.450 0.000 7.730 2.400 ;
+    END
+  END wb_rst_i
+  PIN wbs_ack_o
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 12.970 0.000 13.250 2.400 ;
+    END
+  END wbs_ack_o
+  PIN wbs_adr_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 35.050 0.000 35.330 2.400 ;
+    END
+  END wbs_adr_i[0]
+  PIN wbs_adr_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 222.270 0.000 222.550 2.400 ;
+    END
+  END wbs_adr_i[10]
+  PIN wbs_adr_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 238.830 0.000 239.110 2.400 ;
+    END
+  END wbs_adr_i[11]
+  PIN wbs_adr_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 254.930 0.000 255.210 2.400 ;
+    END
+  END wbs_adr_i[12]
+  PIN wbs_adr_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 271.490 0.000 271.770 2.400 ;
+    END
+  END wbs_adr_i[13]
+  PIN wbs_adr_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 288.050 0.000 288.330 2.400 ;
+    END
+  END wbs_adr_i[14]
+  PIN wbs_adr_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 304.610 0.000 304.890 2.400 ;
+    END
+  END wbs_adr_i[15]
+  PIN wbs_adr_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 321.170 0.000 321.450 2.400 ;
+    END
+  END wbs_adr_i[16]
+  PIN wbs_adr_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 337.730 0.000 338.010 2.400 ;
+    END
+  END wbs_adr_i[17]
+  PIN wbs_adr_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 354.290 0.000 354.570 2.400 ;
+    END
+  END wbs_adr_i[18]
+  PIN wbs_adr_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 370.390 0.000 370.670 2.400 ;
+    END
+  END wbs_adr_i[19]
+  PIN wbs_adr_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 57.130 0.000 57.410 2.400 ;
+    END
+  END wbs_adr_i[1]
+  PIN wbs_adr_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 386.950 0.000 387.230 2.400 ;
+    END
+  END wbs_adr_i[20]
+  PIN wbs_adr_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 403.510 0.000 403.790 2.400 ;
+    END
+  END wbs_adr_i[21]
+  PIN wbs_adr_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 420.070 0.000 420.350 2.400 ;
+    END
+  END wbs_adr_i[22]
+  PIN wbs_adr_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 436.630 0.000 436.910 2.400 ;
+    END
+  END wbs_adr_i[23]
+  PIN wbs_adr_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 453.190 0.000 453.470 2.400 ;
+    END
+  END wbs_adr_i[24]
+  PIN wbs_adr_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 469.750 0.000 470.030 2.400 ;
+    END
+  END wbs_adr_i[25]
+  PIN wbs_adr_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 486.310 0.000 486.590 2.400 ;
+    END
+  END wbs_adr_i[26]
+  PIN wbs_adr_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 502.410 0.000 502.690 2.400 ;
+    END
+  END wbs_adr_i[27]
+  PIN wbs_adr_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 518.970 0.000 519.250 2.400 ;
+    END
+  END wbs_adr_i[28]
+  PIN wbs_adr_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 535.530 0.000 535.810 2.400 ;
+    END
+  END wbs_adr_i[29]
+  PIN wbs_adr_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 79.210 0.000 79.490 2.400 ;
+    END
+  END wbs_adr_i[2]
+  PIN wbs_adr_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 552.090 0.000 552.370 2.400 ;
+    END
+  END wbs_adr_i[30]
+  PIN wbs_adr_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 568.650 0.000 568.930 2.400 ;
+    END
+  END wbs_adr_i[31]
+  PIN wbs_adr_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 101.290 0.000 101.570 2.400 ;
+    END
+  END wbs_adr_i[3]
+  PIN wbs_adr_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 123.370 0.000 123.650 2.400 ;
+    END
+  END wbs_adr_i[4]
+  PIN wbs_adr_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 139.470 0.000 139.750 2.400 ;
+    END
+  END wbs_adr_i[5]
+  PIN wbs_adr_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 156.030 0.000 156.310 2.400 ;
+    END
+  END wbs_adr_i[6]
+  PIN wbs_adr_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 172.590 0.000 172.870 2.400 ;
+    END
+  END wbs_adr_i[7]
+  PIN wbs_adr_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 189.150 0.000 189.430 2.400 ;
+    END
+  END wbs_adr_i[8]
+  PIN wbs_adr_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 205.710 0.000 205.990 2.400 ;
+    END
+  END wbs_adr_i[9]
+  PIN wbs_cyc_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 18.490 0.000 18.770 2.400 ;
+    END
+  END wbs_cyc_i
+  PIN wbs_dat_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 40.570 0.000 40.850 2.400 ;
+    END
+  END wbs_dat_i[0]
+  PIN wbs_dat_i[10]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 227.790 0.000 228.070 2.400 ;
+    END
+  END wbs_dat_i[10]
+  PIN wbs_dat_i[11]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 244.350 0.000 244.630 2.400 ;
+    END
+  END wbs_dat_i[11]
+  PIN wbs_dat_i[12]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 260.450 0.000 260.730 2.400 ;
+    END
+  END wbs_dat_i[12]
+  PIN wbs_dat_i[13]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 277.010 0.000 277.290 2.400 ;
+    END
+  END wbs_dat_i[13]
+  PIN wbs_dat_i[14]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 293.570 0.000 293.850 2.400 ;
+    END
+  END wbs_dat_i[14]
+  PIN wbs_dat_i[15]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 310.130 0.000 310.410 2.400 ;
+    END
+  END wbs_dat_i[15]
+  PIN wbs_dat_i[16]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 326.690 0.000 326.970 2.400 ;
+    END
+  END wbs_dat_i[16]
+  PIN wbs_dat_i[17]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 343.250 0.000 343.530 2.400 ;
+    END
+  END wbs_dat_i[17]
+  PIN wbs_dat_i[18]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 359.810 0.000 360.090 2.400 ;
+    END
+  END wbs_dat_i[18]
+  PIN wbs_dat_i[19]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 375.910 0.000 376.190 2.400 ;
+    END
+  END wbs_dat_i[19]
+  PIN wbs_dat_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 62.650 0.000 62.930 2.400 ;
+    END
+  END wbs_dat_i[1]
+  PIN wbs_dat_i[20]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 392.470 0.000 392.750 2.400 ;
+    END
+  END wbs_dat_i[20]
+  PIN wbs_dat_i[21]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 409.030 0.000 409.310 2.400 ;
+    END
+  END wbs_dat_i[21]
+  PIN wbs_dat_i[22]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 425.590 0.000 425.870 2.400 ;
+    END
+  END wbs_dat_i[22]
+  PIN wbs_dat_i[23]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 442.150 0.000 442.430 2.400 ;
+    END
+  END wbs_dat_i[23]
+  PIN wbs_dat_i[24]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 458.710 0.000 458.990 2.400 ;
+    END
+  END wbs_dat_i[24]
+  PIN wbs_dat_i[25]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 475.270 0.000 475.550 2.400 ;
+    END
+  END wbs_dat_i[25]
+  PIN wbs_dat_i[26]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 491.830 0.000 492.110 2.400 ;
+    END
+  END wbs_dat_i[26]
+  PIN wbs_dat_i[27]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 507.930 0.000 508.210 2.400 ;
+    END
+  END wbs_dat_i[27]
+  PIN wbs_dat_i[28]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 524.490 0.000 524.770 2.400 ;
+    END
+  END wbs_dat_i[28]
+  PIN wbs_dat_i[29]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 541.050 0.000 541.330 2.400 ;
+    END
+  END wbs_dat_i[29]
+  PIN wbs_dat_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 84.730 0.000 85.010 2.400 ;
+    END
+  END wbs_dat_i[2]
+  PIN wbs_dat_i[30]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 557.610 0.000 557.890 2.400 ;
+    END
+  END wbs_dat_i[30]
+  PIN wbs_dat_i[31]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 574.170 0.000 574.450 2.400 ;
+    END
+  END wbs_dat_i[31]
+  PIN wbs_dat_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 106.810 0.000 107.090 2.400 ;
+    END
+  END wbs_dat_i[3]
+  PIN wbs_dat_i[4]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 128.430 0.000 128.710 2.400 ;
+    END
+  END wbs_dat_i[4]
+  PIN wbs_dat_i[5]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 144.990 0.000 145.270 2.400 ;
+    END
+  END wbs_dat_i[5]
+  PIN wbs_dat_i[6]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 161.550 0.000 161.830 2.400 ;
+    END
+  END wbs_dat_i[6]
+  PIN wbs_dat_i[7]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 178.110 0.000 178.390 2.400 ;
+    END
+  END wbs_dat_i[7]
+  PIN wbs_dat_i[8]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 194.670 0.000 194.950 2.400 ;
+    END
+  END wbs_dat_i[8]
+  PIN wbs_dat_i[9]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 211.230 0.000 211.510 2.400 ;
+    END
+  END wbs_dat_i[9]
+  PIN wbs_dat_o[0]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 46.090 0.000 46.370 2.400 ;
+    END
+  END wbs_dat_o[0]
+  PIN wbs_dat_o[10]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 233.310 0.000 233.590 2.400 ;
+    END
+  END wbs_dat_o[10]
+  PIN wbs_dat_o[11]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 249.410 0.000 249.690 2.400 ;
+    END
+  END wbs_dat_o[11]
+  PIN wbs_dat_o[12]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 265.970 0.000 266.250 2.400 ;
+    END
+  END wbs_dat_o[12]
+  PIN wbs_dat_o[13]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 282.530 0.000 282.810 2.400 ;
+    END
+  END wbs_dat_o[13]
+  PIN wbs_dat_o[14]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 299.090 0.000 299.370 2.400 ;
+    END
+  END wbs_dat_o[14]
+  PIN wbs_dat_o[15]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 315.650 0.000 315.930 2.400 ;
+    END
+  END wbs_dat_o[15]
+  PIN wbs_dat_o[16]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 332.210 0.000 332.490 2.400 ;
+    END
+  END wbs_dat_o[16]
+  PIN wbs_dat_o[17]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 348.770 0.000 349.050 2.400 ;
+    END
+  END wbs_dat_o[17]
+  PIN wbs_dat_o[18]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 365.330 0.000 365.610 2.400 ;
+    END
+  END wbs_dat_o[18]
+  PIN wbs_dat_o[19]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 381.430 0.000 381.710 2.400 ;
+    END
+  END wbs_dat_o[19]
+  PIN wbs_dat_o[1]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 68.170 0.000 68.450 2.400 ;
+    END
+  END wbs_dat_o[1]
+  PIN wbs_dat_o[20]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 397.990 0.000 398.270 2.400 ;
+    END
+  END wbs_dat_o[20]
+  PIN wbs_dat_o[21]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 414.550 0.000 414.830 2.400 ;
+    END
+  END wbs_dat_o[21]
+  PIN wbs_dat_o[22]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 431.110 0.000 431.390 2.400 ;
+    END
+  END wbs_dat_o[22]
+  PIN wbs_dat_o[23]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 447.670 0.000 447.950 2.400 ;
+    END
+  END wbs_dat_o[23]
+  PIN wbs_dat_o[24]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 464.230 0.000 464.510 2.400 ;
+    END
+  END wbs_dat_o[24]
+  PIN wbs_dat_o[25]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 480.790 0.000 481.070 2.400 ;
+    END
+  END wbs_dat_o[25]
+  PIN wbs_dat_o[26]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 496.890 0.000 497.170 2.400 ;
+    END
+  END wbs_dat_o[26]
+  PIN wbs_dat_o[27]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 513.450 0.000 513.730 2.400 ;
+    END
+  END wbs_dat_o[27]
+  PIN wbs_dat_o[28]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 530.010 0.000 530.290 2.400 ;
+    END
+  END wbs_dat_o[28]
+  PIN wbs_dat_o[29]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 546.570 0.000 546.850 2.400 ;
+    END
+  END wbs_dat_o[29]
+  PIN wbs_dat_o[2]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 90.250 0.000 90.530 2.400 ;
+    END
+  END wbs_dat_o[2]
+  PIN wbs_dat_o[30]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 563.130 0.000 563.410 2.400 ;
+    END
+  END wbs_dat_o[30]
+  PIN wbs_dat_o[31]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 579.690 0.000 579.970 2.400 ;
+    END
+  END wbs_dat_o[31]
+  PIN wbs_dat_o[3]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 112.330 0.000 112.610 2.400 ;
+    END
+  END wbs_dat_o[3]
+  PIN wbs_dat_o[4]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 133.950 0.000 134.230 2.400 ;
+    END
+  END wbs_dat_o[4]
+  PIN wbs_dat_o[5]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 150.510 0.000 150.790 2.400 ;
+    END
+  END wbs_dat_o[5]
+  PIN wbs_dat_o[6]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 167.070 0.000 167.350 2.400 ;
+    END
+  END wbs_dat_o[6]
+  PIN wbs_dat_o[7]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 183.630 0.000 183.910 2.400 ;
+    END
+  END wbs_dat_o[7]
+  PIN wbs_dat_o[8]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 200.190 0.000 200.470 2.400 ;
+    END
+  END wbs_dat_o[8]
+  PIN wbs_dat_o[9]
+    DIRECTION OUTPUT TRISTATE ;
+    PORT
+      LAYER met2 ;
+        RECT 216.750 0.000 217.030 2.400 ;
+    END
+  END wbs_dat_o[9]
+  PIN wbs_sel_i[0]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 51.610 0.000 51.890 2.400 ;
+    END
+  END wbs_sel_i[0]
+  PIN wbs_sel_i[1]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 73.690 0.000 73.970 2.400 ;
+    END
+  END wbs_sel_i[1]
+  PIN wbs_sel_i[2]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 95.770 0.000 96.050 2.400 ;
+    END
+  END wbs_sel_i[2]
+  PIN wbs_sel_i[3]
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 117.850 0.000 118.130 2.400 ;
+    END
+  END wbs_sel_i[3]
+  PIN wbs_stb_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 24.010 0.000 24.290 2.400 ;
+    END
+  END wbs_stb_i
+  PIN wbs_we_i
+    DIRECTION INPUT ;
+    PORT
+      LAYER met2 ;
+        RECT 29.530 0.000 29.810 2.400 ;
+    END
+  END wbs_we_i
+END user_project_wrapper_empty
+END LIBRARY
+
diff --git a/mag/user_project_wrapper_empty.mag b/mag/user_project_wrapper_empty.mag
new file mode 100644
index 0000000..1337f04
--- /dev/null
+++ b/mag/user_project_wrapper_empty.mag
@@ -0,0 +1,1846 @@
+magic
+tech sky130A
+timestamp 1603382008
+<< metal2 >>
+rect 4793 269760 4821 270000
+rect 14407 269760 14435 270000
+rect 24067 269760 24095 270000
+rect 33681 269760 33709 270000
+rect 43341 269760 43369 270000
+rect 53001 269760 53029 270000
+rect 62615 269760 62643 270000
+rect 72275 269760 72303 270000
+rect 81935 269760 81963 270000
+rect 91549 269760 91577 270000
+rect 101209 269760 101237 270000
+rect 110869 269760 110897 270000
+rect 120483 269760 120511 270000
+rect 130143 269760 130171 270000
+rect 139803 269760 139831 270000
+rect 149417 269760 149445 270000
+rect 159077 269760 159105 270000
+rect 168691 269760 168719 270000
+rect 178351 269760 178379 270000
+rect 188011 269760 188039 270000
+rect 197625 269760 197653 270000
+rect 207285 269760 207313 270000
+rect 216945 269760 216973 270000
+rect 226559 269760 226587 270000
+rect 236219 269760 236247 270000
+rect 245879 269760 245907 270000
+rect 255493 269760 255521 270000
+rect 265153 269760 265181 270000
+rect 239 0 267 240
+rect 745 0 773 240
+rect 1297 0 1325 240
+rect 1849 0 1877 240
+rect 2401 0 2429 240
+rect 2953 0 2981 240
+rect 3505 0 3533 240
+rect 4057 0 4085 240
+rect 4609 0 4637 240
+rect 5161 0 5189 240
+rect 5713 0 5741 240
+rect 6265 0 6293 240
+rect 6817 0 6845 240
+rect 7369 0 7397 240
+rect 7921 0 7949 240
+rect 8473 0 8501 240
+rect 9025 0 9053 240
+rect 9577 0 9605 240
+rect 10129 0 10157 240
+rect 10681 0 10709 240
+rect 11233 0 11261 240
+rect 11785 0 11813 240
+rect 12337 0 12365 240
+rect 12843 0 12871 240
+rect 13395 0 13423 240
+rect 13947 0 13975 240
+rect 14499 0 14527 240
+rect 15051 0 15079 240
+rect 15603 0 15631 240
+rect 16155 0 16183 240
+rect 16707 0 16735 240
+rect 17259 0 17287 240
+rect 17811 0 17839 240
+rect 18363 0 18391 240
+rect 18915 0 18943 240
+rect 19467 0 19495 240
+rect 20019 0 20047 240
+rect 20571 0 20599 240
+rect 21123 0 21151 240
+rect 21675 0 21703 240
+rect 22227 0 22255 240
+rect 22779 0 22807 240
+rect 23331 0 23359 240
+rect 23883 0 23911 240
+rect 24435 0 24463 240
+rect 24941 0 24969 240
+rect 25493 0 25521 240
+rect 26045 0 26073 240
+rect 26597 0 26625 240
+rect 27149 0 27177 240
+rect 27701 0 27729 240
+rect 28253 0 28281 240
+rect 28805 0 28833 240
+rect 29357 0 29385 240
+rect 29909 0 29937 240
+rect 30461 0 30489 240
+rect 31013 0 31041 240
+rect 31565 0 31593 240
+rect 32117 0 32145 240
+rect 32669 0 32697 240
+rect 33221 0 33249 240
+rect 33773 0 33801 240
+rect 34325 0 34353 240
+rect 34877 0 34905 240
+rect 35429 0 35457 240
+rect 35981 0 36009 240
+rect 36533 0 36561 240
+rect 37039 0 37067 240
+rect 37591 0 37619 240
+rect 38143 0 38171 240
+rect 38695 0 38723 240
+rect 39247 0 39275 240
+rect 39799 0 39827 240
+rect 40351 0 40379 240
+rect 40903 0 40931 240
+rect 41455 0 41483 240
+rect 42007 0 42035 240
+rect 42559 0 42587 240
+rect 43111 0 43139 240
+rect 43663 0 43691 240
+rect 44215 0 44243 240
+rect 44767 0 44795 240
+rect 45319 0 45347 240
+rect 45871 0 45899 240
+rect 46423 0 46451 240
+rect 46975 0 47003 240
+rect 47527 0 47555 240
+rect 48079 0 48107 240
+rect 48631 0 48659 240
+rect 49183 0 49211 240
+rect 49689 0 49717 240
+rect 50241 0 50269 240
+rect 50793 0 50821 240
+rect 51345 0 51373 240
+rect 51897 0 51925 240
+rect 52449 0 52477 240
+rect 53001 0 53029 240
+rect 53553 0 53581 240
+rect 54105 0 54133 240
+rect 54657 0 54685 240
+rect 55209 0 55237 240
+rect 55761 0 55789 240
+rect 56313 0 56341 240
+rect 56865 0 56893 240
+rect 57417 0 57445 240
+rect 57969 0 57997 240
+rect 58521 0 58549 240
+rect 59073 0 59101 240
+rect 59625 0 59653 240
+rect 60177 0 60205 240
+rect 60729 0 60757 240
+rect 61281 0 61309 240
+rect 61787 0 61815 240
+rect 62339 0 62367 240
+rect 62891 0 62919 240
+rect 63443 0 63471 240
+rect 63995 0 64023 240
+rect 64547 0 64575 240
+rect 65099 0 65127 240
+rect 65651 0 65679 240
+rect 66203 0 66231 240
+rect 66755 0 66783 240
+rect 67307 0 67335 240
+rect 67859 0 67887 240
+rect 68411 0 68439 240
+rect 68963 0 68991 240
+rect 69515 0 69543 240
+rect 70067 0 70095 240
+rect 70619 0 70647 240
+rect 71171 0 71199 240
+rect 71723 0 71751 240
+rect 72275 0 72303 240
+rect 72827 0 72855 240
+rect 73379 0 73407 240
+rect 73885 0 73913 240
+rect 74437 0 74465 240
+rect 74989 0 75017 240
+rect 75541 0 75569 240
+rect 76093 0 76121 240
+rect 76645 0 76673 240
+rect 77197 0 77225 240
+rect 77749 0 77777 240
+rect 78301 0 78329 240
+rect 78853 0 78881 240
+rect 79405 0 79433 240
+rect 79957 0 79985 240
+rect 80509 0 80537 240
+rect 81061 0 81089 240
+rect 81613 0 81641 240
+rect 82165 0 82193 240
+rect 82717 0 82745 240
+rect 83269 0 83297 240
+rect 83821 0 83849 240
+rect 84373 0 84401 240
+rect 84925 0 84953 240
+rect 85477 0 85505 240
+rect 86029 0 86057 240
+rect 86535 0 86563 240
+rect 87087 0 87115 240
+rect 87639 0 87667 240
+rect 88191 0 88219 240
+rect 88743 0 88771 240
+rect 89295 0 89323 240
+rect 89847 0 89875 240
+rect 90399 0 90427 240
+rect 90951 0 90979 240
+rect 91503 0 91531 240
+rect 92055 0 92083 240
+rect 92607 0 92635 240
+rect 93159 0 93187 240
+rect 93711 0 93739 240
+rect 94263 0 94291 240
+rect 94815 0 94843 240
+rect 95367 0 95395 240
+rect 95919 0 95947 240
+rect 96471 0 96499 240
+rect 97023 0 97051 240
+rect 97575 0 97603 240
+rect 98127 0 98155 240
+rect 98633 0 98661 240
+rect 99185 0 99213 240
+rect 99737 0 99765 240
+rect 100289 0 100317 240
+rect 100841 0 100869 240
+rect 101393 0 101421 240
+rect 101945 0 101973 240
+rect 102497 0 102525 240
+rect 103049 0 103077 240
+rect 103601 0 103629 240
+rect 104153 0 104181 240
+rect 104705 0 104733 240
+rect 105257 0 105285 240
+rect 105809 0 105837 240
+rect 106361 0 106389 240
+rect 106913 0 106941 240
+rect 107465 0 107493 240
+rect 108017 0 108045 240
+rect 108569 0 108597 240
+rect 109121 0 109149 240
+rect 109673 0 109701 240
+rect 110225 0 110253 240
+rect 110731 0 110759 240
+rect 111283 0 111311 240
+rect 111835 0 111863 240
+rect 112387 0 112415 240
+rect 112939 0 112967 240
+rect 113491 0 113519 240
+rect 114043 0 114071 240
+rect 114595 0 114623 240
+rect 115147 0 115175 240
+rect 115699 0 115727 240
+rect 116251 0 116279 240
+rect 116803 0 116831 240
+rect 117355 0 117383 240
+rect 117907 0 117935 240
+rect 118459 0 118487 240
+rect 119011 0 119039 240
+rect 119563 0 119591 240
+rect 120115 0 120143 240
+rect 120667 0 120695 240
+rect 121219 0 121247 240
+rect 121771 0 121799 240
+rect 122323 0 122351 240
+rect 122875 0 122903 240
+rect 123381 0 123409 240
+rect 123933 0 123961 240
+rect 124485 0 124513 240
+rect 125037 0 125065 240
+rect 125589 0 125617 240
+rect 126141 0 126169 240
+rect 126693 0 126721 240
+rect 127245 0 127273 240
+rect 127797 0 127825 240
+rect 128349 0 128377 240
+rect 128901 0 128929 240
+rect 129453 0 129481 240
+rect 130005 0 130033 240
+rect 130557 0 130585 240
+rect 131109 0 131137 240
+rect 131661 0 131689 240
+rect 132213 0 132241 240
+rect 132765 0 132793 240
+rect 133317 0 133345 240
+rect 133869 0 133897 240
+rect 134421 0 134449 240
+rect 134973 0 135001 240
+rect 135479 0 135507 240
+rect 136031 0 136059 240
+rect 136583 0 136611 240
+rect 137135 0 137163 240
+rect 137687 0 137715 240
+rect 138239 0 138267 240
+rect 138791 0 138819 240
+rect 139343 0 139371 240
+rect 139895 0 139923 240
+rect 140447 0 140475 240
+rect 140999 0 141027 240
+rect 141551 0 141579 240
+rect 142103 0 142131 240
+rect 142655 0 142683 240
+rect 143207 0 143235 240
+rect 143759 0 143787 240
+rect 144311 0 144339 240
+rect 144863 0 144891 240
+rect 145415 0 145443 240
+rect 145967 0 145995 240
+rect 146519 0 146547 240
+rect 147071 0 147099 240
+rect 147577 0 147605 240
+rect 148129 0 148157 240
+rect 148681 0 148709 240
+rect 149233 0 149261 240
+rect 149785 0 149813 240
+rect 150337 0 150365 240
+rect 150889 0 150917 240
+rect 151441 0 151469 240
+rect 151993 0 152021 240
+rect 152545 0 152573 240
+rect 153097 0 153125 240
+rect 153649 0 153677 240
+rect 154201 0 154229 240
+rect 154753 0 154781 240
+rect 155305 0 155333 240
+rect 155857 0 155885 240
+rect 156409 0 156437 240
+rect 156961 0 156989 240
+rect 157513 0 157541 240
+rect 158065 0 158093 240
+rect 158617 0 158645 240
+rect 159169 0 159197 240
+rect 159721 0 159749 240
+rect 160227 0 160255 240
+rect 160779 0 160807 240
+rect 161331 0 161359 240
+rect 161883 0 161911 240
+rect 162435 0 162463 240
+rect 162987 0 163015 240
+rect 163539 0 163567 240
+rect 164091 0 164119 240
+rect 164643 0 164671 240
+rect 165195 0 165223 240
+rect 165747 0 165775 240
+rect 166299 0 166327 240
+rect 166851 0 166879 240
+rect 167403 0 167431 240
+rect 167955 0 167983 240
+rect 168507 0 168535 240
+rect 169059 0 169087 240
+rect 169611 0 169639 240
+rect 170163 0 170191 240
+rect 170715 0 170743 240
+rect 171267 0 171295 240
+rect 171819 0 171847 240
+rect 172325 0 172353 240
+rect 172877 0 172905 240
+rect 173429 0 173457 240
+rect 173981 0 174009 240
+rect 174533 0 174561 240
+rect 175085 0 175113 240
+rect 175637 0 175665 240
+rect 176189 0 176217 240
+rect 176741 0 176769 240
+rect 177293 0 177321 240
+rect 177845 0 177873 240
+rect 178397 0 178425 240
+rect 178949 0 178977 240
+rect 179501 0 179529 240
+rect 180053 0 180081 240
+rect 180605 0 180633 240
+rect 181157 0 181185 240
+rect 181709 0 181737 240
+rect 182261 0 182289 240
+rect 182813 0 182841 240
+rect 183365 0 183393 240
+rect 183917 0 183945 240
+rect 184423 0 184451 240
+rect 184975 0 185003 240
+rect 185527 0 185555 240
+rect 186079 0 186107 240
+rect 186631 0 186659 240
+rect 187183 0 187211 240
+rect 187735 0 187763 240
+rect 188287 0 188315 240
+rect 188839 0 188867 240
+rect 189391 0 189419 240
+rect 189943 0 189971 240
+rect 190495 0 190523 240
+rect 191047 0 191075 240
+rect 191599 0 191627 240
+rect 192151 0 192179 240
+rect 192703 0 192731 240
+rect 193255 0 193283 240
+rect 193807 0 193835 240
+rect 194359 0 194387 240
+rect 194911 0 194939 240
+rect 195463 0 195491 240
+rect 196015 0 196043 240
+rect 196567 0 196595 240
+rect 197073 0 197101 240
+rect 197625 0 197653 240
+rect 198177 0 198205 240
+rect 198729 0 198757 240
+rect 199281 0 199309 240
+rect 199833 0 199861 240
+rect 200385 0 200413 240
+rect 200937 0 200965 240
+rect 201489 0 201517 240
+rect 202041 0 202069 240
+rect 202593 0 202621 240
+rect 203145 0 203173 240
+rect 203697 0 203725 240
+rect 204249 0 204277 240
+rect 204801 0 204829 240
+rect 205353 0 205381 240
+rect 205905 0 205933 240
+rect 206457 0 206485 240
+rect 207009 0 207037 240
+rect 207561 0 207589 240
+rect 208113 0 208141 240
+rect 208665 0 208693 240
+rect 209171 0 209199 240
+rect 209723 0 209751 240
+rect 210275 0 210303 240
+rect 210827 0 210855 240
+rect 211379 0 211407 240
+rect 211931 0 211959 240
+rect 212483 0 212511 240
+rect 213035 0 213063 240
+rect 213587 0 213615 240
+rect 214139 0 214167 240
+rect 214691 0 214719 240
+rect 215243 0 215271 240
+rect 215795 0 215823 240
+rect 216347 0 216375 240
+rect 216899 0 216927 240
+rect 217451 0 217479 240
+rect 218003 0 218031 240
+rect 218555 0 218583 240
+rect 219107 0 219135 240
+rect 219659 0 219687 240
+rect 220211 0 220239 240
+rect 220763 0 220791 240
+rect 221269 0 221297 240
+rect 221821 0 221849 240
+rect 222373 0 222401 240
+rect 222925 0 222953 240
+rect 223477 0 223505 240
+rect 224029 0 224057 240
+rect 224581 0 224609 240
+rect 225133 0 225161 240
+rect 225685 0 225713 240
+rect 226237 0 226265 240
+rect 226789 0 226817 240
+rect 227341 0 227369 240
+rect 227893 0 227921 240
+rect 228445 0 228473 240
+rect 228997 0 229025 240
+rect 229549 0 229577 240
+rect 230101 0 230129 240
+rect 230653 0 230681 240
+rect 231205 0 231233 240
+rect 231757 0 231785 240
+rect 232309 0 232337 240
+rect 232861 0 232889 240
+rect 233413 0 233441 240
+rect 233919 0 233947 240
+rect 234471 0 234499 240
+rect 235023 0 235051 240
+rect 235575 0 235603 240
+rect 236127 0 236155 240
+rect 236679 0 236707 240
+rect 237231 0 237259 240
+rect 237783 0 237811 240
+rect 238335 0 238363 240
+rect 238887 0 238915 240
+rect 239439 0 239467 240
+rect 239991 0 240019 240
+rect 240543 0 240571 240
+rect 241095 0 241123 240
+rect 241647 0 241675 240
+rect 242199 0 242227 240
+rect 242751 0 242779 240
+rect 243303 0 243331 240
+rect 243855 0 243883 240
+rect 244407 0 244435 240
+rect 244959 0 244987 240
+rect 245511 0 245539 240
+rect 246017 0 246045 240
+rect 246569 0 246597 240
+rect 247121 0 247149 240
+rect 247673 0 247701 240
+rect 248225 0 248253 240
+rect 248777 0 248805 240
+rect 249329 0 249357 240
+rect 249881 0 249909 240
+rect 250433 0 250461 240
+rect 250985 0 251013 240
+rect 251537 0 251565 240
+rect 252089 0 252117 240
+rect 252641 0 252669 240
+rect 253193 0 253221 240
+rect 253745 0 253773 240
+rect 254297 0 254325 240
+rect 254849 0 254877 240
+rect 255401 0 255429 240
+rect 255953 0 255981 240
+rect 256505 0 256533 240
+rect 257057 0 257085 240
+rect 257609 0 257637 240
+rect 258115 0 258143 240
+rect 258667 0 258695 240
+rect 259219 0 259247 240
+rect 259771 0 259799 240
+rect 260323 0 260351 240
+rect 260875 0 260903 240
+rect 261427 0 261455 240
+rect 261979 0 262007 240
+rect 262531 0 262559 240
+rect 263083 0 263111 240
+rect 263635 0 263663 240
+rect 264187 0 264215 240
+rect 264739 0 264767 240
+rect 265291 0 265319 240
+rect 265843 0 265871 240
+rect 266395 0 266423 240
+rect 266947 0 266975 240
+rect 267499 0 267527 240
+rect 268051 0 268079 240
+rect 268603 0 268631 240
+rect 269155 0 269183 240
+rect 269707 0 269735 240
+<< metal3 >>
+rect 269760 267176 270000 267236
+rect 0 267040 240 267100
+rect 269760 261532 270000 261592
+rect 0 261192 240 261252
+rect 269760 255888 270000 255948
+rect 0 255344 240 255404
+rect 269760 250312 270000 250372
+rect 0 249428 240 249488
+rect 269760 244668 270000 244728
+rect 0 243580 240 243640
+rect 269760 239024 270000 239084
+rect 0 237732 240 237792
+rect 269760 233380 270000 233440
+rect 0 231816 240 231876
+rect 269760 227804 270000 227864
+rect 0 225968 240 226028
+rect 269760 222160 270000 222220
+rect 0 220120 240 220180
+rect 269760 216516 270000 216576
+rect 0 214204 240 214264
+rect 269760 210872 270000 210932
+rect 0 208356 240 208416
+rect 269760 205296 270000 205356
+rect 0 202508 240 202568
+rect 269760 199652 270000 199712
+rect 0 196592 240 196652
+rect 269760 194008 270000 194068
+rect 0 190744 240 190804
+rect 269760 188432 270000 188492
+rect 0 184896 240 184956
+rect 269760 182788 270000 182848
+rect 0 178980 240 179040
+rect 269760 177144 270000 177204
+rect 0 173132 240 173192
+rect 269760 171500 270000 171560
+rect 0 167284 240 167344
+rect 269760 165924 270000 165984
+rect 0 161368 240 161428
+rect 269760 160280 270000 160340
+rect 0 155520 240 155580
+rect 269760 154636 270000 154696
+rect 0 149672 240 149732
+rect 269760 148992 270000 149052
+rect 0 143756 240 143816
+rect 269760 143416 270000 143476
+rect 0 137908 240 137968
+rect 269760 137772 270000 137832
+rect 269760 132128 270000 132188
+rect 0 132060 240 132120
+rect 269760 126552 270000 126612
+rect 0 126144 240 126204
+rect 269760 120908 270000 120968
+rect 0 120296 240 120356
+rect 269760 115264 270000 115324
+rect 0 114448 240 114508
+rect 269760 109620 270000 109680
+rect 0 108532 240 108592
+rect 269760 104044 270000 104104
+rect 0 102684 240 102744
+rect 269760 98400 270000 98460
+rect 0 96836 240 96896
+rect 269760 92756 270000 92816
+rect 0 90920 240 90980
+rect 269760 87112 270000 87172
+rect 0 85072 240 85132
+rect 269760 81536 270000 81596
+rect 0 79224 240 79284
+rect 269760 75892 270000 75952
+rect 0 73308 240 73368
+rect 269760 70248 270000 70308
+rect 0 67460 240 67520
+rect 269760 64672 270000 64732
+rect 0 61612 240 61672
+rect 269760 59028 270000 59088
+rect 0 55696 240 55756
+rect 269760 53384 270000 53444
+rect 0 49848 240 49908
+rect 269760 47740 270000 47800
+rect 0 44000 240 44060
+rect 269760 42164 270000 42224
+rect 0 38084 240 38144
+rect 269760 36520 270000 36580
+rect 0 32236 240 32296
+rect 269760 30876 270000 30936
+rect 0 26388 240 26448
+rect 269760 25232 270000 25292
+rect 0 20472 240 20532
+rect 269760 19656 270000 19716
+rect 0 14624 240 14684
+rect 269760 14012 270000 14072
+rect 0 8776 240 8836
+rect 269760 8368 270000 8428
+rect 0 2928 240 2988
+rect 269760 2792 270000 2852
+<< labels >>
+rlabel metal3 s 269760 2792 270000 2852 6 io_in[0]
+port 0 nsew default input
+rlabel metal3 s 269760 182788 270000 182848 6 io_in[10]
+port 1 nsew default input
+rlabel metal3 s 269760 199652 270000 199712 6 io_in[11]
+port 2 nsew default input
+rlabel metal3 s 269760 216516 270000 216576 6 io_in[12]
+port 3 nsew default input
+rlabel metal3 s 269760 233380 270000 233440 6 io_in[13]
+port 4 nsew default input
+rlabel metal3 s 269760 255888 270000 255948 6 io_in[14]
+port 5 nsew default input
+rlabel metal2 s 265153 269760 265181 270000 6 io_in[15]
+port 6 nsew default input
+rlabel metal2 s 226559 269760 226587 270000 6 io_in[16]
+port 7 nsew default input
+rlabel metal2 s 197625 269760 197653 270000 6 io_in[17]
+port 8 nsew default input
+rlabel metal2 s 168691 269760 168719 270000 6 io_in[18]
+port 9 nsew default input
+rlabel metal2 s 139803 269760 139831 270000 6 io_in[19]
+port 10 nsew default input
+rlabel metal3 s 269760 19656 270000 19716 6 io_in[1]
+port 11 nsew default input
+rlabel metal2 s 110869 269760 110897 270000 6 io_in[20]
+port 12 nsew default input
+rlabel metal2 s 81935 269760 81963 270000 6 io_in[21]
+port 13 nsew default input
+rlabel metal2 s 53001 269760 53029 270000 6 io_in[22]
+port 14 nsew default input
+rlabel metal2 s 24067 269760 24095 270000 6 io_in[23]
+port 15 nsew default input
+rlabel metal3 s 0 267040 240 267100 6 io_in[24]
+port 16 nsew default input
+rlabel metal3 s 0 237732 240 237792 6 io_in[25]
+port 17 nsew default input
+rlabel metal3 s 0 220120 240 220180 6 io_in[26]
+port 18 nsew default input
+rlabel metal3 s 0 202508 240 202568 6 io_in[27]
+port 19 nsew default input
+rlabel metal3 s 0 184896 240 184956 6 io_in[28]
+port 20 nsew default input
+rlabel metal3 s 0 167284 240 167344 6 io_in[29]
+port 21 nsew default input
+rlabel metal3 s 269760 36520 270000 36580 6 io_in[2]
+port 22 nsew default input
+rlabel metal3 s 0 149672 240 149732 6 io_in[30]
+port 23 nsew default input
+rlabel metal3 s 0 132060 240 132120 6 io_in[31]
+port 24 nsew default input
+rlabel metal3 s 0 102684 240 102744 6 io_in[32]
+port 25 nsew default input
+rlabel metal3 s 0 85072 240 85132 6 io_in[33]
+port 26 nsew default input
+rlabel metal3 s 0 67460 240 67520 6 io_in[34]
+port 27 nsew default input
+rlabel metal3 s 0 49848 240 49908 6 io_in[35]
+port 28 nsew default input
+rlabel metal3 s 0 32236 240 32296 6 io_in[36]
+port 29 nsew default input
+rlabel metal3 s 0 14624 240 14684 6 io_in[37]
+port 30 nsew default input
+rlabel metal3 s 269760 53384 270000 53444 6 io_in[3]
+port 31 nsew default input
+rlabel metal3 s 269760 70248 270000 70308 6 io_in[4]
+port 32 nsew default input
+rlabel metal3 s 269760 87112 270000 87172 6 io_in[5]
+port 33 nsew default input
+rlabel metal3 s 269760 104044 270000 104104 6 io_in[6]
+port 34 nsew default input
+rlabel metal3 s 269760 132128 270000 132188 6 io_in[7]
+port 35 nsew default input
+rlabel metal3 s 269760 148992 270000 149052 6 io_in[8]
+port 36 nsew default input
+rlabel metal3 s 269760 165924 270000 165984 6 io_in[9]
+port 37 nsew default input
+rlabel metal3 s 269760 14012 270000 14072 6 io_oeb[0]
+port 38 nsew default tristate
+rlabel metal3 s 269760 194008 270000 194068 6 io_oeb[10]
+port 39 nsew default tristate
+rlabel metal3 s 269760 210872 270000 210932 6 io_oeb[11]
+port 40 nsew default tristate
+rlabel metal3 s 269760 227804 270000 227864 6 io_oeb[12]
+port 41 nsew default tristate
+rlabel metal3 s 269760 244668 270000 244728 6 io_oeb[13]
+port 42 nsew default tristate
+rlabel metal3 s 269760 267176 270000 267236 6 io_oeb[14]
+port 43 nsew default tristate
+rlabel metal2 s 245879 269760 245907 270000 6 io_oeb[15]
+port 44 nsew default tristate
+rlabel metal2 s 207285 269760 207313 270000 6 io_oeb[16]
+port 45 nsew default tristate
+rlabel metal2 s 178351 269760 178379 270000 6 io_oeb[17]
+port 46 nsew default tristate
+rlabel metal2 s 149417 269760 149445 270000 6 io_oeb[18]
+port 47 nsew default tristate
+rlabel metal2 s 120483 269760 120511 270000 6 io_oeb[19]
+port 48 nsew default tristate
+rlabel metal3 s 269760 30876 270000 30936 6 io_oeb[1]
+port 49 nsew default tristate
+rlabel metal2 s 91549 269760 91577 270000 6 io_oeb[20]
+port 50 nsew default tristate
+rlabel metal2 s 62615 269760 62643 270000 6 io_oeb[21]
+port 51 nsew default tristate
+rlabel metal2 s 33681 269760 33709 270000 6 io_oeb[22]
+port 52 nsew default tristate
+rlabel metal2 s 4793 269760 4821 270000 6 io_oeb[23]
+port 53 nsew default tristate
+rlabel metal3 s 0 255344 240 255404 6 io_oeb[24]
+port 54 nsew default tristate
+rlabel metal3 s 0 225968 240 226028 6 io_oeb[25]
+port 55 nsew default tristate
+rlabel metal3 s 0 208356 240 208416 6 io_oeb[26]
+port 56 nsew default tristate
+rlabel metal3 s 0 190744 240 190804 6 io_oeb[27]
+port 57 nsew default tristate
+rlabel metal3 s 0 173132 240 173192 6 io_oeb[28]
+port 58 nsew default tristate
+rlabel metal3 s 0 155520 240 155580 6 io_oeb[29]
+port 59 nsew default tristate
+rlabel metal3 s 269760 47740 270000 47800 6 io_oeb[2]
+port 60 nsew default tristate
+rlabel metal3 s 0 137908 240 137968 6 io_oeb[30]
+port 61 nsew default tristate
+rlabel metal3 s 0 120296 240 120356 6 io_oeb[31]
+port 62 nsew default tristate
+rlabel metal3 s 0 90920 240 90980 6 io_oeb[32]
+port 63 nsew default tristate
+rlabel metal3 s 0 73308 240 73368 6 io_oeb[33]
+port 64 nsew default tristate
+rlabel metal3 s 0 55696 240 55756 6 io_oeb[34]
+port 65 nsew default tristate
+rlabel metal3 s 0 38084 240 38144 6 io_oeb[35]
+port 66 nsew default tristate
+rlabel metal3 s 0 20472 240 20532 6 io_oeb[36]
+port 67 nsew default tristate
+rlabel metal3 s 0 2928 240 2988 6 io_oeb[37]
+port 68 nsew default tristate
+rlabel metal3 s 269760 64672 270000 64732 6 io_oeb[3]
+port 69 nsew default tristate
+rlabel metal3 s 269760 81536 270000 81596 6 io_oeb[4]
+port 70 nsew default tristate
+rlabel metal3 s 269760 98400 270000 98460 6 io_oeb[5]
+port 71 nsew default tristate
+rlabel metal3 s 269760 115264 270000 115324 6 io_oeb[6]
+port 72 nsew default tristate
+rlabel metal3 s 269760 143416 270000 143476 6 io_oeb[7]
+port 73 nsew default tristate
+rlabel metal3 s 269760 160280 270000 160340 6 io_oeb[8]
+port 74 nsew default tristate
+rlabel metal3 s 269760 177144 270000 177204 6 io_oeb[9]
+port 75 nsew default tristate
+rlabel metal3 s 269760 8368 270000 8428 6 io_out[0]
+port 76 nsew default tristate
+rlabel metal3 s 269760 188432 270000 188492 6 io_out[10]
+port 77 nsew default tristate
+rlabel metal3 s 269760 205296 270000 205356 6 io_out[11]
+port 78 nsew default tristate
+rlabel metal3 s 269760 222160 270000 222220 6 io_out[12]
+port 79 nsew default tristate
+rlabel metal3 s 269760 239024 270000 239084 6 io_out[13]
+port 80 nsew default tristate
+rlabel metal3 s 269760 261532 270000 261592 6 io_out[14]
+port 81 nsew default tristate
+rlabel metal2 s 255493 269760 255521 270000 6 io_out[15]
+port 82 nsew default tristate
+rlabel metal2 s 216945 269760 216973 270000 6 io_out[16]
+port 83 nsew default tristate
+rlabel metal2 s 188011 269760 188039 270000 6 io_out[17]
+port 84 nsew default tristate
+rlabel metal2 s 159077 269760 159105 270000 6 io_out[18]
+port 85 nsew default tristate
+rlabel metal2 s 130143 269760 130171 270000 6 io_out[19]
+port 86 nsew default tristate
+rlabel metal3 s 269760 25232 270000 25292 6 io_out[1]
+port 87 nsew default tristate
+rlabel metal2 s 101209 269760 101237 270000 6 io_out[20]
+port 88 nsew default tristate
+rlabel metal2 s 72275 269760 72303 270000 6 io_out[21]
+port 89 nsew default tristate
+rlabel metal2 s 43341 269760 43369 270000 6 io_out[22]
+port 90 nsew default tristate
+rlabel metal2 s 14407 269760 14435 270000 6 io_out[23]
+port 91 nsew default tristate
+rlabel metal3 s 0 261192 240 261252 6 io_out[24]
+port 92 nsew default tristate
+rlabel metal3 s 0 231816 240 231876 6 io_out[25]
+port 93 nsew default tristate
+rlabel metal3 s 0 214204 240 214264 6 io_out[26]
+port 94 nsew default tristate
+rlabel metal3 s 0 196592 240 196652 6 io_out[27]
+port 95 nsew default tristate
+rlabel metal3 s 0 178980 240 179040 6 io_out[28]
+port 96 nsew default tristate
+rlabel metal3 s 0 161368 240 161428 6 io_out[29]
+port 97 nsew default tristate
+rlabel metal3 s 269760 42164 270000 42224 6 io_out[2]
+port 98 nsew default tristate
+rlabel metal3 s 0 143756 240 143816 6 io_out[30]
+port 99 nsew default tristate
+rlabel metal3 s 0 126144 240 126204 6 io_out[31]
+port 100 nsew default tristate
+rlabel metal3 s 0 96836 240 96896 6 io_out[32]
+port 101 nsew default tristate
+rlabel metal3 s 0 79224 240 79284 6 io_out[33]
+port 102 nsew default tristate
+rlabel metal3 s 0 61612 240 61672 6 io_out[34]
+port 103 nsew default tristate
+rlabel metal3 s 0 44000 240 44060 6 io_out[35]
+port 104 nsew default tristate
+rlabel metal3 s 0 26388 240 26448 6 io_out[36]
+port 105 nsew default tristate
+rlabel metal3 s 0 8776 240 8836 6 io_out[37]
+port 106 nsew default tristate
+rlabel metal3 s 269760 59028 270000 59088 6 io_out[3]
+port 107 nsew default tristate
+rlabel metal3 s 269760 75892 270000 75952 6 io_out[4]
+port 108 nsew default tristate
+rlabel metal3 s 269760 92756 270000 92816 6 io_out[5]
+port 109 nsew default tristate
+rlabel metal3 s 269760 109620 270000 109680 6 io_out[6]
+port 110 nsew default tristate
+rlabel metal3 s 269760 137772 270000 137832 6 io_out[7]
+port 111 nsew default tristate
+rlabel metal3 s 269760 154636 270000 154696 6 io_out[8]
+port 112 nsew default tristate
+rlabel metal3 s 269760 171500 270000 171560 6 io_out[9]
+port 113 nsew default tristate
+rlabel metal2 s 58521 0 58549 240 6 la_data_in[0]
+port 114 nsew default input
+rlabel metal2 s 223477 0 223505 240 6 la_data_in[100]
+port 115 nsew default input
+rlabel metal2 s 225133 0 225161 240 6 la_data_in[101]
+port 116 nsew default input
+rlabel metal2 s 226789 0 226817 240 6 la_data_in[102]
+port 117 nsew default input
+rlabel metal2 s 228445 0 228473 240 6 la_data_in[103]
+port 118 nsew default input
+rlabel metal2 s 230101 0 230129 240 6 la_data_in[104]
+port 119 nsew default input
+rlabel metal2 s 231757 0 231785 240 6 la_data_in[105]
+port 120 nsew default input
+rlabel metal2 s 233413 0 233441 240 6 la_data_in[106]
+port 121 nsew default input
+rlabel metal2 s 235023 0 235051 240 6 la_data_in[107]
+port 122 nsew default input
+rlabel metal2 s 236679 0 236707 240 6 la_data_in[108]
+port 123 nsew default input
+rlabel metal2 s 238335 0 238363 240 6 la_data_in[109]
+port 124 nsew default input
+rlabel metal2 s 74989 0 75017 240 6 la_data_in[10]
+port 125 nsew default input
+rlabel metal2 s 239991 0 240019 240 6 la_data_in[110]
+port 126 nsew default input
+rlabel metal2 s 241647 0 241675 240 6 la_data_in[111]
+port 127 nsew default input
+rlabel metal2 s 243303 0 243331 240 6 la_data_in[112]
+port 128 nsew default input
+rlabel metal2 s 244959 0 244987 240 6 la_data_in[113]
+port 129 nsew default input
+rlabel metal2 s 246569 0 246597 240 6 la_data_in[114]
+port 130 nsew default input
+rlabel metal2 s 248225 0 248253 240 6 la_data_in[115]
+port 131 nsew default input
+rlabel metal2 s 249881 0 249909 240 6 la_data_in[116]
+port 132 nsew default input
+rlabel metal2 s 251537 0 251565 240 6 la_data_in[117]
+port 133 nsew default input
+rlabel metal2 s 253193 0 253221 240 6 la_data_in[118]
+port 134 nsew default input
+rlabel metal2 s 254849 0 254877 240 6 la_data_in[119]
+port 135 nsew default input
+rlabel metal2 s 76645 0 76673 240 6 la_data_in[11]
+port 136 nsew default input
+rlabel metal2 s 256505 0 256533 240 6 la_data_in[120]
+port 137 nsew default input
+rlabel metal2 s 258115 0 258143 240 6 la_data_in[121]
+port 138 nsew default input
+rlabel metal2 s 259771 0 259799 240 6 la_data_in[122]
+port 139 nsew default input
+rlabel metal2 s 261427 0 261455 240 6 la_data_in[123]
+port 140 nsew default input
+rlabel metal2 s 263083 0 263111 240 6 la_data_in[124]
+port 141 nsew default input
+rlabel metal2 s 264739 0 264767 240 6 la_data_in[125]
+port 142 nsew default input
+rlabel metal2 s 266395 0 266423 240 6 la_data_in[126]
+port 143 nsew default input
+rlabel metal2 s 268051 0 268079 240 6 la_data_in[127]
+port 144 nsew default input
+rlabel metal2 s 78301 0 78329 240 6 la_data_in[12]
+port 145 nsew default input
+rlabel metal2 s 79957 0 79985 240 6 la_data_in[13]
+port 146 nsew default input
+rlabel metal2 s 81613 0 81641 240 6 la_data_in[14]
+port 147 nsew default input
+rlabel metal2 s 83269 0 83297 240 6 la_data_in[15]
+port 148 nsew default input
+rlabel metal2 s 84925 0 84953 240 6 la_data_in[16]
+port 149 nsew default input
+rlabel metal2 s 86535 0 86563 240 6 la_data_in[17]
+port 150 nsew default input
+rlabel metal2 s 88191 0 88219 240 6 la_data_in[18]
+port 151 nsew default input
+rlabel metal2 s 89847 0 89875 240 6 la_data_in[19]
+port 152 nsew default input
+rlabel metal2 s 60177 0 60205 240 6 la_data_in[1]
+port 153 nsew default input
+rlabel metal2 s 91503 0 91531 240 6 la_data_in[20]
+port 154 nsew default input
+rlabel metal2 s 93159 0 93187 240 6 la_data_in[21]
+port 155 nsew default input
+rlabel metal2 s 94815 0 94843 240 6 la_data_in[22]
+port 156 nsew default input
+rlabel metal2 s 96471 0 96499 240 6 la_data_in[23]
+port 157 nsew default input
+rlabel metal2 s 98127 0 98155 240 6 la_data_in[24]
+port 158 nsew default input
+rlabel metal2 s 99737 0 99765 240 6 la_data_in[25]
+port 159 nsew default input
+rlabel metal2 s 101393 0 101421 240 6 la_data_in[26]
+port 160 nsew default input
+rlabel metal2 s 103049 0 103077 240 6 la_data_in[27]
+port 161 nsew default input
+rlabel metal2 s 104705 0 104733 240 6 la_data_in[28]
+port 162 nsew default input
+rlabel metal2 s 106361 0 106389 240 6 la_data_in[29]
+port 163 nsew default input
+rlabel metal2 s 61787 0 61815 240 6 la_data_in[2]
+port 164 nsew default input
+rlabel metal2 s 108017 0 108045 240 6 la_data_in[30]
+port 165 nsew default input
+rlabel metal2 s 109673 0 109701 240 6 la_data_in[31]
+port 166 nsew default input
+rlabel metal2 s 111283 0 111311 240 6 la_data_in[32]
+port 167 nsew default input
+rlabel metal2 s 112939 0 112967 240 6 la_data_in[33]
+port 168 nsew default input
+rlabel metal2 s 114595 0 114623 240 6 la_data_in[34]
+port 169 nsew default input
+rlabel metal2 s 116251 0 116279 240 6 la_data_in[35]
+port 170 nsew default input
+rlabel metal2 s 117907 0 117935 240 6 la_data_in[36]
+port 171 nsew default input
+rlabel metal2 s 119563 0 119591 240 6 la_data_in[37]
+port 172 nsew default input
+rlabel metal2 s 121219 0 121247 240 6 la_data_in[38]
+port 173 nsew default input
+rlabel metal2 s 122875 0 122903 240 6 la_data_in[39]
+port 174 nsew default input
+rlabel metal2 s 63443 0 63471 240 6 la_data_in[3]
+port 175 nsew default input
+rlabel metal2 s 124485 0 124513 240 6 la_data_in[40]
+port 176 nsew default input
+rlabel metal2 s 126141 0 126169 240 6 la_data_in[41]
+port 177 nsew default input
+rlabel metal2 s 127797 0 127825 240 6 la_data_in[42]
+port 178 nsew default input
+rlabel metal2 s 129453 0 129481 240 6 la_data_in[43]
+port 179 nsew default input
+rlabel metal2 s 131109 0 131137 240 6 la_data_in[44]
+port 180 nsew default input
+rlabel metal2 s 132765 0 132793 240 6 la_data_in[45]
+port 181 nsew default input
+rlabel metal2 s 134421 0 134449 240 6 la_data_in[46]
+port 182 nsew default input
+rlabel metal2 s 136031 0 136059 240 6 la_data_in[47]
+port 183 nsew default input
+rlabel metal2 s 137687 0 137715 240 6 la_data_in[48]
+port 184 nsew default input
+rlabel metal2 s 139343 0 139371 240 6 la_data_in[49]
+port 185 nsew default input
+rlabel metal2 s 65099 0 65127 240 6 la_data_in[4]
+port 186 nsew default input
+rlabel metal2 s 140999 0 141027 240 6 la_data_in[50]
+port 187 nsew default input
+rlabel metal2 s 142655 0 142683 240 6 la_data_in[51]
+port 188 nsew default input
+rlabel metal2 s 144311 0 144339 240 6 la_data_in[52]
+port 189 nsew default input
+rlabel metal2 s 145967 0 145995 240 6 la_data_in[53]
+port 190 nsew default input
+rlabel metal2 s 147577 0 147605 240 6 la_data_in[54]
+port 191 nsew default input
+rlabel metal2 s 149233 0 149261 240 6 la_data_in[55]
+port 192 nsew default input
+rlabel metal2 s 150889 0 150917 240 6 la_data_in[56]
+port 193 nsew default input
+rlabel metal2 s 152545 0 152573 240 6 la_data_in[57]
+port 194 nsew default input
+rlabel metal2 s 154201 0 154229 240 6 la_data_in[58]
+port 195 nsew default input
+rlabel metal2 s 155857 0 155885 240 6 la_data_in[59]
+port 196 nsew default input
+rlabel metal2 s 66755 0 66783 240 6 la_data_in[5]
+port 197 nsew default input
+rlabel metal2 s 157513 0 157541 240 6 la_data_in[60]
+port 198 nsew default input
+rlabel metal2 s 159169 0 159197 240 6 la_data_in[61]
+port 199 nsew default input
+rlabel metal2 s 160779 0 160807 240 6 la_data_in[62]
+port 200 nsew default input
+rlabel metal2 s 162435 0 162463 240 6 la_data_in[63]
+port 201 nsew default input
+rlabel metal2 s 164091 0 164119 240 6 la_data_in[64]
+port 202 nsew default input
+rlabel metal2 s 165747 0 165775 240 6 la_data_in[65]
+port 203 nsew default input
+rlabel metal2 s 167403 0 167431 240 6 la_data_in[66]
+port 204 nsew default input
+rlabel metal2 s 169059 0 169087 240 6 la_data_in[67]
+port 205 nsew default input
+rlabel metal2 s 170715 0 170743 240 6 la_data_in[68]
+port 206 nsew default input
+rlabel metal2 s 172325 0 172353 240 6 la_data_in[69]
+port 207 nsew default input
+rlabel metal2 s 68411 0 68439 240 6 la_data_in[6]
+port 208 nsew default input
+rlabel metal2 s 173981 0 174009 240 6 la_data_in[70]
+port 209 nsew default input
+rlabel metal2 s 175637 0 175665 240 6 la_data_in[71]
+port 210 nsew default input
+rlabel metal2 s 177293 0 177321 240 6 la_data_in[72]
+port 211 nsew default input
+rlabel metal2 s 178949 0 178977 240 6 la_data_in[73]
+port 212 nsew default input
+rlabel metal2 s 180605 0 180633 240 6 la_data_in[74]
+port 213 nsew default input
+rlabel metal2 s 182261 0 182289 240 6 la_data_in[75]
+port 214 nsew default input
+rlabel metal2 s 183917 0 183945 240 6 la_data_in[76]
+port 215 nsew default input
+rlabel metal2 s 185527 0 185555 240 6 la_data_in[77]
+port 216 nsew default input
+rlabel metal2 s 187183 0 187211 240 6 la_data_in[78]
+port 217 nsew default input
+rlabel metal2 s 188839 0 188867 240 6 la_data_in[79]
+port 218 nsew default input
+rlabel metal2 s 70067 0 70095 240 6 la_data_in[7]
+port 219 nsew default input
+rlabel metal2 s 190495 0 190523 240 6 la_data_in[80]
+port 220 nsew default input
+rlabel metal2 s 192151 0 192179 240 6 la_data_in[81]
+port 221 nsew default input
+rlabel metal2 s 193807 0 193835 240 6 la_data_in[82]
+port 222 nsew default input
+rlabel metal2 s 195463 0 195491 240 6 la_data_in[83]
+port 223 nsew default input
+rlabel metal2 s 197073 0 197101 240 6 la_data_in[84]
+port 224 nsew default input
+rlabel metal2 s 198729 0 198757 240 6 la_data_in[85]
+port 225 nsew default input
+rlabel metal2 s 200385 0 200413 240 6 la_data_in[86]
+port 226 nsew default input
+rlabel metal2 s 202041 0 202069 240 6 la_data_in[87]
+port 227 nsew default input
+rlabel metal2 s 203697 0 203725 240 6 la_data_in[88]
+port 228 nsew default input
+rlabel metal2 s 205353 0 205381 240 6 la_data_in[89]
+port 229 nsew default input
+rlabel metal2 s 71723 0 71751 240 6 la_data_in[8]
+port 230 nsew default input
+rlabel metal2 s 207009 0 207037 240 6 la_data_in[90]
+port 231 nsew default input
+rlabel metal2 s 208665 0 208693 240 6 la_data_in[91]
+port 232 nsew default input
+rlabel metal2 s 210275 0 210303 240 6 la_data_in[92]
+port 233 nsew default input
+rlabel metal2 s 211931 0 211959 240 6 la_data_in[93]
+port 234 nsew default input
+rlabel metal2 s 213587 0 213615 240 6 la_data_in[94]
+port 235 nsew default input
+rlabel metal2 s 215243 0 215271 240 6 la_data_in[95]
+port 236 nsew default input
+rlabel metal2 s 216899 0 216927 240 6 la_data_in[96]
+port 237 nsew default input
+rlabel metal2 s 218555 0 218583 240 6 la_data_in[97]
+port 238 nsew default input
+rlabel metal2 s 220211 0 220239 240 6 la_data_in[98]
+port 239 nsew default input
+rlabel metal2 s 221821 0 221849 240 6 la_data_in[99]
+port 240 nsew default input
+rlabel metal2 s 73379 0 73407 240 6 la_data_in[9]
+port 241 nsew default input
+rlabel metal2 s 59073 0 59101 240 6 la_data_out[0]
+port 242 nsew default tristate
+rlabel metal2 s 224029 0 224057 240 6 la_data_out[100]
+port 243 nsew default tristate
+rlabel metal2 s 225685 0 225713 240 6 la_data_out[101]
+port 244 nsew default tristate
+rlabel metal2 s 227341 0 227369 240 6 la_data_out[102]
+port 245 nsew default tristate
+rlabel metal2 s 228997 0 229025 240 6 la_data_out[103]
+port 246 nsew default tristate
+rlabel metal2 s 230653 0 230681 240 6 la_data_out[104]
+port 247 nsew default tristate
+rlabel metal2 s 232309 0 232337 240 6 la_data_out[105]
+port 248 nsew default tristate
+rlabel metal2 s 233919 0 233947 240 6 la_data_out[106]
+port 249 nsew default tristate
+rlabel metal2 s 235575 0 235603 240 6 la_data_out[107]
+port 250 nsew default tristate
+rlabel metal2 s 237231 0 237259 240 6 la_data_out[108]
+port 251 nsew default tristate
+rlabel metal2 s 238887 0 238915 240 6 la_data_out[109]
+port 252 nsew default tristate
+rlabel metal2 s 75541 0 75569 240 6 la_data_out[10]
+port 253 nsew default tristate
+rlabel metal2 s 240543 0 240571 240 6 la_data_out[110]
+port 254 nsew default tristate
+rlabel metal2 s 242199 0 242227 240 6 la_data_out[111]
+port 255 nsew default tristate
+rlabel metal2 s 243855 0 243883 240 6 la_data_out[112]
+port 256 nsew default tristate
+rlabel metal2 s 245511 0 245539 240 6 la_data_out[113]
+port 257 nsew default tristate
+rlabel metal2 s 247121 0 247149 240 6 la_data_out[114]
+port 258 nsew default tristate
+rlabel metal2 s 248777 0 248805 240 6 la_data_out[115]
+port 259 nsew default tristate
+rlabel metal2 s 250433 0 250461 240 6 la_data_out[116]
+port 260 nsew default tristate
+rlabel metal2 s 252089 0 252117 240 6 la_data_out[117]
+port 261 nsew default tristate
+rlabel metal2 s 253745 0 253773 240 6 la_data_out[118]
+port 262 nsew default tristate
+rlabel metal2 s 255401 0 255429 240 6 la_data_out[119]
+port 263 nsew default tristate
+rlabel metal2 s 77197 0 77225 240 6 la_data_out[11]
+port 264 nsew default tristate
+rlabel metal2 s 257057 0 257085 240 6 la_data_out[120]
+port 265 nsew default tristate
+rlabel metal2 s 258667 0 258695 240 6 la_data_out[121]
+port 266 nsew default tristate
+rlabel metal2 s 260323 0 260351 240 6 la_data_out[122]
+port 267 nsew default tristate
+rlabel metal2 s 261979 0 262007 240 6 la_data_out[123]
+port 268 nsew default tristate
+rlabel metal2 s 263635 0 263663 240 6 la_data_out[124]
+port 269 nsew default tristate
+rlabel metal2 s 265291 0 265319 240 6 la_data_out[125]
+port 270 nsew default tristate
+rlabel metal2 s 266947 0 266975 240 6 la_data_out[126]
+port 271 nsew default tristate
+rlabel metal2 s 268603 0 268631 240 6 la_data_out[127]
+port 272 nsew default tristate
+rlabel metal2 s 78853 0 78881 240 6 la_data_out[12]
+port 273 nsew default tristate
+rlabel metal2 s 80509 0 80537 240 6 la_data_out[13]
+port 274 nsew default tristate
+rlabel metal2 s 82165 0 82193 240 6 la_data_out[14]
+port 275 nsew default tristate
+rlabel metal2 s 83821 0 83849 240 6 la_data_out[15]
+port 276 nsew default tristate
+rlabel metal2 s 85477 0 85505 240 6 la_data_out[16]
+port 277 nsew default tristate
+rlabel metal2 s 87087 0 87115 240 6 la_data_out[17]
+port 278 nsew default tristate
+rlabel metal2 s 88743 0 88771 240 6 la_data_out[18]
+port 279 nsew default tristate
+rlabel metal2 s 90399 0 90427 240 6 la_data_out[19]
+port 280 nsew default tristate
+rlabel metal2 s 60729 0 60757 240 6 la_data_out[1]
+port 281 nsew default tristate
+rlabel metal2 s 92055 0 92083 240 6 la_data_out[20]
+port 282 nsew default tristate
+rlabel metal2 s 93711 0 93739 240 6 la_data_out[21]
+port 283 nsew default tristate
+rlabel metal2 s 95367 0 95395 240 6 la_data_out[22]
+port 284 nsew default tristate
+rlabel metal2 s 97023 0 97051 240 6 la_data_out[23]
+port 285 nsew default tristate
+rlabel metal2 s 98633 0 98661 240 6 la_data_out[24]
+port 286 nsew default tristate
+rlabel metal2 s 100289 0 100317 240 6 la_data_out[25]
+port 287 nsew default tristate
+rlabel metal2 s 101945 0 101973 240 6 la_data_out[26]
+port 288 nsew default tristate
+rlabel metal2 s 103601 0 103629 240 6 la_data_out[27]
+port 289 nsew default tristate
+rlabel metal2 s 105257 0 105285 240 6 la_data_out[28]
+port 290 nsew default tristate
+rlabel metal2 s 106913 0 106941 240 6 la_data_out[29]
+port 291 nsew default tristate
+rlabel metal2 s 62339 0 62367 240 6 la_data_out[2]
+port 292 nsew default tristate
+rlabel metal2 s 108569 0 108597 240 6 la_data_out[30]
+port 293 nsew default tristate
+rlabel metal2 s 110225 0 110253 240 6 la_data_out[31]
+port 294 nsew default tristate
+rlabel metal2 s 111835 0 111863 240 6 la_data_out[32]
+port 295 nsew default tristate
+rlabel metal2 s 113491 0 113519 240 6 la_data_out[33]
+port 296 nsew default tristate
+rlabel metal2 s 115147 0 115175 240 6 la_data_out[34]
+port 297 nsew default tristate
+rlabel metal2 s 116803 0 116831 240 6 la_data_out[35]
+port 298 nsew default tristate
+rlabel metal2 s 118459 0 118487 240 6 la_data_out[36]
+port 299 nsew default tristate
+rlabel metal2 s 120115 0 120143 240 6 la_data_out[37]
+port 300 nsew default tristate
+rlabel metal2 s 121771 0 121799 240 6 la_data_out[38]
+port 301 nsew default tristate
+rlabel metal2 s 123381 0 123409 240 6 la_data_out[39]
+port 302 nsew default tristate
+rlabel metal2 s 63995 0 64023 240 6 la_data_out[3]
+port 303 nsew default tristate
+rlabel metal2 s 125037 0 125065 240 6 la_data_out[40]
+port 304 nsew default tristate
+rlabel metal2 s 126693 0 126721 240 6 la_data_out[41]
+port 305 nsew default tristate
+rlabel metal2 s 128349 0 128377 240 6 la_data_out[42]
+port 306 nsew default tristate
+rlabel metal2 s 130005 0 130033 240 6 la_data_out[43]
+port 307 nsew default tristate
+rlabel metal2 s 131661 0 131689 240 6 la_data_out[44]
+port 308 nsew default tristate
+rlabel metal2 s 133317 0 133345 240 6 la_data_out[45]
+port 309 nsew default tristate
+rlabel metal2 s 134973 0 135001 240 6 la_data_out[46]
+port 310 nsew default tristate
+rlabel metal2 s 136583 0 136611 240 6 la_data_out[47]
+port 311 nsew default tristate
+rlabel metal2 s 138239 0 138267 240 6 la_data_out[48]
+port 312 nsew default tristate
+rlabel metal2 s 139895 0 139923 240 6 la_data_out[49]
+port 313 nsew default tristate
+rlabel metal2 s 65651 0 65679 240 6 la_data_out[4]
+port 314 nsew default tristate
+rlabel metal2 s 141551 0 141579 240 6 la_data_out[50]
+port 315 nsew default tristate
+rlabel metal2 s 143207 0 143235 240 6 la_data_out[51]
+port 316 nsew default tristate
+rlabel metal2 s 144863 0 144891 240 6 la_data_out[52]
+port 317 nsew default tristate
+rlabel metal2 s 146519 0 146547 240 6 la_data_out[53]
+port 318 nsew default tristate
+rlabel metal2 s 148129 0 148157 240 6 la_data_out[54]
+port 319 nsew default tristate
+rlabel metal2 s 149785 0 149813 240 6 la_data_out[55]
+port 320 nsew default tristate
+rlabel metal2 s 151441 0 151469 240 6 la_data_out[56]
+port 321 nsew default tristate
+rlabel metal2 s 153097 0 153125 240 6 la_data_out[57]
+port 322 nsew default tristate
+rlabel metal2 s 154753 0 154781 240 6 la_data_out[58]
+port 323 nsew default tristate
+rlabel metal2 s 156409 0 156437 240 6 la_data_out[59]
+port 324 nsew default tristate
+rlabel metal2 s 67307 0 67335 240 6 la_data_out[5]
+port 325 nsew default tristate
+rlabel metal2 s 158065 0 158093 240 6 la_data_out[60]
+port 326 nsew default tristate
+rlabel metal2 s 159721 0 159749 240 6 la_data_out[61]
+port 327 nsew default tristate
+rlabel metal2 s 161331 0 161359 240 6 la_data_out[62]
+port 328 nsew default tristate
+rlabel metal2 s 162987 0 163015 240 6 la_data_out[63]
+port 329 nsew default tristate
+rlabel metal2 s 164643 0 164671 240 6 la_data_out[64]
+port 330 nsew default tristate
+rlabel metal2 s 166299 0 166327 240 6 la_data_out[65]
+port 331 nsew default tristate
+rlabel metal2 s 167955 0 167983 240 6 la_data_out[66]
+port 332 nsew default tristate
+rlabel metal2 s 169611 0 169639 240 6 la_data_out[67]
+port 333 nsew default tristate
+rlabel metal2 s 171267 0 171295 240 6 la_data_out[68]
+port 334 nsew default tristate
+rlabel metal2 s 172877 0 172905 240 6 la_data_out[69]
+port 335 nsew default tristate
+rlabel metal2 s 68963 0 68991 240 6 la_data_out[6]
+port 336 nsew default tristate
+rlabel metal2 s 174533 0 174561 240 6 la_data_out[70]
+port 337 nsew default tristate
+rlabel metal2 s 176189 0 176217 240 6 la_data_out[71]
+port 338 nsew default tristate
+rlabel metal2 s 177845 0 177873 240 6 la_data_out[72]
+port 339 nsew default tristate
+rlabel metal2 s 179501 0 179529 240 6 la_data_out[73]
+port 340 nsew default tristate
+rlabel metal2 s 181157 0 181185 240 6 la_data_out[74]
+port 341 nsew default tristate
+rlabel metal2 s 182813 0 182841 240 6 la_data_out[75]
+port 342 nsew default tristate
+rlabel metal2 s 184423 0 184451 240 6 la_data_out[76]
+port 343 nsew default tristate
+rlabel metal2 s 186079 0 186107 240 6 la_data_out[77]
+port 344 nsew default tristate
+rlabel metal2 s 187735 0 187763 240 6 la_data_out[78]
+port 345 nsew default tristate
+rlabel metal2 s 189391 0 189419 240 6 la_data_out[79]
+port 346 nsew default tristate
+rlabel metal2 s 70619 0 70647 240 6 la_data_out[7]
+port 347 nsew default tristate
+rlabel metal2 s 191047 0 191075 240 6 la_data_out[80]
+port 348 nsew default tristate
+rlabel metal2 s 192703 0 192731 240 6 la_data_out[81]
+port 349 nsew default tristate
+rlabel metal2 s 194359 0 194387 240 6 la_data_out[82]
+port 350 nsew default tristate
+rlabel metal2 s 196015 0 196043 240 6 la_data_out[83]
+port 351 nsew default tristate
+rlabel metal2 s 197625 0 197653 240 6 la_data_out[84]
+port 352 nsew default tristate
+rlabel metal2 s 199281 0 199309 240 6 la_data_out[85]
+port 353 nsew default tristate
+rlabel metal2 s 200937 0 200965 240 6 la_data_out[86]
+port 354 nsew default tristate
+rlabel metal2 s 202593 0 202621 240 6 la_data_out[87]
+port 355 nsew default tristate
+rlabel metal2 s 204249 0 204277 240 6 la_data_out[88]
+port 356 nsew default tristate
+rlabel metal2 s 205905 0 205933 240 6 la_data_out[89]
+port 357 nsew default tristate
+rlabel metal2 s 72275 0 72303 240 6 la_data_out[8]
+port 358 nsew default tristate
+rlabel metal2 s 207561 0 207589 240 6 la_data_out[90]
+port 359 nsew default tristate
+rlabel metal2 s 209171 0 209199 240 6 la_data_out[91]
+port 360 nsew default tristate
+rlabel metal2 s 210827 0 210855 240 6 la_data_out[92]
+port 361 nsew default tristate
+rlabel metal2 s 212483 0 212511 240 6 la_data_out[93]
+port 362 nsew default tristate
+rlabel metal2 s 214139 0 214167 240 6 la_data_out[94]
+port 363 nsew default tristate
+rlabel metal2 s 215795 0 215823 240 6 la_data_out[95]
+port 364 nsew default tristate
+rlabel metal2 s 217451 0 217479 240 6 la_data_out[96]
+port 365 nsew default tristate
+rlabel metal2 s 219107 0 219135 240 6 la_data_out[97]
+port 366 nsew default tristate
+rlabel metal2 s 220763 0 220791 240 6 la_data_out[98]
+port 367 nsew default tristate
+rlabel metal2 s 222373 0 222401 240 6 la_data_out[99]
+port 368 nsew default tristate
+rlabel metal2 s 73885 0 73913 240 6 la_data_out[9]
+port 369 nsew default tristate
+rlabel metal2 s 59625 0 59653 240 6 la_oen[0]
+port 370 nsew default input
+rlabel metal2 s 224581 0 224609 240 6 la_oen[100]
+port 371 nsew default input
+rlabel metal2 s 226237 0 226265 240 6 la_oen[101]
+port 372 nsew default input
+rlabel metal2 s 227893 0 227921 240 6 la_oen[102]
+port 373 nsew default input
+rlabel metal2 s 229549 0 229577 240 6 la_oen[103]
+port 374 nsew default input
+rlabel metal2 s 231205 0 231233 240 6 la_oen[104]
+port 375 nsew default input
+rlabel metal2 s 232861 0 232889 240 6 la_oen[105]
+port 376 nsew default input
+rlabel metal2 s 234471 0 234499 240 6 la_oen[106]
+port 377 nsew default input
+rlabel metal2 s 236127 0 236155 240 6 la_oen[107]
+port 378 nsew default input
+rlabel metal2 s 237783 0 237811 240 6 la_oen[108]
+port 379 nsew default input
+rlabel metal2 s 239439 0 239467 240 6 la_oen[109]
+port 380 nsew default input
+rlabel metal2 s 76093 0 76121 240 6 la_oen[10]
+port 381 nsew default input
+rlabel metal2 s 241095 0 241123 240 6 la_oen[110]
+port 382 nsew default input
+rlabel metal2 s 242751 0 242779 240 6 la_oen[111]
+port 383 nsew default input
+rlabel metal2 s 244407 0 244435 240 6 la_oen[112]
+port 384 nsew default input
+rlabel metal2 s 246017 0 246045 240 6 la_oen[113]
+port 385 nsew default input
+rlabel metal2 s 247673 0 247701 240 6 la_oen[114]
+port 386 nsew default input
+rlabel metal2 s 249329 0 249357 240 6 la_oen[115]
+port 387 nsew default input
+rlabel metal2 s 250985 0 251013 240 6 la_oen[116]
+port 388 nsew default input
+rlabel metal2 s 252641 0 252669 240 6 la_oen[117]
+port 389 nsew default input
+rlabel metal2 s 254297 0 254325 240 6 la_oen[118]
+port 390 nsew default input
+rlabel metal2 s 255953 0 255981 240 6 la_oen[119]
+port 391 nsew default input
+rlabel metal2 s 77749 0 77777 240 6 la_oen[11]
+port 392 nsew default input
+rlabel metal2 s 257609 0 257637 240 6 la_oen[120]
+port 393 nsew default input
+rlabel metal2 s 259219 0 259247 240 6 la_oen[121]
+port 394 nsew default input
+rlabel metal2 s 260875 0 260903 240 6 la_oen[122]
+port 395 nsew default input
+rlabel metal2 s 262531 0 262559 240 6 la_oen[123]
+port 396 nsew default input
+rlabel metal2 s 264187 0 264215 240 6 la_oen[124]
+port 397 nsew default input
+rlabel metal2 s 265843 0 265871 240 6 la_oen[125]
+port 398 nsew default input
+rlabel metal2 s 267499 0 267527 240 6 la_oen[126]
+port 399 nsew default input
+rlabel metal2 s 269155 0 269183 240 6 la_oen[127]
+port 400 nsew default input
+rlabel metal2 s 79405 0 79433 240 6 la_oen[12]
+port 401 nsew default input
+rlabel metal2 s 81061 0 81089 240 6 la_oen[13]
+port 402 nsew default input
+rlabel metal2 s 82717 0 82745 240 6 la_oen[14]
+port 403 nsew default input
+rlabel metal2 s 84373 0 84401 240 6 la_oen[15]
+port 404 nsew default input
+rlabel metal2 s 86029 0 86057 240 6 la_oen[16]
+port 405 nsew default input
+rlabel metal2 s 87639 0 87667 240 6 la_oen[17]
+port 406 nsew default input
+rlabel metal2 s 89295 0 89323 240 6 la_oen[18]
+port 407 nsew default input
+rlabel metal2 s 90951 0 90979 240 6 la_oen[19]
+port 408 nsew default input
+rlabel metal2 s 61281 0 61309 240 6 la_oen[1]
+port 409 nsew default input
+rlabel metal2 s 92607 0 92635 240 6 la_oen[20]
+port 410 nsew default input
+rlabel metal2 s 94263 0 94291 240 6 la_oen[21]
+port 411 nsew default input
+rlabel metal2 s 95919 0 95947 240 6 la_oen[22]
+port 412 nsew default input
+rlabel metal2 s 97575 0 97603 240 6 la_oen[23]
+port 413 nsew default input
+rlabel metal2 s 99185 0 99213 240 6 la_oen[24]
+port 414 nsew default input
+rlabel metal2 s 100841 0 100869 240 6 la_oen[25]
+port 415 nsew default input
+rlabel metal2 s 102497 0 102525 240 6 la_oen[26]
+port 416 nsew default input
+rlabel metal2 s 104153 0 104181 240 6 la_oen[27]
+port 417 nsew default input
+rlabel metal2 s 105809 0 105837 240 6 la_oen[28]
+port 418 nsew default input
+rlabel metal2 s 107465 0 107493 240 6 la_oen[29]
+port 419 nsew default input
+rlabel metal2 s 62891 0 62919 240 6 la_oen[2]
+port 420 nsew default input
+rlabel metal2 s 109121 0 109149 240 6 la_oen[30]
+port 421 nsew default input
+rlabel metal2 s 110731 0 110759 240 6 la_oen[31]
+port 422 nsew default input
+rlabel metal2 s 112387 0 112415 240 6 la_oen[32]
+port 423 nsew default input
+rlabel metal2 s 114043 0 114071 240 6 la_oen[33]
+port 424 nsew default input
+rlabel metal2 s 115699 0 115727 240 6 la_oen[34]
+port 425 nsew default input
+rlabel metal2 s 117355 0 117383 240 6 la_oen[35]
+port 426 nsew default input
+rlabel metal2 s 119011 0 119039 240 6 la_oen[36]
+port 427 nsew default input
+rlabel metal2 s 120667 0 120695 240 6 la_oen[37]
+port 428 nsew default input
+rlabel metal2 s 122323 0 122351 240 6 la_oen[38]
+port 429 nsew default input
+rlabel metal2 s 123933 0 123961 240 6 la_oen[39]
+port 430 nsew default input
+rlabel metal2 s 64547 0 64575 240 6 la_oen[3]
+port 431 nsew default input
+rlabel metal2 s 125589 0 125617 240 6 la_oen[40]
+port 432 nsew default input
+rlabel metal2 s 127245 0 127273 240 6 la_oen[41]
+port 433 nsew default input
+rlabel metal2 s 128901 0 128929 240 6 la_oen[42]
+port 434 nsew default input
+rlabel metal2 s 130557 0 130585 240 6 la_oen[43]
+port 435 nsew default input
+rlabel metal2 s 132213 0 132241 240 6 la_oen[44]
+port 436 nsew default input
+rlabel metal2 s 133869 0 133897 240 6 la_oen[45]
+port 437 nsew default input
+rlabel metal2 s 135479 0 135507 240 6 la_oen[46]
+port 438 nsew default input
+rlabel metal2 s 137135 0 137163 240 6 la_oen[47]
+port 439 nsew default input
+rlabel metal2 s 138791 0 138819 240 6 la_oen[48]
+port 440 nsew default input
+rlabel metal2 s 140447 0 140475 240 6 la_oen[49]
+port 441 nsew default input
+rlabel metal2 s 66203 0 66231 240 6 la_oen[4]
+port 442 nsew default input
+rlabel metal2 s 142103 0 142131 240 6 la_oen[50]
+port 443 nsew default input
+rlabel metal2 s 143759 0 143787 240 6 la_oen[51]
+port 444 nsew default input
+rlabel metal2 s 145415 0 145443 240 6 la_oen[52]
+port 445 nsew default input
+rlabel metal2 s 147071 0 147099 240 6 la_oen[53]
+port 446 nsew default input
+rlabel metal2 s 148681 0 148709 240 6 la_oen[54]
+port 447 nsew default input
+rlabel metal2 s 150337 0 150365 240 6 la_oen[55]
+port 448 nsew default input
+rlabel metal2 s 151993 0 152021 240 6 la_oen[56]
+port 449 nsew default input
+rlabel metal2 s 153649 0 153677 240 6 la_oen[57]
+port 450 nsew default input
+rlabel metal2 s 155305 0 155333 240 6 la_oen[58]
+port 451 nsew default input
+rlabel metal2 s 156961 0 156989 240 6 la_oen[59]
+port 452 nsew default input
+rlabel metal2 s 67859 0 67887 240 6 la_oen[5]
+port 453 nsew default input
+rlabel metal2 s 158617 0 158645 240 6 la_oen[60]
+port 454 nsew default input
+rlabel metal2 s 160227 0 160255 240 6 la_oen[61]
+port 455 nsew default input
+rlabel metal2 s 161883 0 161911 240 6 la_oen[62]
+port 456 nsew default input
+rlabel metal2 s 163539 0 163567 240 6 la_oen[63]
+port 457 nsew default input
+rlabel metal2 s 165195 0 165223 240 6 la_oen[64]
+port 458 nsew default input
+rlabel metal2 s 166851 0 166879 240 6 la_oen[65]
+port 459 nsew default input
+rlabel metal2 s 168507 0 168535 240 6 la_oen[66]
+port 460 nsew default input
+rlabel metal2 s 170163 0 170191 240 6 la_oen[67]
+port 461 nsew default input
+rlabel metal2 s 171819 0 171847 240 6 la_oen[68]
+port 462 nsew default input
+rlabel metal2 s 173429 0 173457 240 6 la_oen[69]
+port 463 nsew default input
+rlabel metal2 s 69515 0 69543 240 6 la_oen[6]
+port 464 nsew default input
+rlabel metal2 s 175085 0 175113 240 6 la_oen[70]
+port 465 nsew default input
+rlabel metal2 s 176741 0 176769 240 6 la_oen[71]
+port 466 nsew default input
+rlabel metal2 s 178397 0 178425 240 6 la_oen[72]
+port 467 nsew default input
+rlabel metal2 s 180053 0 180081 240 6 la_oen[73]
+port 468 nsew default input
+rlabel metal2 s 181709 0 181737 240 6 la_oen[74]
+port 469 nsew default input
+rlabel metal2 s 183365 0 183393 240 6 la_oen[75]
+port 470 nsew default input
+rlabel metal2 s 184975 0 185003 240 6 la_oen[76]
+port 471 nsew default input
+rlabel metal2 s 186631 0 186659 240 6 la_oen[77]
+port 472 nsew default input
+rlabel metal2 s 188287 0 188315 240 6 la_oen[78]
+port 473 nsew default input
+rlabel metal2 s 189943 0 189971 240 6 la_oen[79]
+port 474 nsew default input
+rlabel metal2 s 71171 0 71199 240 6 la_oen[7]
+port 475 nsew default input
+rlabel metal2 s 191599 0 191627 240 6 la_oen[80]
+port 476 nsew default input
+rlabel metal2 s 193255 0 193283 240 6 la_oen[81]
+port 477 nsew default input
+rlabel metal2 s 194911 0 194939 240 6 la_oen[82]
+port 478 nsew default input
+rlabel metal2 s 196567 0 196595 240 6 la_oen[83]
+port 479 nsew default input
+rlabel metal2 s 198177 0 198205 240 6 la_oen[84]
+port 480 nsew default input
+rlabel metal2 s 199833 0 199861 240 6 la_oen[85]
+port 481 nsew default input
+rlabel metal2 s 201489 0 201517 240 6 la_oen[86]
+port 482 nsew default input
+rlabel metal2 s 203145 0 203173 240 6 la_oen[87]
+port 483 nsew default input
+rlabel metal2 s 204801 0 204829 240 6 la_oen[88]
+port 484 nsew default input
+rlabel metal2 s 206457 0 206485 240 6 la_oen[89]
+port 485 nsew default input
+rlabel metal2 s 72827 0 72855 240 6 la_oen[8]
+port 486 nsew default input
+rlabel metal2 s 208113 0 208141 240 6 la_oen[90]
+port 487 nsew default input
+rlabel metal2 s 209723 0 209751 240 6 la_oen[91]
+port 488 nsew default input
+rlabel metal2 s 211379 0 211407 240 6 la_oen[92]
+port 489 nsew default input
+rlabel metal2 s 213035 0 213063 240 6 la_oen[93]
+port 490 nsew default input
+rlabel metal2 s 214691 0 214719 240 6 la_oen[94]
+port 491 nsew default input
+rlabel metal2 s 216347 0 216375 240 6 la_oen[95]
+port 492 nsew default input
+rlabel metal2 s 218003 0 218031 240 6 la_oen[96]
+port 493 nsew default input
+rlabel metal2 s 219659 0 219687 240 6 la_oen[97]
+port 494 nsew default input
+rlabel metal2 s 221269 0 221297 240 6 la_oen[98]
+port 495 nsew default input
+rlabel metal2 s 222925 0 222953 240 6 la_oen[99]
+port 496 nsew default input
+rlabel metal2 s 74437 0 74465 240 6 la_oen[9]
+port 497 nsew default input
+rlabel metal2 s 269707 0 269735 240 6 user_clock2
+port 498 nsew default input
+rlabel metal3 s 269760 250312 270000 250372 6 vccd1
+port 499 nsew default bidirectional
+rlabel metal3 s 0 249428 240 249488 6 vccd2
+port 500 nsew default bidirectional
+rlabel metal3 s 269760 126552 270000 126612 6 vdda1
+port 501 nsew default bidirectional
+rlabel metal3 s 0 114448 240 114508 6 vdda2
+port 502 nsew default bidirectional
+rlabel metal2 s 236219 269760 236247 270000 6 vssa1
+port 503 nsew default bidirectional
+rlabel metal3 s 0 243580 240 243640 6 vssa2
+port 504 nsew default bidirectional
+rlabel metal3 s 269760 120908 270000 120968 6 vssd1
+port 505 nsew default bidirectional
+rlabel metal3 s 0 108532 240 108592 6 vssd2
+port 506 nsew default bidirectional
+rlabel metal2 s 239 0 267 240 6 wb_clk_i
+port 507 nsew default input
+rlabel metal2 s 745 0 773 240 6 wb_rst_i
+port 508 nsew default input
+rlabel metal2 s 1297 0 1325 240 6 wbs_ack_o
+port 509 nsew default tristate
+rlabel metal2 s 3505 0 3533 240 6 wbs_adr_i[0]
+port 510 nsew default input
+rlabel metal2 s 22227 0 22255 240 6 wbs_adr_i[10]
+port 511 nsew default input
+rlabel metal2 s 23883 0 23911 240 6 wbs_adr_i[11]
+port 512 nsew default input
+rlabel metal2 s 25493 0 25521 240 6 wbs_adr_i[12]
+port 513 nsew default input
+rlabel metal2 s 27149 0 27177 240 6 wbs_adr_i[13]
+port 514 nsew default input
+rlabel metal2 s 28805 0 28833 240 6 wbs_adr_i[14]
+port 515 nsew default input
+rlabel metal2 s 30461 0 30489 240 6 wbs_adr_i[15]
+port 516 nsew default input
+rlabel metal2 s 32117 0 32145 240 6 wbs_adr_i[16]
+port 517 nsew default input
+rlabel metal2 s 33773 0 33801 240 6 wbs_adr_i[17]
+port 518 nsew default input
+rlabel metal2 s 35429 0 35457 240 6 wbs_adr_i[18]
+port 519 nsew default input
+rlabel metal2 s 37039 0 37067 240 6 wbs_adr_i[19]
+port 520 nsew default input
+rlabel metal2 s 5713 0 5741 240 6 wbs_adr_i[1]
+port 521 nsew default input
+rlabel metal2 s 38695 0 38723 240 6 wbs_adr_i[20]
+port 522 nsew default input
+rlabel metal2 s 40351 0 40379 240 6 wbs_adr_i[21]
+port 523 nsew default input
+rlabel metal2 s 42007 0 42035 240 6 wbs_adr_i[22]
+port 524 nsew default input
+rlabel metal2 s 43663 0 43691 240 6 wbs_adr_i[23]
+port 525 nsew default input
+rlabel metal2 s 45319 0 45347 240 6 wbs_adr_i[24]
+port 526 nsew default input
+rlabel metal2 s 46975 0 47003 240 6 wbs_adr_i[25]
+port 527 nsew default input
+rlabel metal2 s 48631 0 48659 240 6 wbs_adr_i[26]
+port 528 nsew default input
+rlabel metal2 s 50241 0 50269 240 6 wbs_adr_i[27]
+port 529 nsew default input
+rlabel metal2 s 51897 0 51925 240 6 wbs_adr_i[28]
+port 530 nsew default input
+rlabel metal2 s 53553 0 53581 240 6 wbs_adr_i[29]
+port 531 nsew default input
+rlabel metal2 s 7921 0 7949 240 6 wbs_adr_i[2]
+port 532 nsew default input
+rlabel metal2 s 55209 0 55237 240 6 wbs_adr_i[30]
+port 533 nsew default input
+rlabel metal2 s 56865 0 56893 240 6 wbs_adr_i[31]
+port 534 nsew default input
+rlabel metal2 s 10129 0 10157 240 6 wbs_adr_i[3]
+port 535 nsew default input
+rlabel metal2 s 12337 0 12365 240 6 wbs_adr_i[4]
+port 536 nsew default input
+rlabel metal2 s 13947 0 13975 240 6 wbs_adr_i[5]
+port 537 nsew default input
+rlabel metal2 s 15603 0 15631 240 6 wbs_adr_i[6]
+port 538 nsew default input
+rlabel metal2 s 17259 0 17287 240 6 wbs_adr_i[7]
+port 539 nsew default input
+rlabel metal2 s 18915 0 18943 240 6 wbs_adr_i[8]
+port 540 nsew default input
+rlabel metal2 s 20571 0 20599 240 6 wbs_adr_i[9]
+port 541 nsew default input
+rlabel metal2 s 1849 0 1877 240 6 wbs_cyc_i
+port 542 nsew default input
+rlabel metal2 s 4057 0 4085 240 6 wbs_dat_i[0]
+port 543 nsew default input
+rlabel metal2 s 22779 0 22807 240 6 wbs_dat_i[10]
+port 544 nsew default input
+rlabel metal2 s 24435 0 24463 240 6 wbs_dat_i[11]
+port 545 nsew default input
+rlabel metal2 s 26045 0 26073 240 6 wbs_dat_i[12]
+port 546 nsew default input
+rlabel metal2 s 27701 0 27729 240 6 wbs_dat_i[13]
+port 547 nsew default input
+rlabel metal2 s 29357 0 29385 240 6 wbs_dat_i[14]
+port 548 nsew default input
+rlabel metal2 s 31013 0 31041 240 6 wbs_dat_i[15]
+port 549 nsew default input
+rlabel metal2 s 32669 0 32697 240 6 wbs_dat_i[16]
+port 550 nsew default input
+rlabel metal2 s 34325 0 34353 240 6 wbs_dat_i[17]
+port 551 nsew default input
+rlabel metal2 s 35981 0 36009 240 6 wbs_dat_i[18]
+port 552 nsew default input
+rlabel metal2 s 37591 0 37619 240 6 wbs_dat_i[19]
+port 553 nsew default input
+rlabel metal2 s 6265 0 6293 240 6 wbs_dat_i[1]
+port 554 nsew default input
+rlabel metal2 s 39247 0 39275 240 6 wbs_dat_i[20]
+port 555 nsew default input
+rlabel metal2 s 40903 0 40931 240 6 wbs_dat_i[21]
+port 556 nsew default input
+rlabel metal2 s 42559 0 42587 240 6 wbs_dat_i[22]
+port 557 nsew default input
+rlabel metal2 s 44215 0 44243 240 6 wbs_dat_i[23]
+port 558 nsew default input
+rlabel metal2 s 45871 0 45899 240 6 wbs_dat_i[24]
+port 559 nsew default input
+rlabel metal2 s 47527 0 47555 240 6 wbs_dat_i[25]
+port 560 nsew default input
+rlabel metal2 s 49183 0 49211 240 6 wbs_dat_i[26]
+port 561 nsew default input
+rlabel metal2 s 50793 0 50821 240 6 wbs_dat_i[27]
+port 562 nsew default input
+rlabel metal2 s 52449 0 52477 240 6 wbs_dat_i[28]
+port 563 nsew default input
+rlabel metal2 s 54105 0 54133 240 6 wbs_dat_i[29]
+port 564 nsew default input
+rlabel metal2 s 8473 0 8501 240 6 wbs_dat_i[2]
+port 565 nsew default input
+rlabel metal2 s 55761 0 55789 240 6 wbs_dat_i[30]
+port 566 nsew default input
+rlabel metal2 s 57417 0 57445 240 6 wbs_dat_i[31]
+port 567 nsew default input
+rlabel metal2 s 10681 0 10709 240 6 wbs_dat_i[3]
+port 568 nsew default input
+rlabel metal2 s 12843 0 12871 240 6 wbs_dat_i[4]
+port 569 nsew default input
+rlabel metal2 s 14499 0 14527 240 6 wbs_dat_i[5]
+port 570 nsew default input
+rlabel metal2 s 16155 0 16183 240 6 wbs_dat_i[6]
+port 571 nsew default input
+rlabel metal2 s 17811 0 17839 240 6 wbs_dat_i[7]
+port 572 nsew default input
+rlabel metal2 s 19467 0 19495 240 6 wbs_dat_i[8]
+port 573 nsew default input
+rlabel metal2 s 21123 0 21151 240 6 wbs_dat_i[9]
+port 574 nsew default input
+rlabel metal2 s 4609 0 4637 240 6 wbs_dat_o[0]
+port 575 nsew default tristate
+rlabel metal2 s 23331 0 23359 240 6 wbs_dat_o[10]
+port 576 nsew default tristate
+rlabel metal2 s 24941 0 24969 240 6 wbs_dat_o[11]
+port 577 nsew default tristate
+rlabel metal2 s 26597 0 26625 240 6 wbs_dat_o[12]
+port 578 nsew default tristate
+rlabel metal2 s 28253 0 28281 240 6 wbs_dat_o[13]
+port 579 nsew default tristate
+rlabel metal2 s 29909 0 29937 240 6 wbs_dat_o[14]
+port 580 nsew default tristate
+rlabel metal2 s 31565 0 31593 240 6 wbs_dat_o[15]
+port 581 nsew default tristate
+rlabel metal2 s 33221 0 33249 240 6 wbs_dat_o[16]
+port 582 nsew default tristate
+rlabel metal2 s 34877 0 34905 240 6 wbs_dat_o[17]
+port 583 nsew default tristate
+rlabel metal2 s 36533 0 36561 240 6 wbs_dat_o[18]
+port 584 nsew default tristate
+rlabel metal2 s 38143 0 38171 240 6 wbs_dat_o[19]
+port 585 nsew default tristate
+rlabel metal2 s 6817 0 6845 240 6 wbs_dat_o[1]
+port 586 nsew default tristate
+rlabel metal2 s 39799 0 39827 240 6 wbs_dat_o[20]
+port 587 nsew default tristate
+rlabel metal2 s 41455 0 41483 240 6 wbs_dat_o[21]
+port 588 nsew default tristate
+rlabel metal2 s 43111 0 43139 240 6 wbs_dat_o[22]
+port 589 nsew default tristate
+rlabel metal2 s 44767 0 44795 240 6 wbs_dat_o[23]
+port 590 nsew default tristate
+rlabel metal2 s 46423 0 46451 240 6 wbs_dat_o[24]
+port 591 nsew default tristate
+rlabel metal2 s 48079 0 48107 240 6 wbs_dat_o[25]
+port 592 nsew default tristate
+rlabel metal2 s 49689 0 49717 240 6 wbs_dat_o[26]
+port 593 nsew default tristate
+rlabel metal2 s 51345 0 51373 240 6 wbs_dat_o[27]
+port 594 nsew default tristate
+rlabel metal2 s 53001 0 53029 240 6 wbs_dat_o[28]
+port 595 nsew default tristate
+rlabel metal2 s 54657 0 54685 240 6 wbs_dat_o[29]
+port 596 nsew default tristate
+rlabel metal2 s 9025 0 9053 240 6 wbs_dat_o[2]
+port 597 nsew default tristate
+rlabel metal2 s 56313 0 56341 240 6 wbs_dat_o[30]
+port 598 nsew default tristate
+rlabel metal2 s 57969 0 57997 240 6 wbs_dat_o[31]
+port 599 nsew default tristate
+rlabel metal2 s 11233 0 11261 240 6 wbs_dat_o[3]
+port 600 nsew default tristate
+rlabel metal2 s 13395 0 13423 240 6 wbs_dat_o[4]
+port 601 nsew default tristate
+rlabel metal2 s 15051 0 15079 240 6 wbs_dat_o[5]
+port 602 nsew default tristate
+rlabel metal2 s 16707 0 16735 240 6 wbs_dat_o[6]
+port 603 nsew default tristate
+rlabel metal2 s 18363 0 18391 240 6 wbs_dat_o[7]
+port 604 nsew default tristate
+rlabel metal2 s 20019 0 20047 240 6 wbs_dat_o[8]
+port 605 nsew default tristate
+rlabel metal2 s 21675 0 21703 240 6 wbs_dat_o[9]
+port 606 nsew default tristate
+rlabel metal2 s 5161 0 5189 240 6 wbs_sel_i[0]
+port 607 nsew default input
+rlabel metal2 s 7369 0 7397 240 6 wbs_sel_i[1]
+port 608 nsew default input
+rlabel metal2 s 9577 0 9605 240 6 wbs_sel_i[2]
+port 609 nsew default input
+rlabel metal2 s 11785 0 11813 240 6 wbs_sel_i[3]
+port 610 nsew default input
+rlabel metal2 s 2401 0 2429 240 6 wbs_stb_i
+port 611 nsew default input
+rlabel metal2 s 2953 0 2981 240 6 wbs_we_i
+port 612 nsew default input
+<< end >>