blob: 288694da98425dae3ede360dab2b3c9d87899554 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN chip_io ;
UNITS DISTANCE MICRONS 1000 ;
COMPONENTS 778 ;
- clock_pad sky130_ef_io__gpiov2_pad + FIXED ( 835000 0 ) S ;
- flash_clk_pad sky130_ef_io__gpiov2_pad + FIXED ( 1555000 0 ) S ;
- flash_csb_pad sky130_ef_io__gpiov2_pad + FIXED ( 1313000 0 ) S ;
- flash_io0_pad sky130_ef_io__gpiov2_pad + FIXED ( 1797000 0 ) S ;
- flash_io1_pad sky130_ef_io__gpiov2_pad + FIXED ( 2039000 0 ) S ;
- gpio_pad sky130_ef_io__gpiov2_pad + FIXED ( 2280000 0 ) S ;
- mgmt_corner\[0\] sky130_ef_io__corner_pad + FIXED ( 0 0 ) S ;
- mgmt_corner\[1\] sky130_ef_io__corner_pad + FIXED ( 0 5100000 ) W ;
- mgmt_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 0 351000 ) W ;
- mgmt_vdda_hvclamp_pad sky130_ef_io__vdda_hvc_pad + FIXED ( 2759000 0 ) S ;
- mgmt_vddio_hvclamp_pad\[0\] sky130_ef_io__vddio_hvc_pad + FIXED ( 0 574000 ) W ;
- mgmt_vddio_hvclamp_pad\[1\] sky130_ef_io__vddio_hvc_pad + FIXED ( 0 4426000 ) W ;
- mgmt_vssa_hvclamp_pad sky130_ef_io__vssa_hvc_pad + FIXED ( 361000 0 ) S ;
- mgmt_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 1077000 0 ) S ;
- mgmt_vssio_hvclamp_pad\[0\] sky130_ef_io__vssio_hvc_pad + FIXED ( 1567000 5102035 ) N ;
- mgmt_vssio_hvclamp_pad\[1\] sky130_ef_io__vssio_hvc_pad + FIXED ( 2522000 0 ) S ;
- mprj_pads/area1_io_pad\[0\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 358000 ) E ;
- mprj_pads/area1_io_pad\[10\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 3439000 ) E ;
- mprj_pads/area1_io_pad\[11\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 3677000 ) E ;
- mprj_pads/area1_io_pad\[12\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 3915000 ) E ;
- mprj_pads/area1_io_pad\[13\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 4386000 ) E ;
- mprj_pads/area1_io_pad\[14\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 4857000 ) E ;
- mprj_pads/area1_io_pad\[15\] sky130_ef_io__gpiov2_pad + FIXED ( 2759000 5102035 ) N ;
- mprj_pads/area1_io_pad\[16\] sky130_ef_io__gpiov2_pad + FIXED ( 2283000 5102035 ) N ;
- mprj_pads/area1_io_pad\[17\] sky130_ef_io__gpiov2_pad + FIXED ( 2043000 5102035 ) N ;
- mprj_pads/area1_io_pad\[1\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 596000 ) E ;
- mprj_pads/area1_io_pad\[2\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 834000 ) E ;
- mprj_pads/area1_io_pad\[3\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 1072000 ) E ;
- mprj_pads/area1_io_pad\[4\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 1310000 ) E ;
- mprj_pads/area1_io_pad\[5\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 1548000 ) E ;
- mprj_pads/area1_io_pad\[6\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 1787000 ) E ;
- mprj_pads/area1_io_pad\[7\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 2724000 ) E ;
- mprj_pads/area1_io_pad\[8\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 2962000 ) E ;
- mprj_pads/area1_io_pad\[9\] sky130_ef_io__gpiov2_pad + FIXED ( 3002035 3200000 ) E ;
- mprj_pads/area2_io_pad\[0\] sky130_ef_io__gpiov2_pad + FIXED ( 1802000 5102035 ) N ;
- mprj_pads/area2_io_pad\[10\] sky130_ef_io__gpiov2_pad + FIXED ( 0 3292000 ) W ;
- mprj_pads/area2_io_pad\[11\] sky130_ef_io__gpiov2_pad + FIXED ( 0 3065000 ) W ;
- mprj_pads/area2_io_pad\[12\] sky130_ef_io__gpiov2_pad + FIXED ( 0 2837000 ) W ;
- mprj_pads/area2_io_pad\[13\] sky130_ef_io__gpiov2_pad + FIXED ( 0 2609000 ) W ;
- mprj_pads/area2_io_pad\[14\] sky130_ef_io__gpiov2_pad + FIXED ( 0 1936000 ) W ;
- mprj_pads/area2_io_pad\[15\] sky130_ef_io__gpiov2_pad + FIXED ( 0 1708000 ) W ;
- mprj_pads/area2_io_pad\[16\] sky130_ef_io__gpiov2_pad + FIXED ( 0 1480000 ) W ;
- mprj_pads/area2_io_pad\[17\] sky130_ef_io__gpiov2_pad + FIXED ( 0 1252000 ) W ;
- mprj_pads/area2_io_pad\[18\] sky130_ef_io__gpiov2_pad + FIXED ( 0 1025000 ) W ;
- mprj_pads/area2_io_pad\[19\] sky130_ef_io__gpiov2_pad + FIXED ( 0 797000 ) W ;
- mprj_pads/area2_io_pad\[1\] sky130_ef_io__gpiov2_pad + FIXED ( 1326000 5102035 ) N ;
- mprj_pads/area2_io_pad\[2\] sky130_ef_io__gpiov2_pad + FIXED ( 1086000 5102035 ) N ;
- mprj_pads/area2_io_pad\[3\] sky130_ef_io__gpiov2_pad + FIXED ( 845000 5102035 ) N ;
- mprj_pads/area2_io_pad\[4\] sky130_ef_io__gpiov2_pad + FIXED ( 605000 5102035 ) N ;
- mprj_pads/area2_io_pad\[5\] sky130_ef_io__gpiov2_pad + FIXED ( 364000 5102035 ) N ;
- mprj_pads/area2_io_pad\[6\] sky130_ef_io__gpiov2_pad + FIXED ( 0 4872000 ) W ;
- mprj_pads/area2_io_pad\[7\] sky130_ef_io__gpiov2_pad + FIXED ( 0 3976000 ) W ;
- mprj_pads/area2_io_pad\[8\] sky130_ef_io__gpiov2_pad + FIXED ( 0 3748000 ) W ;
- mprj_pads/area2_io_pad\[9\] sky130_ef_io__gpiov2_pad + FIXED ( 0 3520000 ) W ;
- resetb_pad sky130_fd_io__top_xres4v2 + FIXED ( 598000 0 ) S ;
- user1_corner sky130_ef_io__corner_pad + FIXED ( 3000000 5096000 ) N ;
- user1_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 3002035 4624000 ) E ;
- user1_vdda_hvclamp_pad\[0\] sky130_ef_io__vdda_hvc_pad + FIXED ( 3002035 4153000 ) E ;
- user1_vdda_hvclamp_pad\[1\] sky130_ef_io__vdda_hvc_pad + FIXED ( 3002035 2491000 ) E ;
- user1_vssa_hvclamp_pad\[0\] sky130_ef_io__vssa_hvc_pad + FIXED ( 2524000 5102035 ) N ;
- user1_vssa_hvclamp_pad\[1\] sky130_ef_io__vssa_hvc_pad + FIXED ( 3002035 2025000 ) E ;
- user1_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 3002035 2258000 ) E ;
- user2_corner sky130_ef_io__corner_pad + FIXED ( 2996000 0 ) E ;
- user2_vccd_lvclamp_pad sky130_ef_io__vccd_lvc_pad + FIXED ( 0 4649000 ) W ;
- user2_vdda_hvclamp_pad sky130_ef_io__vdda_hvc_pad + FIXED ( 0 2386000 ) W ;
- user2_vssa_hvclamp_pad sky130_ef_io__vssa_hvc_pad + FIXED ( 0 4203000 ) W ;
- user2_vssd_lvclmap_pad sky130_ef_io__vssd_lvc_pad + FIXED ( 0 2163000 ) W ;
- FILLER_5 sky130_ef_io__com_bus_slice_20um + FIXED ( 204000 5102035 ) N ;
- FILLER_6 sky130_ef_io__com_bus_slice_20um + FIXED ( 224000 5102035 ) N ;
- FILLER_7 sky130_ef_io__com_bus_slice_20um + FIXED ( 244000 5102035 ) N ;
- FILLER_8 sky130_ef_io__com_bus_slice_20um + FIXED ( 264000 5102035 ) N ;
- FILLER_9 sky130_ef_io__com_bus_slice_20um + FIXED ( 284000 5102035 ) N ;
- FILLER_10 sky130_ef_io__com_bus_slice_20um + FIXED ( 304000 5102035 ) N ;
- FILLER_11 sky130_ef_io__com_bus_slice_20um + FIXED ( 324000 5102035 ) N ;
- FILLER_12 sky130_ef_io__com_bus_slice_20um + FIXED ( 344000 5102035 ) N ;
- FILLER_14 sky130_ef_io__com_bus_slice_20um + FIXED ( 444000 5102035 ) N ;
- FILLER_15 sky130_ef_io__com_bus_slice_20um + FIXED ( 464000 5102035 ) N ;
- FILLER_16 sky130_ef_io__com_bus_slice_20um + FIXED ( 484000 5102035 ) N ;
- FILLER_17 sky130_ef_io__com_bus_slice_20um + FIXED ( 504000 5102035 ) N ;
- FILLER_18 sky130_ef_io__com_bus_slice_20um + FIXED ( 524000 5102035 ) N ;
- FILLER_19 sky130_ef_io__com_bus_slice_20um + FIXED ( 544000 5102035 ) N ;
- FILLER_20 sky130_ef_io__com_bus_slice_20um + FIXED ( 564000 5102035 ) N ;
- FILLER_21 sky130_ef_io__com_bus_slice_20um + FIXED ( 584000 5102035 ) N ;
- FILLER_22 sky130_ef_io__com_bus_slice_1um + FIXED ( 604000 5102035 ) N ;
- FILLER_24 sky130_ef_io__com_bus_slice_20um + FIXED ( 685000 5102035 ) N ;
- FILLER_25 sky130_ef_io__com_bus_slice_20um + FIXED ( 705000 5102035 ) N ;
- FILLER_26 sky130_ef_io__com_bus_slice_20um + FIXED ( 725000 5102035 ) N ;
- FILLER_27 sky130_ef_io__com_bus_slice_20um + FIXED ( 745000 5102035 ) N ;
- FILLER_28 sky130_ef_io__com_bus_slice_20um + FIXED ( 765000 5102035 ) N ;
- FILLER_29 sky130_ef_io__com_bus_slice_20um + FIXED ( 785000 5102035 ) N ;
- FILLER_30 sky130_ef_io__com_bus_slice_20um + FIXED ( 805000 5102035 ) N ;
- FILLER_31 sky130_ef_io__com_bus_slice_20um + FIXED ( 825000 5102035 ) N ;
- FILLER_33 sky130_ef_io__com_bus_slice_20um + FIXED ( 925000 5102035 ) N ;
- FILLER_34 sky130_ef_io__com_bus_slice_20um + FIXED ( 945000 5102035 ) N ;
- FILLER_35 sky130_ef_io__com_bus_slice_20um + FIXED ( 965000 5102035 ) N ;
- FILLER_36 sky130_ef_io__com_bus_slice_20um + FIXED ( 985000 5102035 ) N ;
- FILLER_37 sky130_ef_io__com_bus_slice_20um + FIXED ( 1005000 5102035 ) N ;
- FILLER_38 sky130_ef_io__com_bus_slice_20um + FIXED ( 1025000 5102035 ) N ;
- FILLER_39 sky130_ef_io__com_bus_slice_20um + FIXED ( 1045000 5102035 ) N ;
- FILLER_40 sky130_ef_io__com_bus_slice_20um + FIXED ( 1065000 5102035 ) N ;
- FILLER_41 sky130_ef_io__com_bus_slice_1um + FIXED ( 1085000 5102035 ) N ;
- FILLER_43 sky130_ef_io__com_bus_slice_20um + FIXED ( 1166000 5102035 ) N ;
- FILLER_44 sky130_ef_io__com_bus_slice_20um + FIXED ( 1186000 5102035 ) N ;
- FILLER_45 sky130_ef_io__com_bus_slice_20um + FIXED ( 1206000 5102035 ) N ;
- FILLER_46 sky130_ef_io__com_bus_slice_20um + FIXED ( 1226000 5102035 ) N ;
- FILLER_47 sky130_ef_io__com_bus_slice_20um + FIXED ( 1246000 5102035 ) N ;
- FILLER_48 sky130_ef_io__com_bus_slice_20um + FIXED ( 1266000 5102035 ) N ;
- FILLER_49 sky130_ef_io__com_bus_slice_20um + FIXED ( 1286000 5102035 ) N ;
- FILLER_50 sky130_ef_io__com_bus_slice_20um + FIXED ( 1306000 5102035 ) N ;
- FILLER_52 sky130_ef_io__com_bus_slice_20um + FIXED ( 1406000 5102035 ) N ;
- FILLER_53 sky130_ef_io__com_bus_slice_20um + FIXED ( 1426000 5102035 ) N ;
- FILLER_54 sky130_ef_io__com_bus_slice_20um + FIXED ( 1446000 5102035 ) N ;
- FILLER_55 sky130_ef_io__com_bus_slice_20um + FIXED ( 1466000 5102035 ) N ;
- FILLER_56 sky130_ef_io__com_bus_slice_20um + FIXED ( 1486000 5102035 ) N ;
- FILLER_57 sky130_ef_io__com_bus_slice_20um + FIXED ( 1506000 5102035 ) N ;
- FILLER_58 sky130_ef_io__com_bus_slice_20um + FIXED ( 1526000 5102035 ) N ;
- FILLER_59 sky130_ef_io__com_bus_slice_20um + FIXED ( 1546000 5102035 ) N ;
- FILLER_60 sky130_ef_io__com_bus_slice_1um + FIXED ( 1566000 5102035 ) N ;
- FILLER_62 sky130_ef_io__com_bus_slice_20um + FIXED ( 1642000 5102035 ) N ;
- FILLER_63 sky130_ef_io__com_bus_slice_20um + FIXED ( 1662000 5102035 ) N ;
- FILLER_64 sky130_ef_io__com_bus_slice_20um + FIXED ( 1682000 5102035 ) N ;
- FILLER_65 sky130_ef_io__com_bus_slice_20um + FIXED ( 1702000 5102035 ) N ;
- FILLER_66 sky130_ef_io__com_bus_slice_20um + FIXED ( 1722000 5102035 ) N ;
- FILLER_67 sky130_ef_io__com_bus_slice_20um + FIXED ( 1742000 5102035 ) N ;
- FILLER_68 sky130_ef_io__com_bus_slice_20um + FIXED ( 1762000 5102035 ) N ;
- FILLER_69 sky130_ef_io__com_bus_slice_20um + FIXED ( 1782000 5102035 ) N ;
- FILLER_71 sky130_ef_io__com_bus_slice_20um + FIXED ( 1882000 5102035 ) N ;
- FILLER_72 sky130_ef_io__com_bus_slice_20um + FIXED ( 1902000 5102035 ) N ;
- FILLER_73 sky130_ef_io__com_bus_slice_20um + FIXED ( 1922000 5102035 ) N ;
- FILLER_74 sky130_ef_io__com_bus_slice_20um + FIXED ( 1942000 5102035 ) N ;
- FILLER_75 sky130_ef_io__com_bus_slice_20um + FIXED ( 1962000 5102035 ) N ;
- FILLER_76 sky130_ef_io__com_bus_slice_20um + FIXED ( 1982000 5102035 ) N ;
- FILLER_77 sky130_ef_io__com_bus_slice_20um + FIXED ( 2002000 5102035 ) N ;
- FILLER_78 sky130_ef_io__com_bus_slice_20um + FIXED ( 2022000 5102035 ) N ;
- FILLER_79 sky130_ef_io__com_bus_slice_1um + FIXED ( 2042000 5102035 ) N ;
- FILLER_81 sky130_ef_io__com_bus_slice_20um + FIXED ( 2123000 5102035 ) N ;
- FILLER_82 sky130_ef_io__com_bus_slice_20um + FIXED ( 2143000 5102035 ) N ;
- FILLER_83 sky130_ef_io__com_bus_slice_20um + FIXED ( 2163000 5102035 ) N ;
- FILLER_84 sky130_ef_io__com_bus_slice_20um + FIXED ( 2183000 5102035 ) N ;
- FILLER_85 sky130_ef_io__com_bus_slice_20um + FIXED ( 2203000 5102035 ) N ;
- FILLER_86 sky130_ef_io__com_bus_slice_20um + FIXED ( 2223000 5102035 ) N ;
- FILLER_87 sky130_ef_io__com_bus_slice_20um + FIXED ( 2243000 5102035 ) N ;
- FILLER_88 sky130_ef_io__com_bus_slice_20um + FIXED ( 2263000 5102035 ) N ;
- FILLER_90 sky130_ef_io__com_bus_slice_20um + FIXED ( 2363000 5102035 ) N ;
- FILLER_91 sky130_ef_io__com_bus_slice_20um + FIXED ( 2383000 5102035 ) N ;
- FILLER_92 sky130_ef_io__com_bus_slice_20um + FIXED ( 2403000 5102035 ) N ;
- FILLER_93 sky130_ef_io__com_bus_slice_20um + FIXED ( 2423000 5102035 ) N ;
- FILLER_94 sky130_ef_io__com_bus_slice_20um + FIXED ( 2443000 5102035 ) N ;
- FILLER_95 sky130_ef_io__com_bus_slice_20um + FIXED ( 2463000 5102035 ) N ;
- FILLER_96 sky130_ef_io__com_bus_slice_20um + FIXED ( 2483000 5102035 ) N ;
- FILLER_97 sky130_ef_io__com_bus_slice_20um + FIXED ( 2503000 5102035 ) N ;
- FILLER_98 sky130_ef_io__com_bus_slice_1um + FIXED ( 2523000 5102035 ) N ;
- FILLER_100 sky130_ef_io__com_bus_slice_20um + FIXED ( 2599000 5102035 ) N ;
- FILLER_101 sky130_ef_io__com_bus_slice_20um + FIXED ( 2619000 5102035 ) N ;
- FILLER_102 sky130_ef_io__com_bus_slice_20um + FIXED ( 2639000 5102035 ) N ;
- FILLER_103 sky130_ef_io__com_bus_slice_20um + FIXED ( 2659000 5102035 ) N ;
- FILLER_104 sky130_ef_io__com_bus_slice_20um + FIXED ( 2679000 5102035 ) N ;
- FILLER_105 sky130_ef_io__com_bus_slice_20um + FIXED ( 2699000 5102035 ) N ;
- FILLER_106 sky130_ef_io__com_bus_slice_20um + FIXED ( 2719000 5102035 ) N ;
- FILLER_107 sky130_ef_io__com_bus_slice_20um + FIXED ( 2739000 5102035 ) N ;
- FILLER_109 sky130_ef_io__com_bus_slice_20um + FIXED ( 2839000 5102035 ) N ;
- FILLER_110 sky130_ef_io__com_bus_slice_20um + FIXED ( 2859000 5102035 ) N ;
- FILLER_111 sky130_ef_io__com_bus_slice_20um + FIXED ( 2879000 5102035 ) N ;
- FILLER_112 sky130_ef_io__com_bus_slice_20um + FIXED ( 2899000 5102035 ) N ;
- FILLER_113 sky130_ef_io__com_bus_slice_20um + FIXED ( 2919000 5102035 ) N ;
- FILLER_114 sky130_ef_io__com_bus_slice_20um + FIXED ( 2939000 5102035 ) N ;
- FILLER_115 sky130_ef_io__com_bus_slice_20um + FIXED ( 2959000 5102035 ) N ;
- FILLER_116 sky130_ef_io__com_bus_slice_20um + FIXED ( 2979000 5102035 ) N ;
- FILLER_117 sky130_ef_io__com_bus_slice_1um + FIXED ( 2999000 5102035 ) N ;
- FILLER_118 sky130_ef_io__com_bus_slice_20um + FIXED ( 200000 0 ) S ;
- FILLER_119 sky130_ef_io__com_bus_slice_20um + FIXED ( 220000 0 ) S ;
- FILLER_120 sky130_ef_io__com_bus_slice_20um + FIXED ( 240000 0 ) S ;
- FILLER_121 sky130_ef_io__com_bus_slice_20um + FIXED ( 260000 0 ) S ;
- FILLER_122 sky130_ef_io__com_bus_slice_20um + FIXED ( 280000 0 ) S ;
- FILLER_123 sky130_ef_io__com_bus_slice_20um + FIXED ( 300000 0 ) S ;
- FILLER_124 sky130_ef_io__com_bus_slice_20um + FIXED ( 320000 0 ) S ;
- FILLER_125 sky130_ef_io__com_bus_slice_20um + FIXED ( 340000 0 ) S ;
- FILLER_126 sky130_ef_io__com_bus_slice_1um + FIXED ( 360000 0 ) S ;
- FILLER_128 sky130_ef_io__com_bus_slice_20um + FIXED ( 436000 0 ) S ;
- FILLER_129 sky130_ef_io__com_bus_slice_20um + FIXED ( 456000 0 ) S ;
- FILLER_130 sky130_ef_io__com_bus_slice_20um + FIXED ( 476000 0 ) S ;
- FILLER_131 sky130_ef_io__com_bus_slice_20um + FIXED ( 496000 0 ) S ;
- FILLER_132 sky130_ef_io__com_bus_slice_20um + FIXED ( 516000 0 ) S ;
- FILLER_133 sky130_ef_io__com_bus_slice_20um + FIXED ( 536000 0 ) S ;
- FILLER_134 sky130_ef_io__com_bus_slice_20um + FIXED ( 556000 0 ) S ;
- FILLER_135 sky130_ef_io__com_bus_slice_20um + FIXED ( 576000 0 ) S ;
- FILLER_136 sky130_ef_io__com_bus_slice_1um + FIXED ( 596000 0 ) S ;
- FILLER_137 sky130_ef_io__com_bus_slice_1um + FIXED ( 597000 0 ) S ;
- FILLER_139 sky130_ef_io__com_bus_slice_20um + FIXED ( 673000 0 ) S ;
- FILLER_140 sky130_ef_io__com_bus_slice_20um + FIXED ( 693000 0 ) S ;
- FILLER_141 sky130_ef_io__com_bus_slice_20um + FIXED ( 713000 0 ) S ;
- FILLER_142 sky130_ef_io__com_bus_slice_20um + FIXED ( 733000 0 ) S ;
- FILLER_143 sky130_ef_io__com_bus_slice_20um + FIXED ( 753000 0 ) S ;
- FILLER_144 sky130_ef_io__com_bus_slice_20um + FIXED ( 773000 0 ) S ;
- FILLER_145 sky130_ef_io__com_bus_slice_20um + FIXED ( 793000 0 ) S ;
- FILLER_146 sky130_ef_io__com_bus_slice_20um + FIXED ( 813000 0 ) S ;
- FILLER_147 sky130_ef_io__com_bus_slice_1um + FIXED ( 833000 0 ) S ;
- FILLER_148 sky130_ef_io__com_bus_slice_1um + FIXED ( 834000 0 ) S ;
- FILLER_150 sky130_ef_io__com_bus_slice_20um + FIXED ( 915000 0 ) S ;
- FILLER_151 sky130_ef_io__com_bus_slice_20um + FIXED ( 935000 0 ) S ;
- FILLER_152 sky130_ef_io__com_bus_slice_20um + FIXED ( 955000 0 ) S ;
- FILLER_153 sky130_ef_io__com_bus_slice_20um + FIXED ( 975000 0 ) S ;
- FILLER_154 sky130_ef_io__com_bus_slice_20um + FIXED ( 995000 0 ) S ;
- FILLER_155 sky130_ef_io__com_bus_slice_20um + FIXED ( 1015000 0 ) S ;
- FILLER_156 sky130_ef_io__com_bus_slice_20um + FIXED ( 1035000 0 ) S ;
- FILLER_157 sky130_ef_io__com_bus_slice_20um + FIXED ( 1055000 0 ) S ;
- FILLER_158 sky130_ef_io__com_bus_slice_1um + FIXED ( 1075000 0 ) S ;
- FILLER_159 sky130_ef_io__com_bus_slice_1um + FIXED ( 1076000 0 ) S ;
- FILLER_161 sky130_ef_io__com_bus_slice_20um + FIXED ( 1152000 0 ) S ;
- FILLER_162 sky130_ef_io__com_bus_slice_20um + FIXED ( 1172000 0 ) S ;
- FILLER_163 sky130_ef_io__com_bus_slice_20um + FIXED ( 1192000 0 ) S ;
- FILLER_164 sky130_ef_io__com_bus_slice_20um + FIXED ( 1212000 0 ) S ;
- FILLER_165 sky130_ef_io__com_bus_slice_20um + FIXED ( 1232000 0 ) S ;
- FILLER_166 sky130_ef_io__com_bus_slice_20um + FIXED ( 1252000 0 ) S ;
- FILLER_167 sky130_ef_io__com_bus_slice_20um + FIXED ( 1272000 0 ) S ;
- FILLER_168 sky130_ef_io__com_bus_slice_20um + FIXED ( 1292000 0 ) S ;
- FILLER_169 sky130_ef_io__com_bus_slice_1um + FIXED ( 1312000 0 ) S ;
- FILLER_171 sky130_ef_io__com_bus_slice_20um + FIXED ( 1393000 0 ) S ;
- FILLER_172 sky130_ef_io__com_bus_slice_20um + FIXED ( 1413000 0 ) S ;
- FILLER_173 sky130_ef_io__com_bus_slice_20um + FIXED ( 1433000 0 ) S ;
- FILLER_174 sky130_ef_io__com_bus_slice_20um + FIXED ( 1453000 0 ) S ;
- FILLER_175 sky130_ef_io__com_bus_slice_20um + FIXED ( 1473000 0 ) S ;
- FILLER_176 sky130_ef_io__com_bus_slice_20um + FIXED ( 1493000 0 ) S ;
- FILLER_177 sky130_ef_io__com_bus_slice_20um + FIXED ( 1513000 0 ) S ;
- FILLER_178 sky130_ef_io__com_bus_slice_20um + FIXED ( 1533000 0 ) S ;
- FILLER_179 sky130_ef_io__com_bus_slice_1um + FIXED ( 1553000 0 ) S ;
- FILLER_180 sky130_ef_io__com_bus_slice_1um + FIXED ( 1554000 0 ) S ;
- FILLER_182 sky130_ef_io__com_bus_slice_20um + FIXED ( 1635000 0 ) S ;
- FILLER_183 sky130_ef_io__com_bus_slice_20um + FIXED ( 1655000 0 ) S ;
- FILLER_184 sky130_ef_io__com_bus_slice_20um + FIXED ( 1675000 0 ) S ;
- FILLER_185 sky130_ef_io__com_bus_slice_20um + FIXED ( 1695000 0 ) S ;
- FILLER_186 sky130_ef_io__com_bus_slice_20um + FIXED ( 1715000 0 ) S ;
- FILLER_187 sky130_ef_io__com_bus_slice_20um + FIXED ( 1735000 0 ) S ;
- FILLER_188 sky130_ef_io__com_bus_slice_20um + FIXED ( 1755000 0 ) S ;
- FILLER_189 sky130_ef_io__com_bus_slice_20um + FIXED ( 1775000 0 ) S ;
- FILLER_190 sky130_ef_io__com_bus_slice_1um + FIXED ( 1795000 0 ) S ;
- FILLER_191 sky130_ef_io__com_bus_slice_1um + FIXED ( 1796000 0 ) S ;
- FILLER_193 sky130_ef_io__com_bus_slice_20um + FIXED ( 1877000 0 ) S ;
- FILLER_194 sky130_ef_io__com_bus_slice_20um + FIXED ( 1897000 0 ) S ;
- FILLER_195 sky130_ef_io__com_bus_slice_20um + FIXED ( 1917000 0 ) S ;
- FILLER_196 sky130_ef_io__com_bus_slice_20um + FIXED ( 1937000 0 ) S ;
- FILLER_197 sky130_ef_io__com_bus_slice_20um + FIXED ( 1957000 0 ) S ;
- FILLER_198 sky130_ef_io__com_bus_slice_20um + FIXED ( 1977000 0 ) S ;
- FILLER_199 sky130_ef_io__com_bus_slice_20um + FIXED ( 1997000 0 ) S ;
- FILLER_200 sky130_ef_io__com_bus_slice_20um + FIXED ( 2017000 0 ) S ;
- FILLER_201 sky130_ef_io__com_bus_slice_1um + FIXED ( 2037000 0 ) S ;
- FILLER_202 sky130_ef_io__com_bus_slice_1um + FIXED ( 2038000 0 ) S ;
- FILLER_204 sky130_ef_io__com_bus_slice_20um + FIXED ( 2119000 0 ) S ;
- FILLER_205 sky130_ef_io__com_bus_slice_20um + FIXED ( 2139000 0 ) S ;
- FILLER_206 sky130_ef_io__com_bus_slice_20um + FIXED ( 2159000 0 ) S ;
- FILLER_207 sky130_ef_io__com_bus_slice_20um + FIXED ( 2179000 0 ) S ;
- FILLER_208 sky130_ef_io__com_bus_slice_20um + FIXED ( 2199000 0 ) S ;
- FILLER_209 sky130_ef_io__com_bus_slice_20um + FIXED ( 2219000 0 ) S ;
- FILLER_210 sky130_ef_io__com_bus_slice_20um + FIXED ( 2239000 0 ) S ;
- FILLER_211 sky130_ef_io__com_bus_slice_20um + FIXED ( 2259000 0 ) S ;
- FILLER_212 sky130_ef_io__com_bus_slice_1um + FIXED ( 2279000 0 ) S ;
- FILLER_214 sky130_ef_io__com_bus_slice_20um + FIXED ( 2360000 0 ) S ;
- FILLER_215 sky130_ef_io__com_bus_slice_20um + FIXED ( 2380000 0 ) S ;
- FILLER_216 sky130_ef_io__com_bus_slice_20um + FIXED ( 2400000 0 ) S ;
- FILLER_217 sky130_ef_io__com_bus_slice_20um + FIXED ( 2420000 0 ) S ;
- FILLER_218 sky130_ef_io__com_bus_slice_20um + FIXED ( 2440000 0 ) S ;
- FILLER_219 sky130_ef_io__com_bus_slice_20um + FIXED ( 2460000 0 ) S ;
- FILLER_220 sky130_ef_io__com_bus_slice_20um + FIXED ( 2480000 0 ) S ;
- FILLER_221 sky130_ef_io__com_bus_slice_20um + FIXED ( 2500000 0 ) S ;
- FILLER_222 sky130_ef_io__com_bus_slice_1um + FIXED ( 2520000 0 ) S ;
- FILLER_223 sky130_ef_io__com_bus_slice_1um + FIXED ( 2521000 0 ) S ;
- FILLER_225 sky130_ef_io__com_bus_slice_20um + FIXED ( 2597000 0 ) S ;
- FILLER_226 sky130_ef_io__com_bus_slice_20um + FIXED ( 2617000 0 ) S ;
- FILLER_227 sky130_ef_io__com_bus_slice_20um + FIXED ( 2637000 0 ) S ;
- FILLER_228 sky130_ef_io__com_bus_slice_20um + FIXED ( 2657000 0 ) S ;
- FILLER_229 sky130_ef_io__com_bus_slice_20um + FIXED ( 2677000 0 ) S ;
- FILLER_230 sky130_ef_io__com_bus_slice_20um + FIXED ( 2697000 0 ) S ;
- FILLER_231 sky130_ef_io__com_bus_slice_20um + FIXED ( 2717000 0 ) S ;
- FILLER_232 sky130_ef_io__com_bus_slice_20um + FIXED ( 2737000 0 ) S ;
- FILLER_233 sky130_ef_io__com_bus_slice_1um + FIXED ( 2757000 0 ) S ;
- FILLER_234 sky130_ef_io__com_bus_slice_1um + FIXED ( 2758000 0 ) S ;
- FILLER_236 sky130_ef_io__com_bus_slice_20um + FIXED ( 2834000 0 ) S ;
- FILLER_237 sky130_ef_io__com_bus_slice_20um + FIXED ( 2854000 0 ) S ;
- FILLER_238 sky130_ef_io__com_bus_slice_20um + FIXED ( 2874000 0 ) S ;
- FILLER_239 sky130_ef_io__com_bus_slice_20um + FIXED ( 2894000 0 ) S ;
- FILLER_240 sky130_ef_io__com_bus_slice_20um + FIXED ( 2914000 0 ) S ;
- FILLER_241 sky130_ef_io__com_bus_slice_20um + FIXED ( 2934000 0 ) S ;
- FILLER_242 sky130_ef_io__com_bus_slice_20um + FIXED ( 2954000 0 ) S ;
- FILLER_243 sky130_ef_io__com_bus_slice_20um + FIXED ( 2974000 0 ) S ;
- FILLER_244 sky130_ef_io__com_bus_slice_1um + FIXED ( 2994000 0 ) S ;
- FILLER_245 sky130_ef_io__com_bus_slice_1um + FIXED ( 2995000 0 ) S ;
- FILLER_246 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 204000 ) W ;
- FILLER_247 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 224000 ) W ;
- FILLER_248 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 244000 ) W ;
- FILLER_249 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 264000 ) W ;
- FILLER_250 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 284000 ) W ;
- FILLER_251 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 304000 ) W ;
- FILLER_252 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 324000 ) W ;
- FILLER_253 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 344000 ) W ;
- FILLER_254 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 349000 ) W ;
- FILLER_255 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 350000 ) W ;
- FILLER_257 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 426000 ) W ;
- FILLER_258 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 446000 ) W ;
- FILLER_259 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 466000 ) W ;
- FILLER_260 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 486000 ) W ;
- FILLER_261 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 506000 ) W ;
- FILLER_262 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 526000 ) W ;
- FILLER_263 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 546000 ) W ;
- FILLER_264 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 566000 ) W ;
- FILLER_265 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 571000 ) W ;
- FILLER_266 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 572000 ) W ;
- FILLER_267 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 573000 ) W ;
- FILLER_269 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 649000 ) W ;
- FILLER_270 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 669000 ) W ;
- FILLER_271 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 689000 ) W ;
- FILLER_272 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 709000 ) W ;
- FILLER_273 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 729000 ) W ;
- FILLER_274 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 749000 ) W ;
- FILLER_275 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 769000 ) W ;
- FILLER_276 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 789000 ) W ;
- FILLER_277 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 794000 ) W ;
- FILLER_278 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 795000 ) W ;
- FILLER_279 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 796000 ) W ;
- FILLER_281 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 877000 ) W ;
- FILLER_282 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 897000 ) W ;
- FILLER_283 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 917000 ) W ;
- FILLER_284 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 937000 ) W ;
- FILLER_285 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 957000 ) W ;
- FILLER_286 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 977000 ) W ;
- FILLER_287 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 997000 ) W ;
- FILLER_288 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1017000 ) W ;
- FILLER_289 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1022000 ) W ;
- FILLER_290 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1023000 ) W ;
- FILLER_291 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1024000 ) W ;
- FILLER_293 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1105000 ) W ;
- FILLER_294 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1125000 ) W ;
- FILLER_295 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1145000 ) W ;
- FILLER_296 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1165000 ) W ;
- FILLER_297 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1185000 ) W ;
- FILLER_298 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1205000 ) W ;
- FILLER_299 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1225000 ) W ;
- FILLER_300 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1245000 ) W ;
- FILLER_301 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1250000 ) W ;
- FILLER_302 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1251000 ) W ;
- FILLER_304 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1332000 ) W ;
- FILLER_305 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1352000 ) W ;
- FILLER_306 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1372000 ) W ;
- FILLER_307 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1392000 ) W ;
- FILLER_308 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1412000 ) W ;
- FILLER_309 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1432000 ) W ;
- FILLER_310 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1452000 ) W ;
- FILLER_311 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1472000 ) W ;
- FILLER_312 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1477000 ) W ;
- FILLER_313 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1478000 ) W ;
- FILLER_314 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1479000 ) W ;
- FILLER_316 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1560000 ) W ;
- FILLER_317 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1580000 ) W ;
- FILLER_318 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1600000 ) W ;
- FILLER_319 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1620000 ) W ;
- FILLER_320 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1640000 ) W ;
- FILLER_321 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1660000 ) W ;
- FILLER_322 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1680000 ) W ;
- FILLER_323 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1700000 ) W ;
- FILLER_324 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1705000 ) W ;
- FILLER_325 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1706000 ) W ;
- FILLER_326 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1707000 ) W ;
- FILLER_328 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1788000 ) W ;
- FILLER_329 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1808000 ) W ;
- FILLER_330 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1828000 ) W ;
- FILLER_331 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1848000 ) W ;
- FILLER_332 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1868000 ) W ;
- FILLER_333 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1888000 ) W ;
- FILLER_334 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 1908000 ) W ;
- FILLER_335 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 1928000 ) W ;
- FILLER_336 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1933000 ) W ;
- FILLER_337 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1934000 ) W ;
- FILLER_338 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 1935000 ) W ;
- FILLER_340 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2016000 ) W ;
- FILLER_341 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2036000 ) W ;
- FILLER_342 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2056000 ) W ;
- FILLER_343 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2076000 ) W ;
- FILLER_344 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2096000 ) W ;
- FILLER_345 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2116000 ) W ;
- FILLER_346 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2136000 ) W ;
- FILLER_347 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2156000 ) W ;
- FILLER_348 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2161000 ) W ;
- FILLER_349 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2162000 ) W ;
- FILLER_351 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2238000 ) W ;
- FILLER_352 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2258000 ) W ;
- FILLER_353 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2278000 ) W ;
- FILLER_354 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2298000 ) W ;
- FILLER_355 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2318000 ) W ;
- FILLER_356 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2338000 ) W ;
- FILLER_357 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2358000 ) W ;
- FILLER_358 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2378000 ) W ;
- FILLER_359 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2383000 ) W ;
- FILLER_360 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2384000 ) W ;
- FILLER_361 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2385000 ) W ;
- FILLER_363 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2461000 ) W ;
- FILLER_364 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2481000 ) W ;
- FILLER_365 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2501000 ) W ;
- FILLER_366 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2521000 ) W ;
- FILLER_367 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2541000 ) W ;
- FILLER_368 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2561000 ) W ;
- FILLER_369 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2581000 ) W ;
- FILLER_370 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2601000 ) W ;
- FILLER_371 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2606000 ) W ;
- FILLER_372 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2607000 ) W ;
- FILLER_373 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2608000 ) W ;
- FILLER_375 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2689000 ) W ;
- FILLER_376 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2709000 ) W ;
- FILLER_377 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2729000 ) W ;
- FILLER_378 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2749000 ) W ;
- FILLER_379 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2769000 ) W ;
- FILLER_380 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2789000 ) W ;
- FILLER_381 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2809000 ) W ;
- FILLER_382 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 2829000 ) W ;
- FILLER_383 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2834000 ) W ;
- FILLER_384 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2835000 ) W ;
- FILLER_385 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 2836000 ) W ;
- FILLER_387 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2917000 ) W ;
- FILLER_388 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2937000 ) W ;
- FILLER_389 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2957000 ) W ;
- FILLER_390 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2977000 ) W ;
- FILLER_391 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 2997000 ) W ;
- FILLER_392 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3017000 ) W ;
- FILLER_393 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3037000 ) W ;
- FILLER_394 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3057000 ) W ;
- FILLER_395 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3062000 ) W ;
- FILLER_396 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3063000 ) W ;
- FILLER_397 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3064000 ) W ;
- FILLER_399 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3145000 ) W ;
- FILLER_400 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3165000 ) W ;
- FILLER_401 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3185000 ) W ;
- FILLER_402 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3205000 ) W ;
- FILLER_403 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3225000 ) W ;
- FILLER_404 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3245000 ) W ;
- FILLER_405 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3265000 ) W ;
- FILLER_406 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3285000 ) W ;
- FILLER_407 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3290000 ) W ;
- FILLER_408 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3291000 ) W ;
- FILLER_410 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3372000 ) W ;
- FILLER_411 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3392000 ) W ;
- FILLER_412 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3412000 ) W ;
- FILLER_413 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3432000 ) W ;
- FILLER_414 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3452000 ) W ;
- FILLER_415 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3472000 ) W ;
- FILLER_416 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3492000 ) W ;
- FILLER_417 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3512000 ) W ;
- FILLER_418 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3517000 ) W ;
- FILLER_419 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3518000 ) W ;
- FILLER_420 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3519000 ) W ;
- FILLER_422 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3600000 ) W ;
- FILLER_423 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3620000 ) W ;
- FILLER_424 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3640000 ) W ;
- FILLER_425 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3660000 ) W ;
- FILLER_426 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3680000 ) W ;
- FILLER_427 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3700000 ) W ;
- FILLER_428 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3720000 ) W ;
- FILLER_429 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3740000 ) W ;
- FILLER_430 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3745000 ) W ;
- FILLER_431 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3746000 ) W ;
- FILLER_432 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3747000 ) W ;
- FILLER_434 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3828000 ) W ;
- FILLER_435 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3848000 ) W ;
- FILLER_436 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3868000 ) W ;
- FILLER_437 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3888000 ) W ;
- FILLER_438 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3908000 ) W ;
- FILLER_439 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3928000 ) W ;
- FILLER_440 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 3948000 ) W ;
- FILLER_441 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 3968000 ) W ;
- FILLER_442 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3973000 ) W ;
- FILLER_443 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3974000 ) W ;
- FILLER_444 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 3975000 ) W ;
- FILLER_446 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4056000 ) W ;
- FILLER_447 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4076000 ) W ;
- FILLER_448 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4096000 ) W ;
- FILLER_449 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4116000 ) W ;
- FILLER_450 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4136000 ) W ;
- FILLER_451 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4156000 ) W ;
- FILLER_452 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4176000 ) W ;
- FILLER_453 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4196000 ) W ;
- FILLER_454 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4201000 ) W ;
- FILLER_455 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4202000 ) W ;
- FILLER_457 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4278000 ) W ;
- FILLER_458 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4298000 ) W ;
- FILLER_459 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4318000 ) W ;
- FILLER_460 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4338000 ) W ;
- FILLER_461 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4358000 ) W ;
- FILLER_462 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4378000 ) W ;
- FILLER_463 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4398000 ) W ;
- FILLER_464 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4418000 ) W ;
- FILLER_465 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4423000 ) W ;
- FILLER_466 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4424000 ) W ;
- FILLER_467 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4425000 ) W ;
- FILLER_469 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4501000 ) W ;
- FILLER_470 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4521000 ) W ;
- FILLER_471 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4541000 ) W ;
- FILLER_472 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4561000 ) W ;
- FILLER_473 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4581000 ) W ;
- FILLER_474 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4601000 ) W ;
- FILLER_475 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4621000 ) W ;
- FILLER_476 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4641000 ) W ;
- FILLER_477 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4646000 ) W ;
- FILLER_478 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4647000 ) W ;
- FILLER_479 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4648000 ) W ;
- FILLER_481 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4724000 ) W ;
- FILLER_482 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4744000 ) W ;
- FILLER_483 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4764000 ) W ;
- FILLER_484 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4784000 ) W ;
- FILLER_485 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4804000 ) W ;
- FILLER_486 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4824000 ) W ;
- FILLER_487 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4844000 ) W ;
- FILLER_488 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 4864000 ) W ;
- FILLER_489 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4869000 ) W ;
- FILLER_490 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4870000 ) W ;
- FILLER_491 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 4871000 ) W ;
- FILLER_493 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4952000 ) W ;
- FILLER_494 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4972000 ) W ;
- FILLER_495 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 4992000 ) W ;
- FILLER_496 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 5012000 ) W ;
- FILLER_497 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 5032000 ) W ;
- FILLER_498 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 5052000 ) W ;
- FILLER_499 sky130_ef_io__com_bus_slice_20um + FIXED ( 0 5072000 ) W ;
- FILLER_500 sky130_ef_io__com_bus_slice_5um + FIXED ( 0 5092000 ) W ;
- FILLER_501 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 5097000 ) W ;
- FILLER_502 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 5098000 ) W ;
- FILLER_503 sky130_ef_io__com_bus_slice_1um + FIXED ( 0 5099000 ) W ;
- FILLER_504 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 200000 ) E ;
- FILLER_505 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 220000 ) E ;
- FILLER_506 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 240000 ) E ;
- FILLER_507 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 260000 ) E ;
- FILLER_508 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 280000 ) E ;
- FILLER_509 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 300000 ) E ;
- FILLER_510 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 320000 ) E ;
- FILLER_511 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 340000 ) E ;
- FILLER_512 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 350000 ) E ;
- FILLER_513 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 355000 ) E ;
- FILLER_514 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 356000 ) E ;
- FILLER_515 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 357000 ) E ;
- FILLER_517 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 438000 ) E ;
- FILLER_518 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 458000 ) E ;
- FILLER_519 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 478000 ) E ;
- FILLER_520 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 498000 ) E ;
- FILLER_521 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 518000 ) E ;
- FILLER_522 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 538000 ) E ;
- FILLER_523 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 558000 ) E ;
- FILLER_524 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 578000 ) E ;
- FILLER_525 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 588000 ) E ;
- FILLER_526 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 593000 ) E ;
- FILLER_527 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 594000 ) E ;
- FILLER_528 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 595000 ) E ;
- FILLER_530 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 676000 ) E ;
- FILLER_531 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 696000 ) E ;
- FILLER_532 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 716000 ) E ;
- FILLER_533 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 736000 ) E ;
- FILLER_534 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 756000 ) E ;
- FILLER_535 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 776000 ) E ;
- FILLER_536 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 796000 ) E ;
- FILLER_537 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 816000 ) E ;
- FILLER_538 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 826000 ) E ;
- FILLER_539 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 831000 ) E ;
- FILLER_540 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 832000 ) E ;
- FILLER_541 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 833000 ) E ;
- FILLER_543 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 914000 ) E ;
- FILLER_544 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 934000 ) E ;
- FILLER_545 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 954000 ) E ;
- FILLER_546 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 974000 ) E ;
- FILLER_547 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 994000 ) E ;
- FILLER_548 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1014000 ) E ;
- FILLER_549 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1034000 ) E ;
- FILLER_550 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 1054000 ) E ;
- FILLER_551 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 1064000 ) E ;
- FILLER_552 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1069000 ) E ;
- FILLER_553 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1070000 ) E ;
- FILLER_554 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1071000 ) E ;
- FILLER_556 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1152000 ) E ;
- FILLER_557 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1172000 ) E ;
- FILLER_558 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1192000 ) E ;
- FILLER_559 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1212000 ) E ;
- FILLER_560 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1232000 ) E ;
- FILLER_561 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1252000 ) E ;
- FILLER_562 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1272000 ) E ;
- FILLER_563 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 1292000 ) E ;
- FILLER_564 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 1302000 ) E ;
- FILLER_565 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1307000 ) E ;
- FILLER_566 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1308000 ) E ;
- FILLER_567 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1309000 ) E ;
- FILLER_569 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1390000 ) E ;
- FILLER_570 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1410000 ) E ;
- FILLER_571 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1430000 ) E ;
- FILLER_572 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1450000 ) E ;
- FILLER_573 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1470000 ) E ;
- FILLER_574 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1490000 ) E ;
- FILLER_575 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1510000 ) E ;
- FILLER_576 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 1530000 ) E ;
- FILLER_577 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 1540000 ) E ;
- FILLER_578 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1545000 ) E ;
- FILLER_579 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1546000 ) E ;
- FILLER_580 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1547000 ) E ;
- FILLER_582 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1628000 ) E ;
- FILLER_583 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1648000 ) E ;
- FILLER_584 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1668000 ) E ;
- FILLER_585 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1688000 ) E ;
- FILLER_586 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1708000 ) E ;
- FILLER_587 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1728000 ) E ;
- FILLER_588 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1748000 ) E ;
- FILLER_589 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 1768000 ) E ;
- FILLER_590 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 1778000 ) E ;
- FILLER_591 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1783000 ) E ;
- FILLER_592 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1784000 ) E ;
- FILLER_593 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1785000 ) E ;
- FILLER_594 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 1786000 ) E ;
- FILLER_596 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1867000 ) E ;
- FILLER_597 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1887000 ) E ;
- FILLER_598 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1907000 ) E ;
- FILLER_599 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1927000 ) E ;
- FILLER_600 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1947000 ) E ;
- FILLER_601 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1967000 ) E ;
- FILLER_602 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 1987000 ) E ;
- FILLER_603 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 2007000 ) E ;
- FILLER_604 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 2017000 ) E ;
- FILLER_605 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2022000 ) E ;
- FILLER_606 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2023000 ) E ;
- FILLER_607 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2024000 ) E ;
- FILLER_609 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2100000 ) E ;
- FILLER_610 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2120000 ) E ;
- FILLER_611 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2140000 ) E ;
- FILLER_612 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2160000 ) E ;
- FILLER_613 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2180000 ) E ;
- FILLER_614 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2200000 ) E ;
- FILLER_615 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2220000 ) E ;
- FILLER_616 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 2240000 ) E ;
- FILLER_617 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 2250000 ) E ;
- FILLER_618 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2255000 ) E ;
- FILLER_619 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2256000 ) E ;
- FILLER_620 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2257000 ) E ;
- FILLER_622 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2333000 ) E ;
- FILLER_623 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2353000 ) E ;
- FILLER_624 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2373000 ) E ;
- FILLER_625 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2393000 ) E ;
- FILLER_626 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2413000 ) E ;
- FILLER_627 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2433000 ) E ;
- FILLER_628 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2453000 ) E ;
- FILLER_629 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 2473000 ) E ;
- FILLER_630 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 2483000 ) E ;
- FILLER_631 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2488000 ) E ;
- FILLER_632 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2489000 ) E ;
- FILLER_633 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2490000 ) E ;
- FILLER_635 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2566000 ) E ;
- FILLER_636 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2586000 ) E ;
- FILLER_637 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2606000 ) E ;
- FILLER_638 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2626000 ) E ;
- FILLER_639 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2646000 ) E ;
- FILLER_640 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2666000 ) E ;
- FILLER_641 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2686000 ) E ;
- FILLER_642 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 2706000 ) E ;
- FILLER_643 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 2716000 ) E ;
- FILLER_644 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2721000 ) E ;
- FILLER_645 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2722000 ) E ;
- FILLER_646 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2723000 ) E ;
- FILLER_648 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2804000 ) E ;
- FILLER_649 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2824000 ) E ;
- FILLER_650 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2844000 ) E ;
- FILLER_651 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2864000 ) E ;
- FILLER_652 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2884000 ) E ;
- FILLER_653 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2904000 ) E ;
- FILLER_654 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 2924000 ) E ;
- FILLER_655 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 2944000 ) E ;
- FILLER_656 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 2954000 ) E ;
- FILLER_657 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2959000 ) E ;
- FILLER_658 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2960000 ) E ;
- FILLER_659 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 2961000 ) E ;
- FILLER_661 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3042000 ) E ;
- FILLER_662 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3062000 ) E ;
- FILLER_663 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3082000 ) E ;
- FILLER_664 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3102000 ) E ;
- FILLER_665 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3122000 ) E ;
- FILLER_666 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3142000 ) E ;
- FILLER_667 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3162000 ) E ;
- FILLER_668 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 3182000 ) E ;
- FILLER_669 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 3192000 ) E ;
- FILLER_670 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3197000 ) E ;
- FILLER_671 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3198000 ) E ;
- FILLER_672 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3199000 ) E ;
- FILLER_674 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3280000 ) E ;
- FILLER_675 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3300000 ) E ;
- FILLER_676 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3320000 ) E ;
- FILLER_677 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3340000 ) E ;
- FILLER_678 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3360000 ) E ;
- FILLER_679 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3380000 ) E ;
- FILLER_680 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3400000 ) E ;
- FILLER_681 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 3420000 ) E ;
- FILLER_682 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 3430000 ) E ;
- FILLER_683 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3435000 ) E ;
- FILLER_684 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3436000 ) E ;
- FILLER_685 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3437000 ) E ;
- FILLER_686 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3438000 ) E ;
- FILLER_688 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3519000 ) E ;
- FILLER_689 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3539000 ) E ;
- FILLER_690 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3559000 ) E ;
- FILLER_691 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3579000 ) E ;
- FILLER_692 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3599000 ) E ;
- FILLER_693 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3619000 ) E ;
- FILLER_694 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3639000 ) E ;
- FILLER_695 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 3659000 ) E ;
- FILLER_696 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 3669000 ) E ;
- FILLER_697 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3674000 ) E ;
- FILLER_698 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3675000 ) E ;
- FILLER_699 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3676000 ) E ;
- FILLER_701 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3757000 ) E ;
- FILLER_702 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3777000 ) E ;
- FILLER_703 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3797000 ) E ;
- FILLER_704 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3817000 ) E ;
- FILLER_705 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3837000 ) E ;
- FILLER_706 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3857000 ) E ;
- FILLER_707 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3877000 ) E ;
- FILLER_708 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 3897000 ) E ;
- FILLER_709 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 3907000 ) E ;
- FILLER_710 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3912000 ) E ;
- FILLER_711 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3913000 ) E ;
- FILLER_712 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 3914000 ) E ;
- FILLER_714 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 3995000 ) E ;
- FILLER_715 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4015000 ) E ;
- FILLER_716 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4035000 ) E ;
- FILLER_717 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4055000 ) E ;
- FILLER_718 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4075000 ) E ;
- FILLER_719 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4095000 ) E ;
- FILLER_720 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4115000 ) E ;
- FILLER_721 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 4135000 ) E ;
- FILLER_722 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 4145000 ) E ;
- FILLER_723 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4150000 ) E ;
- FILLER_724 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4151000 ) E ;
- FILLER_725 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4152000 ) E ;
- FILLER_727 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4228000 ) E ;
- FILLER_728 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4248000 ) E ;
- FILLER_729 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4268000 ) E ;
- FILLER_730 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4288000 ) E ;
- FILLER_731 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4308000 ) E ;
- FILLER_732 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4328000 ) E ;
- FILLER_733 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4348000 ) E ;
- FILLER_734 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 4368000 ) E ;
- FILLER_735 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 4378000 ) E ;
- FILLER_736 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4383000 ) E ;
- FILLER_737 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4384000 ) E ;
- FILLER_738 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4385000 ) E ;
- FILLER_740 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4466000 ) E ;
- FILLER_741 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4486000 ) E ;
- FILLER_742 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4506000 ) E ;
- FILLER_743 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4526000 ) E ;
- FILLER_744 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4546000 ) E ;
- FILLER_745 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4566000 ) E ;
- FILLER_746 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4586000 ) E ;
- FILLER_747 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 4606000 ) E ;
- FILLER_748 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 4616000 ) E ;
- FILLER_749 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4621000 ) E ;
- FILLER_750 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4622000 ) E ;
- FILLER_751 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4623000 ) E ;
- FILLER_753 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4699000 ) E ;
- FILLER_754 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4719000 ) E ;
- FILLER_755 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4739000 ) E ;
- FILLER_756 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4759000 ) E ;
- FILLER_757 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4779000 ) E ;
- FILLER_758 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4799000 ) E ;
- FILLER_759 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4819000 ) E ;
- FILLER_760 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 4839000 ) E ;
- FILLER_761 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 4849000 ) E ;
- FILLER_762 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4854000 ) E ;
- FILLER_763 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4855000 ) E ;
- FILLER_764 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 4856000 ) E ;
- FILLER_766 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4937000 ) E ;
- FILLER_767 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4957000 ) E ;
- FILLER_768 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4977000 ) E ;
- FILLER_769 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 4997000 ) E ;
- FILLER_770 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 5017000 ) E ;
- FILLER_771 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 5037000 ) E ;
- FILLER_772 sky130_ef_io__com_bus_slice_20um + FIXED ( 3002035 5057000 ) E ;
- FILLER_773 sky130_ef_io__com_bus_slice_10um + FIXED ( 3002035 5077000 ) E ;
- FILLER_774 sky130_ef_io__com_bus_slice_5um + FIXED ( 3002035 5087000 ) E ;
- FILLER_775 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 5092000 ) E ;
- FILLER_776 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 5093000 ) E ;
- FILLER_777 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 5094000 ) E ;
- FILLER_778 sky130_ef_io__com_bus_slice_1um + FIXED ( 3002035 5095000 ) E ;
END COMPONENTS
PINS 691 ;
- clock + NET clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 872500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- clock_core + NET clock_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835595 105220 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- flash_clk + NET flash_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1592500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_clk_core + NET flash_clk_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1612515 196787 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- flash_clk_ieb_core + NET flash_clk_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1589625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- flash_clk_oeb_core + NET flash_clk_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1631510 197762 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- flash_csb + NET flash_csb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1350500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_csb_core + NET flash_csb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1370515 196787 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- flash_csb_ieb_core + NET flash_csb_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1347625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- flash_csb_oeb_core + NET flash_csb_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1389510 197762 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- flash_io0 + NET flash_io0 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1834500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io0_di_core + NET flash_io0_di_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1797595 105220 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- flash_io0_do_core + NET flash_io0_do_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1854515 196787 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- flash_io0_ieb_core + NET flash_io0_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1831625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- flash_io0_oeb_core + NET flash_io0_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1873510 197762 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- flash_io1 + NET flash_io1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2076500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- flash_io1_di_core + NET flash_io1_di_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2039595 105220 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- flash_io1_do_core + NET flash_io1_do_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2096515 196787 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- flash_io1_ieb_core + NET flash_io1_ieb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2073625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- flash_io1_oeb_core + NET flash_io1_oeb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2115510 197762 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- gpio + NET gpio + DIRECTION INOUT + USE SIGNAL + PLACED ( 2317500 64215 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- gpio_in_core + NET gpio_in_core + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2280595 105220 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- gpio_inenb_core + NET gpio_inenb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2314625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- gpio_mode0_core + NET gpio_mode0_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2310015 199727 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- gpio_mode1_core + NET gpio_mode1_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2331380 197965 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- gpio_out_core + NET gpio_out_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2337515 196787 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- gpio_outenb_core + NET gpio_outenb_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 2356510 197762 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io[0] + NET mprj_io[0] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 395500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[10] + NET mprj_io[10] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 3476500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[11] + NET mprj_io[11] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 3714500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[12] + NET mprj_io[12] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 3952500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[13] + NET mprj_io[13] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 4423500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[14] + NET mprj_io[14] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 4894500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[15] + NET mprj_io[15] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2801500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[16] + NET mprj_io[16] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2325500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[17] + NET mprj_io[17] + DIRECTION INOUT + USE SIGNAL + PLACED ( 2085500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[18] + NET mprj_io[18] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1844500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[19] + NET mprj_io[19] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1368500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[1] + NET mprj_io[1] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 633500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[20] + NET mprj_io[20] + DIRECTION INOUT + USE SIGNAL + PLACED ( 1128500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[21] + NET mprj_io[21] + DIRECTION INOUT + USE SIGNAL + PLACED ( 887500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[22] + NET mprj_io[22] + DIRECTION INOUT + USE SIGNAL + PLACED ( 647500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[23] + NET mprj_io[23] + DIRECTION INOUT + USE SIGNAL + PLACED ( 406500 5235785 ) N + LAYER met5 ( -31300 -31225 ) ( 31300 31225 ) ;
- mprj_io[24] + NET mprj_io[24] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 4914500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[25] + NET mprj_io[25] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 4018500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[26] + NET mprj_io[26] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3790500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[27] + NET mprj_io[27] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3562500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[28] + NET mprj_io[28] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3334500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[29] + NET mprj_io[29] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 3107500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[2] + NET mprj_io[2] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 871500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[30] + NET mprj_io[30] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2879500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[31] + NET mprj_io[31] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 2651500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[32] + NET mprj_io[32] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1978500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[33] + NET mprj_io[33] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1750500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[34] + NET mprj_io[34] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1522500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[35] + NET mprj_io[35] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1294500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[36] + NET mprj_io[36] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 1067500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[37] + NET mprj_io[37] + DIRECTION INOUT + USE SIGNAL + PLACED ( 64215 839500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[3] + NET mprj_io[3] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 1109500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[4] + NET mprj_io[4] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 1347500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[5] + NET mprj_io[5] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 1585500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[6] + NET mprj_io[6] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 1824500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[7] + NET mprj_io[7] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 2761500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[8] + NET mprj_io[8] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 2999500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io[9] + NET mprj_io[9] + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135785 3237500 ) N + LAYER met5 ( -31225 -31300 ) ( 31225 31300 ) ;
- mprj_io_analog_en[0] + NET mprj_io_analog_en[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 375440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[10] + NET mprj_io_analog_en[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 3456440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[11] + NET mprj_io_analog_en[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 3694440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[12] + NET mprj_io_analog_en[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 3932440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[13] + NET mprj_io_analog_en[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 4403440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[14] + NET mprj_io_analog_en[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 4874440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[15] + NET mprj_io_analog_en[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2821560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[16] + NET mprj_io_analog_en[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2345560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[17] + NET mprj_io_analog_en[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2105560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[18] + NET mprj_io_analog_en[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1864560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[19] + NET mprj_io_analog_en[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1388560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[1] + NET mprj_io_analog_en[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 613440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[20] + NET mprj_io_analog_en[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1148560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[21] + NET mprj_io_analog_en[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 907560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[22] + NET mprj_io_analog_en[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 667560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[23] + NET mprj_io_analog_en[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 426560 5100652 ) N + LAYER met1 ( -130 -652 ) ( 130 652 ) ;
- mprj_io_analog_en[24] + NET mprj_io_analog_en[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 4934560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[25] + NET mprj_io_analog_en[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 4038560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[26] + NET mprj_io_analog_en[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 3810560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[27] + NET mprj_io_analog_en[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 3582560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[28] + NET mprj_io_analog_en[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 3354560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[29] + NET mprj_io_analog_en[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 3127560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[2] + NET mprj_io_analog_en[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 851440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[30] + NET mprj_io_analog_en[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 2899560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[31] + NET mprj_io_analog_en[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 2671560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[32] + NET mprj_io_analog_en[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 1998560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[33] + NET mprj_io_analog_en[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 1770560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[34] + NET mprj_io_analog_en[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 1542560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[35] + NET mprj_io_analog_en[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 1314560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[36] + NET mprj_io_analog_en[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 1087560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[37] + NET mprj_io_analog_en[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199347 859560 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[3] + NET mprj_io_analog_en[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 1089440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[4] + NET mprj_io_analog_en[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 1327440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[5] + NET mprj_io_analog_en[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 1565440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[6] + NET mprj_io_analog_en[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 1804440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[7] + NET mprj_io_analog_en[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 2741440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[8] + NET mprj_io_analog_en[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 2979440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_en[9] + NET mprj_io_analog_en[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000652 3217440 ) N + LAYER met1 ( -652 -130 ) ( 652 130 ) ;
- mprj_io_analog_pol[0] + NET mprj_io_analog_pol[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 391970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[10] + NET mprj_io_analog_pol[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 3472970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[11] + NET mprj_io_analog_pol[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 3710970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[12] + NET mprj_io_analog_pol[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 3948970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[13] + NET mprj_io_analog_pol[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 4419970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[14] + NET mprj_io_analog_pol[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 4890970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[15] + NET mprj_io_analog_pol[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2805030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[16] + NET mprj_io_analog_pol[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2329030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[17] + NET mprj_io_analog_pol[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2089030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[18] + NET mprj_io_analog_pol[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1848030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[19] + NET mprj_io_analog_pol[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1372030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[1] + NET mprj_io_analog_pol[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 629970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[20] + NET mprj_io_analog_pol[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1132030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[21] + NET mprj_io_analog_pol[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 891030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[22] + NET mprj_io_analog_pol[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 651030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[23] + NET mprj_io_analog_pol[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 410030 5118402 ) N + LAYER met3 ( -165 -18402 ) ( 165 18402 ) ;
- mprj_io_analog_pol[24] + NET mprj_io_analog_pol[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 4918030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[25] + NET mprj_io_analog_pol[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 4022030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[26] + NET mprj_io_analog_pol[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 3794030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[27] + NET mprj_io_analog_pol[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 3566030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[28] + NET mprj_io_analog_pol[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 3338030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[29] + NET mprj_io_analog_pol[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 3111030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[2] + NET mprj_io_analog_pol[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 867970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[30] + NET mprj_io_analog_pol[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 2883030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[31] + NET mprj_io_analog_pol[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 2655030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[32] + NET mprj_io_analog_pol[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 1982030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[33] + NET mprj_io_analog_pol[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 1754030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[34] + NET mprj_io_analog_pol[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 1526030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[35] + NET mprj_io_analog_pol[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 1298030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[36] + NET mprj_io_analog_pol[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 1071030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[37] + NET mprj_io_analog_pol[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 181597 843030 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[3] + NET mprj_io_analog_pol[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 1105970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[4] + NET mprj_io_analog_pol[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 1343970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[5] + NET mprj_io_analog_pol[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 1581970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[6] + NET mprj_io_analog_pol[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 1820970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[7] + NET mprj_io_analog_pol[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 2757970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[8] + NET mprj_io_analog_pol[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 2995970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_pol[9] + NET mprj_io_analog_pol[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3018402 3233970 ) N + LAYER met3 ( -18402 -165 ) ( 18402 165 ) ;
- mprj_io_analog_sel[0] + NET mprj_io_analog_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 407120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[10] + NET mprj_io_analog_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 3488120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[11] + NET mprj_io_analog_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 3726120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[12] + NET mprj_io_analog_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 3964120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[13] + NET mprj_io_analog_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 4435120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[14] + NET mprj_io_analog_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 4906120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[15] + NET mprj_io_analog_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2789880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[16] + NET mprj_io_analog_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2313880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[17] + NET mprj_io_analog_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2073880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[18] + NET mprj_io_analog_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1832880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[19] + NET mprj_io_analog_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1356880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[1] + NET mprj_io_analog_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 645120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[20] + NET mprj_io_analog_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1116880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[21] + NET mprj_io_analog_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 875880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[22] + NET mprj_io_analog_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 635880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[23] + NET mprj_io_analog_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 394880 5101132 ) N + LAYER met2 ( -130 -1132 ) ( 130 1132 ) ;
- mprj_io_analog_sel[24] + NET mprj_io_analog_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 4902880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[25] + NET mprj_io_analog_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 4006880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[26] + NET mprj_io_analog_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 3778880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[27] + NET mprj_io_analog_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 3550880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[28] + NET mprj_io_analog_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 3322880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[29] + NET mprj_io_analog_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 3095880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[2] + NET mprj_io_analog_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 883120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[30] + NET mprj_io_analog_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 2867880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[31] + NET mprj_io_analog_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 2639880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[32] + NET mprj_io_analog_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 1966880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[33] + NET mprj_io_analog_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 1738880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[34] + NET mprj_io_analog_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 1510880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[35] + NET mprj_io_analog_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 1282880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[36] + NET mprj_io_analog_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 1055880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[37] + NET mprj_io_analog_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198867 827880 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[3] + NET mprj_io_analog_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 1121120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[4] + NET mprj_io_analog_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 1359120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[5] + NET mprj_io_analog_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 1597120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[6] + NET mprj_io_analog_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 1836120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[7] + NET mprj_io_analog_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 2773120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[8] + NET mprj_io_analog_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 3011120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_analog_sel[9] + NET mprj_io_analog_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001132 3249120 ) N + LAYER met2 ( -1132 -130 ) ( 1132 130 ) ;
- mprj_io_dm[0] + NET mprj_io_dm[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 388015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[100] + NET mprj_io_dm[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 1774965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[101] + NET mprj_io_dm[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 1736620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[102] + NET mprj_io_dm[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 1529985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[103] + NET mprj_io_dm[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 1546965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[104] + NET mprj_io_dm[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 1508620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[105] + NET mprj_io_dm[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 1301985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[106] + NET mprj_io_dm[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 1318965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[107] + NET mprj_io_dm[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 1280620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[108] + NET mprj_io_dm[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 1074985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[109] + NET mprj_io_dm[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 1091965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[10] + NET mprj_io_dm[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 1085035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[110] + NET mprj_io_dm[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 1053620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[111] + NET mprj_io_dm[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 846985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[112] + NET mprj_io_dm[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 863965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[113] + NET mprj_io_dm[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 825620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[11] + NET mprj_io_dm[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 1123380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[12] + NET mprj_io_dm[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 1340015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[13] + NET mprj_io_dm[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 1323035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[14] + NET mprj_io_dm[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 1361380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[15] + NET mprj_io_dm[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 1578015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[16] + NET mprj_io_dm[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 1561035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[17] + NET mprj_io_dm[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 1599380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[18] + NET mprj_io_dm[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 1817015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[19] + NET mprj_io_dm[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 1800035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[1] + NET mprj_io_dm[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 371035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[20] + NET mprj_io_dm[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 1838380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[21] + NET mprj_io_dm[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 2754015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[22] + NET mprj_io_dm[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 2737035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[23] + NET mprj_io_dm[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 2775380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[24] + NET mprj_io_dm[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 2992015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[25] + NET mprj_io_dm[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 2975035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[26] + NET mprj_io_dm[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 3013380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[27] + NET mprj_io_dm[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 3230015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[28] + NET mprj_io_dm[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 3213035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[29] + NET mprj_io_dm[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 3251380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[2] + NET mprj_io_dm[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 409380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[30] + NET mprj_io_dm[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 3469015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[31] + NET mprj_io_dm[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 3452035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[32] + NET mprj_io_dm[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 3490380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[33] + NET mprj_io_dm[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 3707015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[34] + NET mprj_io_dm[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 3690035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[35] + NET mprj_io_dm[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 3728380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[36] + NET mprj_io_dm[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 3945015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[37] + NET mprj_io_dm[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 3928035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[38] + NET mprj_io_dm[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 3966380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[39] + NET mprj_io_dm[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 4416015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[3] + NET mprj_io_dm[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 626015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[40] + NET mprj_io_dm[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 4399035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[41] + NET mprj_io_dm[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 4437380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[42] + NET mprj_io_dm[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 4887015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[43] + NET mprj_io_dm[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 4870035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[44] + NET mprj_io_dm[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 4908380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[45] + NET mprj_io_dm[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2808985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[46] + NET mprj_io_dm[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2825965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[47] + NET mprj_io_dm[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2787620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[48] + NET mprj_io_dm[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2332985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[49] + NET mprj_io_dm[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2349965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[4] + NET mprj_io_dm[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 609035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[50] + NET mprj_io_dm[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2311620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[51] + NET mprj_io_dm[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2092985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[52] + NET mprj_io_dm[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2109965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[53] + NET mprj_io_dm[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2071620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[54] + NET mprj_io_dm[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1851985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[55] + NET mprj_io_dm[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1868965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[56] + NET mprj_io_dm[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1830620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[57] + NET mprj_io_dm[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1375985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[58] + NET mprj_io_dm[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1392965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[59] + NET mprj_io_dm[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1354620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[5] + NET mprj_io_dm[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 647380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[60] + NET mprj_io_dm[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1135985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[61] + NET mprj_io_dm[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1152965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[62] + NET mprj_io_dm[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[63] + NET mprj_io_dm[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 894985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[64] + NET mprj_io_dm[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 911965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[65] + NET mprj_io_dm[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 873620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[66] + NET mprj_io_dm[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 654985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[67] + NET mprj_io_dm[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 671965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[68] + NET mprj_io_dm[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 633620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[69] + NET mprj_io_dm[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 413985 5100272 ) N + LAYER met2 ( -130 -272 ) ( 130 272 ) ;
- mprj_io_dm[6] + NET mprj_io_dm[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 864015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[70] + NET mprj_io_dm[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 430965 5100597 ) N + LAYER met2 ( -130 -597 ) ( 130 597 ) ;
- mprj_io_dm[71] + NET mprj_io_dm[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 392620 5102035 ) N + LAYER met2 ( -130 -2035 ) ( 130 2035 ) ;
- mprj_io_dm[72] + NET mprj_io_dm[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 4921985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[73] + NET mprj_io_dm[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 4938965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[74] + NET mprj_io_dm[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 4900620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[75] + NET mprj_io_dm[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 4025985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[76] + NET mprj_io_dm[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 4042965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[77] + NET mprj_io_dm[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 4004620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[78] + NET mprj_io_dm[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 3797985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[79] + NET mprj_io_dm[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 3814965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[7] + NET mprj_io_dm[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000597 847035 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[80] + NET mprj_io_dm[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 3776620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[81] + NET mprj_io_dm[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 3569985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[82] + NET mprj_io_dm[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 3586965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[83] + NET mprj_io_dm[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 3548620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[84] + NET mprj_io_dm[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 3341985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[85] + NET mprj_io_dm[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 3358965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[86] + NET mprj_io_dm[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 3320620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[87] + NET mprj_io_dm[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 3114985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[88] + NET mprj_io_dm[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 3131965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[89] + NET mprj_io_dm[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 3093620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[8] + NET mprj_io_dm[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002035 885380 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[90] + NET mprj_io_dm[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 2886985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[91] + NET mprj_io_dm[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 2903965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[92] + NET mprj_io_dm[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 2865620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[93] + NET mprj_io_dm[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 2658985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[94] + NET mprj_io_dm[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 2675965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[95] + NET mprj_io_dm[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 2637620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[96] + NET mprj_io_dm[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 1985985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[97] + NET mprj_io_dm[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199402 2002965 ) N + LAYER met2 ( -597 -130 ) ( 597 130 ) ;
- mprj_io_dm[98] + NET mprj_io_dm[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197965 1964620 ) N + LAYER met2 ( -2035 -130 ) ( 2035 130 ) ;
- mprj_io_dm[99] + NET mprj_io_dm[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199727 1757985 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_dm[9] + NET mprj_io_dm[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000272 1102015 ) N + LAYER met2 ( -272 -130 ) ( 272 130 ) ;
- mprj_io_enh[0] + NET mprj_io_enh[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 402410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[10] + NET mprj_io_enh[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3483410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[11] + NET mprj_io_enh[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3721410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[12] + NET mprj_io_enh[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3959410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[13] + NET mprj_io_enh[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 4430410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[14] + NET mprj_io_enh[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 4901410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[15] + NET mprj_io_enh[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2794590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[16] + NET mprj_io_enh[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2318590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[17] + NET mprj_io_enh[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2078590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[18] + NET mprj_io_enh[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1837590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[19] + NET mprj_io_enh[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1361590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[1] + NET mprj_io_enh[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 640410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[20] + NET mprj_io_enh[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1121590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[21] + NET mprj_io_enh[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 880590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[22] + NET mprj_io_enh[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 640590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[23] + NET mprj_io_enh[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 399590 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_enh[24] + NET mprj_io_enh[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 4907590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[25] + NET mprj_io_enh[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 4011590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[26] + NET mprj_io_enh[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3783590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[27] + NET mprj_io_enh[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3555590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[28] + NET mprj_io_enh[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3327590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[29] + NET mprj_io_enh[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3100590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[2] + NET mprj_io_enh[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 878410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[30] + NET mprj_io_enh[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 2872590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[31] + NET mprj_io_enh[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 2644590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[32] + NET mprj_io_enh[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1971590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[33] + NET mprj_io_enh[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1743590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[34] + NET mprj_io_enh[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1515590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[35] + NET mprj_io_enh[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1287590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[36] + NET mprj_io_enh[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1060590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[37] + NET mprj_io_enh[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 832590 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[3] + NET mprj_io_enh[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1116410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[4] + NET mprj_io_enh[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1354410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[5] + NET mprj_io_enh[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1592410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[6] + NET mprj_io_enh[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1831410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[7] + NET mprj_io_enh[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 2768410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[8] + NET mprj_io_enh[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3006410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_enh[9] + NET mprj_io_enh[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3244410 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_hldh_n[0] + NET mprj_io_hldh_n[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 406055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[10] + NET mprj_io_hldh_n[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 3487055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[11] + NET mprj_io_hldh_n[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 3725055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[12] + NET mprj_io_hldh_n[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 3963055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[13] + NET mprj_io_hldh_n[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 4434055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[14] + NET mprj_io_hldh_n[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 4905055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[15] + NET mprj_io_hldh_n[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2790945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[16] + NET mprj_io_hldh_n[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2314945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[17] + NET mprj_io_hldh_n[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2074945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[18] + NET mprj_io_hldh_n[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1833945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[19] + NET mprj_io_hldh_n[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1357945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[1] + NET mprj_io_hldh_n[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 644055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[20] + NET mprj_io_hldh_n[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1117945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[21] + NET mprj_io_hldh_n[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 876945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[22] + NET mprj_io_hldh_n[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 636945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[23] + NET mprj_io_hldh_n[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 395945 5101670 ) N + LAYER met2 ( -130 -1670 ) ( 130 1670 ) ;
- mprj_io_hldh_n[24] + NET mprj_io_hldh_n[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 4903945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[25] + NET mprj_io_hldh_n[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 4007945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[26] + NET mprj_io_hldh_n[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 3779945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[27] + NET mprj_io_hldh_n[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 3551945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[28] + NET mprj_io_hldh_n[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 3323945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[29] + NET mprj_io_hldh_n[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 3096945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[2] + NET mprj_io_hldh_n[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 882055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[30] + NET mprj_io_hldh_n[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 2868945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[31] + NET mprj_io_hldh_n[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 2640945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[32] + NET mprj_io_hldh_n[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 1967945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[33] + NET mprj_io_hldh_n[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 1739945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[34] + NET mprj_io_hldh_n[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 1511945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[35] + NET mprj_io_hldh_n[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 1283945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[36] + NET mprj_io_hldh_n[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 1056945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[37] + NET mprj_io_hldh_n[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198330 828945 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[3] + NET mprj_io_hldh_n[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 1120055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[4] + NET mprj_io_hldh_n[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 1358055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[5] + NET mprj_io_hldh_n[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 1596055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[6] + NET mprj_io_hldh_n[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 1835055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[7] + NET mprj_io_hldh_n[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 2772055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[8] + NET mprj_io_hldh_n[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 3010055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_hldh_n[9] + NET mprj_io_hldh_n[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001670 3248055 ) N + LAYER met2 ( -1670 -130 ) ( 1670 130 ) ;
- mprj_io_holdover[0] + NET mprj_io_holdover[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 411270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[10] + NET mprj_io_holdover[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 3492270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[11] + NET mprj_io_holdover[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 3730270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[12] + NET mprj_io_holdover[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 3968270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[13] + NET mprj_io_holdover[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 4439270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[14] + NET mprj_io_holdover[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 4910270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[15] + NET mprj_io_holdover[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2785730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[16] + NET mprj_io_holdover[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2309730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[17] + NET mprj_io_holdover[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2069730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[18] + NET mprj_io_holdover[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1828730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[19] + NET mprj_io_holdover[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1352730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[1] + NET mprj_io_holdover[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 649270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[20] + NET mprj_io_holdover[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1112730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[21] + NET mprj_io_holdover[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 871730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[22] + NET mprj_io_holdover[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 631730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[23] + NET mprj_io_holdover[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 390730 5101352 ) N + LAYER met2 ( -130 -1352 ) ( 130 1352 ) ;
- mprj_io_holdover[24] + NET mprj_io_holdover[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 4898730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[25] + NET mprj_io_holdover[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 4002730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[26] + NET mprj_io_holdover[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 3774730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[27] + NET mprj_io_holdover[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 3546730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[28] + NET mprj_io_holdover[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 3318730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[29] + NET mprj_io_holdover[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 3091730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[2] + NET mprj_io_holdover[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 887270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[30] + NET mprj_io_holdover[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 2863730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[31] + NET mprj_io_holdover[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 2635730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[32] + NET mprj_io_holdover[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 1962730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[33] + NET mprj_io_holdover[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 1734730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[34] + NET mprj_io_holdover[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 1506730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[35] + NET mprj_io_holdover[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 1278730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[36] + NET mprj_io_holdover[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 1051730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[37] + NET mprj_io_holdover[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 198647 823730 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[3] + NET mprj_io_holdover[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 1125270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[4] + NET mprj_io_holdover[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 1363270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[5] + NET mprj_io_holdover[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 1601270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[6] + NET mprj_io_holdover[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 1840270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[7] + NET mprj_io_holdover[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 2777270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[8] + NET mprj_io_holdover[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 3015270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_holdover[9] + NET mprj_io_holdover[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3001352 3253270 ) N + LAYER met2 ( -1352 -130 ) ( 1352 130 ) ;
- mprj_io_ib_mode_sel[0] + NET mprj_io_ib_mode_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 432465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[10] + NET mprj_io_ib_mode_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3513465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[11] + NET mprj_io_ib_mode_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3751465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[12] + NET mprj_io_ib_mode_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3989465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[13] + NET mprj_io_ib_mode_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 4460465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[14] + NET mprj_io_ib_mode_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 4931465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[15] + NET mprj_io_ib_mode_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2764535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[16] + NET mprj_io_ib_mode_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2288535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[17] + NET mprj_io_ib_mode_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2048535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[18] + NET mprj_io_ib_mode_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1807535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[19] + NET mprj_io_ib_mode_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1331535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[1] + NET mprj_io_ib_mode_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 670465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[20] + NET mprj_io_ib_mode_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1091535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[21] + NET mprj_io_ib_mode_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 850535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[22] + NET mprj_io_ib_mode_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 610535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[23] + NET mprj_io_ib_mode_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 369535 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_ib_mode_sel[24] + NET mprj_io_ib_mode_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 4877535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[25] + NET mprj_io_ib_mode_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3981535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[26] + NET mprj_io_ib_mode_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3753535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[27] + NET mprj_io_ib_mode_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3525535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[28] + NET mprj_io_ib_mode_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3297535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[29] + NET mprj_io_ib_mode_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3070535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[2] + NET mprj_io_ib_mode_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 908465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[30] + NET mprj_io_ib_mode_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 2842535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[31] + NET mprj_io_ib_mode_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 2614535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[32] + NET mprj_io_ib_mode_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1941535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[33] + NET mprj_io_ib_mode_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1713535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[34] + NET mprj_io_ib_mode_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1485535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[35] + NET mprj_io_ib_mode_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1257535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[36] + NET mprj_io_ib_mode_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1030535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[37] + NET mprj_io_ib_mode_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 802535 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[3] + NET mprj_io_ib_mode_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1146465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[4] + NET mprj_io_ib_mode_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1384465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[5] + NET mprj_io_ib_mode_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1622465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[6] + NET mprj_io_ib_mode_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1861465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[7] + NET mprj_io_ib_mode_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 2798465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[8] + NET mprj_io_ib_mode_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3036465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_ib_mode_sel[9] + NET mprj_io_ib_mode_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3274465 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_in[0] + NET mprj_io_in[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 358595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[10] + NET mprj_io_in[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 3439595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[11] + NET mprj_io_in[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 3677595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[12] + NET mprj_io_in[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 3915595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[13] + NET mprj_io_in[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 4386595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[14] + NET mprj_io_in[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 4857595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[15] + NET mprj_io_in[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2838405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[16] + NET mprj_io_in[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2362405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[17] + NET mprj_io_in[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2122405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[18] + NET mprj_io_in[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1881405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[19] + NET mprj_io_in[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1405405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[1] + NET mprj_io_in[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 596595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[20] + NET mprj_io_in[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1165405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[21] + NET mprj_io_in[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 924405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[22] + NET mprj_io_in[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 684405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[23] + NET mprj_io_in[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 443405 5194780 ) N + LAYER met3 ( -165 -94780 ) ( 165 94780 ) ;
- mprj_io_in[24] + NET mprj_io_in[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 4951405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[25] + NET mprj_io_in[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 4055405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[26] + NET mprj_io_in[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 3827405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[27] + NET mprj_io_in[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 3599405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[28] + NET mprj_io_in[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 3371405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[29] + NET mprj_io_in[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 3144405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[2] + NET mprj_io_in[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 834595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[30] + NET mprj_io_in[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 2916405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[31] + NET mprj_io_in[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 2688405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[32] + NET mprj_io_in[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 2015405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[33] + NET mprj_io_in[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 1787405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[34] + NET mprj_io_in[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 1559405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[35] + NET mprj_io_in[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 1331405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[36] + NET mprj_io_in[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 1104405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[37] + NET mprj_io_in[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 105220 876405 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[3] + NET mprj_io_in[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 1072595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[4] + NET mprj_io_in[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 1310595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[5] + NET mprj_io_in[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 1548595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[6] + NET mprj_io_in[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 1787595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[7] + NET mprj_io_in[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 2724595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[8] + NET mprj_io_in[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 2962595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_in[9] + NET mprj_io_in[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3094780 3200595 ) N + LAYER met3 ( -94780 -165 ) ( 94780 165 ) ;
- mprj_io_inp_dis[0] + NET mprj_io_inp_dis[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 392625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[10] + NET mprj_io_inp_dis[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 3473625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[11] + NET mprj_io_inp_dis[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 3711625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[12] + NET mprj_io_inp_dis[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 3949625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[13] + NET mprj_io_inp_dis[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 4420625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[14] + NET mprj_io_inp_dis[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 4891625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[15] + NET mprj_io_inp_dis[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2804375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[16] + NET mprj_io_inp_dis[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2328375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[17] + NET mprj_io_inp_dis[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2088375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[18] + NET mprj_io_inp_dis[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1847375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[19] + NET mprj_io_inp_dis[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1371375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[1] + NET mprj_io_inp_dis[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 630625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[20] + NET mprj_io_inp_dis[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1131375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[21] + NET mprj_io_inp_dis[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 890375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[22] + NET mprj_io_inp_dis[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 650375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[23] + NET mprj_io_inp_dis[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 409375 5102545 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- mprj_io_inp_dis[24] + NET mprj_io_inp_dis[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 4917375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[25] + NET mprj_io_inp_dis[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 4021375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[26] + NET mprj_io_inp_dis[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 3793375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[27] + NET mprj_io_inp_dis[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 3565375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[28] + NET mprj_io_inp_dis[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 3337375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[29] + NET mprj_io_inp_dis[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 3110375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[2] + NET mprj_io_inp_dis[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 868625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[30] + NET mprj_io_inp_dis[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 2882375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[31] + NET mprj_io_inp_dis[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 2654375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[32] + NET mprj_io_inp_dis[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 1981375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[33] + NET mprj_io_inp_dis[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 1753375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[34] + NET mprj_io_inp_dis[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 1525375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[35] + NET mprj_io_inp_dis[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 1297375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[36] + NET mprj_io_inp_dis[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 1070375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[37] + NET mprj_io_inp_dis[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197455 842375 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[3] + NET mprj_io_inp_dis[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 1106625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[4] + NET mprj_io_inp_dis[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 1344625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[5] + NET mprj_io_inp_dis[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 1582625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[6] + NET mprj_io_inp_dis[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 1821625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[7] + NET mprj_io_inp_dis[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 2758625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[8] + NET mprj_io_inp_dis[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 2996625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_inp_dis[9] + NET mprj_io_inp_dis[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002545 3234625 ) N + LAYER met2 ( -2545 -130 ) ( 2545 130 ) ;
- mprj_io_oeb[0] + NET mprj_io_oeb[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 434510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[10] + NET mprj_io_oeb[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3515510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[11] + NET mprj_io_oeb[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3753510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[12] + NET mprj_io_oeb[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3991510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[13] + NET mprj_io_oeb[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 4462510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[14] + NET mprj_io_oeb[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 4933510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[15] + NET mprj_io_oeb[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2762490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[16] + NET mprj_io_oeb[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2286490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[17] + NET mprj_io_oeb[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2046490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[18] + NET mprj_io_oeb[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1805490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[19] + NET mprj_io_oeb[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1329490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[1] + NET mprj_io_oeb[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 672510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[20] + NET mprj_io_oeb[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1089490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[21] + NET mprj_io_oeb[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 848490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[22] + NET mprj_io_oeb[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 608490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[23] + NET mprj_io_oeb[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 367490 5102237 ) N + LAYER met2 ( -115 -2237 ) ( 115 2237 ) ;
- mprj_io_oeb[24] + NET mprj_io_oeb[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 4875490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[25] + NET mprj_io_oeb[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3979490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[26] + NET mprj_io_oeb[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3751490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[27] + NET mprj_io_oeb[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3523490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[28] + NET mprj_io_oeb[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3295490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[29] + NET mprj_io_oeb[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 3068490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[2] + NET mprj_io_oeb[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 910510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[30] + NET mprj_io_oeb[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 2840490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[31] + NET mprj_io_oeb[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 2612490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[32] + NET mprj_io_oeb[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1939490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[33] + NET mprj_io_oeb[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1711490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[34] + NET mprj_io_oeb[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1483490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[35] + NET mprj_io_oeb[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1255490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[36] + NET mprj_io_oeb[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 1028490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[37] + NET mprj_io_oeb[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 197762 800490 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[3] + NET mprj_io_oeb[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1148510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[4] + NET mprj_io_oeb[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1386510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[5] + NET mprj_io_oeb[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1624510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[6] + NET mprj_io_oeb[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 1863510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[7] + NET mprj_io_oeb[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 2800510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[8] + NET mprj_io_oeb[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3038510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_oeb[9] + NET mprj_io_oeb[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3002237 3276510 ) N + LAYER met2 ( -2237 -115 ) ( 2237 115 ) ;
- mprj_io_out[0] + NET mprj_io_out[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 415515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[10] + NET mprj_io_out[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 3496515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[11] + NET mprj_io_out[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 3734515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[12] + NET mprj_io_out[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 3972515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[13] + NET mprj_io_out[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 4443515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[14] + NET mprj_io_out[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 4914515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[15] + NET mprj_io_out[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2781485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[16] + NET mprj_io_out[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2305485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[17] + NET mprj_io_out[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2065485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[18] + NET mprj_io_out[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1824485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[19] + NET mprj_io_out[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1348485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[1] + NET mprj_io_out[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 653515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[20] + NET mprj_io_out[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1108485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[21] + NET mprj_io_out[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 867485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[22] + NET mprj_io_out[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 627485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[23] + NET mprj_io_out[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 386485 5103212 ) N + LAYER met2 ( -130 -3212 ) ( 130 3212 ) ;
- mprj_io_out[24] + NET mprj_io_out[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 4894485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[25] + NET mprj_io_out[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 3998485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[26] + NET mprj_io_out[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 3770485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[27] + NET mprj_io_out[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 3542485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[28] + NET mprj_io_out[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 3314485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[29] + NET mprj_io_out[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 3087485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[2] + NET mprj_io_out[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 891515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[30] + NET mprj_io_out[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 2859485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[31] + NET mprj_io_out[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 2631485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[32] + NET mprj_io_out[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 1958485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[33] + NET mprj_io_out[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 1730485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[34] + NET mprj_io_out[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 1502485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[35] + NET mprj_io_out[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 1274485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[36] + NET mprj_io_out[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 1047485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[37] + NET mprj_io_out[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 196787 819485 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[3] + NET mprj_io_out[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 1129515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[4] + NET mprj_io_out[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 1367515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[5] + NET mprj_io_out[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 1605515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[6] + NET mprj_io_out[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 1844515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[7] + NET mprj_io_out[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 2781515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[8] + NET mprj_io_out[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 3019515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_out[9] + NET mprj_io_out[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3003212 3257515 ) N + LAYER met2 ( -3212 -130 ) ( 3212 130 ) ;
- mprj_io_slow_sel[0] + NET mprj_io_slow_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 360260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[10] + NET mprj_io_slow_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 3441260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[11] + NET mprj_io_slow_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 3679260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[12] + NET mprj_io_slow_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 3917260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[13] + NET mprj_io_slow_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 4388260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[14] + NET mprj_io_slow_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 4859260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[15] + NET mprj_io_slow_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2836740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[16] + NET mprj_io_slow_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2360740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[17] + NET mprj_io_slow_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2120740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[18] + NET mprj_io_slow_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1879740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[19] + NET mprj_io_slow_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1403740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[1] + NET mprj_io_slow_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 598260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[20] + NET mprj_io_slow_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1163740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[21] + NET mprj_io_slow_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 922740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[22] + NET mprj_io_slow_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 682740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[23] + NET mprj_io_slow_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 441740 5100592 ) N + LAYER met2 ( -130 -592 ) ( 130 592 ) ;
- mprj_io_slow_sel[24] + NET mprj_io_slow_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 4949740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[25] + NET mprj_io_slow_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 4053740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[26] + NET mprj_io_slow_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 3825740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[27] + NET mprj_io_slow_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 3597740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[28] + NET mprj_io_slow_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 3369740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[29] + NET mprj_io_slow_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 3142740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[2] + NET mprj_io_slow_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 836260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[30] + NET mprj_io_slow_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 2914740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[31] + NET mprj_io_slow_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 2686740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[32] + NET mprj_io_slow_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 2013740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[33] + NET mprj_io_slow_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 1785740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[34] + NET mprj_io_slow_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 1557740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[35] + NET mprj_io_slow_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 1329740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[36] + NET mprj_io_slow_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 1102740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[37] + NET mprj_io_slow_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199407 874740 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[3] + NET mprj_io_slow_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 1074260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[4] + NET mprj_io_slow_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 1312260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[5] + NET mprj_io_slow_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 1550260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[6] + NET mprj_io_slow_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 1789260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[7] + NET mprj_io_slow_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 2726260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[8] + NET mprj_io_slow_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 2964260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_slow_sel[9] + NET mprj_io_slow_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000592 3202260 ) N + LAYER met2 ( -592 -130 ) ( 592 130 ) ;
- mprj_io_vtrip_sel[0] + NET mprj_io_vtrip_sel[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 431740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[10] + NET mprj_io_vtrip_sel[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3512740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[11] + NET mprj_io_vtrip_sel[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3750740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[12] + NET mprj_io_vtrip_sel[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3988740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[13] + NET mprj_io_vtrip_sel[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 4459740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[14] + NET mprj_io_vtrip_sel[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 4930740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[15] + NET mprj_io_vtrip_sel[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2765260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[16] + NET mprj_io_vtrip_sel[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2289260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[17] + NET mprj_io_vtrip_sel[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2049260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[18] + NET mprj_io_vtrip_sel[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1808260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[19] + NET mprj_io_vtrip_sel[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1332260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[1] + NET mprj_io_vtrip_sel[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 669740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[20] + NET mprj_io_vtrip_sel[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1092260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[21] + NET mprj_io_vtrip_sel[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 851260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[22] + NET mprj_io_vtrip_sel[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 611260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[23] + NET mprj_io_vtrip_sel[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 370260 5100775 ) N + LAYER met2 ( -130 -775 ) ( 130 775 ) ;
- mprj_io_vtrip_sel[24] + NET mprj_io_vtrip_sel[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 4878260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[25] + NET mprj_io_vtrip_sel[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3982260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[26] + NET mprj_io_vtrip_sel[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3754260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[27] + NET mprj_io_vtrip_sel[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3526260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[28] + NET mprj_io_vtrip_sel[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3298260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[29] + NET mprj_io_vtrip_sel[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 3071260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[2] + NET mprj_io_vtrip_sel[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 907740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[30] + NET mprj_io_vtrip_sel[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 2843260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[31] + NET mprj_io_vtrip_sel[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 2615260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[32] + NET mprj_io_vtrip_sel[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1942260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[33] + NET mprj_io_vtrip_sel[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1714260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[34] + NET mprj_io_vtrip_sel[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1486260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[35] + NET mprj_io_vtrip_sel[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1258260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[36] + NET mprj_io_vtrip_sel[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 1031260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[37] + NET mprj_io_vtrip_sel[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 199225 803260 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[3] + NET mprj_io_vtrip_sel[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1145740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[4] + NET mprj_io_vtrip_sel[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1383740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[5] + NET mprj_io_vtrip_sel[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1621740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[6] + NET mprj_io_vtrip_sel[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 1860740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[7] + NET mprj_io_vtrip_sel[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 2797740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[8] + NET mprj_io_vtrip_sel[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3035740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- mprj_io_vtrip_sel[9] + NET mprj_io_vtrip_sel[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 3000775 3273740 ) N + LAYER met2 ( -775 -130 ) ( 775 130 ) ;
- por + NET por + DIRECTION INPUT + USE SIGNAL + PLACED ( 869625 197455 ) N + LAYER met2 ( -130 -2545 ) ( 130 2545 ) ;
- porb_h + NET porb_h + DIRECTION INPUT + USE SIGNAL + PLACED ( 660585 199265 ) N + LAYER met2 ( -130 -735 ) ( 130 735 ) ;
- resetb + NET resetb + DIRECTION INPUT + USE SIGNAL + PLACED ( 635550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- resetb_core_h + NET resetb_core_h + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 643700 195077 ) N + LAYER met3 ( -365 -4922 ) ( 365 4922 ) ;
- vccd + NET vccd + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 388635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135855 4661365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 4686635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vdda + NET vdda + DIRECTION INOUT + USE SIGNAL + PLACED ( 2796550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135317 4190550 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 2423450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vddio + NET vddio + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 611450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssa + NET vssa + DIRECTION INOUT + USE SIGNAL + PLACED ( 398550 64682 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2561450 5235317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64682 4240450 ) N + LAYER met5 ( -31307 -31350 ) ( 31307 31350 ) ;
- vssd + NET vssd + DIRECTION INOUT + USE SIGNAL + PLACED ( 1114365 64145 ) N + LAYER met5 ( -28320 -33715 ) ( 28320 33715 ) ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 3135855 2295365 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 64145 2200635 ) N + LAYER met5 ( -33715 -28320 ) ( 33715 28320 ) ;
- vssio + NET vssio + DIRECTION INOUT + USE SIGNAL + PLACED ( 1604450 5235317 ) N + LAYER met5 ( -31350 -31307 ) ( 31350 31307 ) ;
END PINS
NETS 740 ;
- clock ( PIN clock ) ( clock_pad PAD ) + USE SIGNAL ;
- clock_core ( PIN clock_core ) ( clock_pad IN ) + USE SIGNAL ;
- flash_clk ( PIN flash_clk ) ( flash_clk_pad PAD ) + USE SIGNAL ;
- flash_clk_core ( PIN flash_clk_core ) ( flash_clk_pad OUT ) + USE SIGNAL ;
- flash_clk_ieb_core ( PIN flash_clk_ieb_core ) ( flash_clk_pad INP_DIS ) + USE SIGNAL ;
- flash_clk_oeb_core ( PIN flash_clk_oeb_core ) ( flash_clk_pad OE_N ) + USE SIGNAL ;
- flash_csb ( PIN flash_csb ) ( flash_csb_pad PAD ) + USE SIGNAL ;
- flash_csb_core ( PIN flash_csb_core ) ( flash_csb_pad OUT ) + USE SIGNAL ;
- flash_csb_ieb_core ( PIN flash_csb_ieb_core ) ( flash_csb_pad INP_DIS ) + USE SIGNAL ;
- flash_csb_oeb_core ( PIN flash_csb_oeb_core ) ( flash_csb_pad OE_N ) + USE SIGNAL ;
- flash_io0 ( PIN flash_io0 ) ( flash_io0_pad PAD ) + USE SIGNAL ;
- flash_io0_di_core ( PIN flash_io0_di_core ) ( flash_io0_pad IN ) + USE SIGNAL ;
- flash_io0_do_core ( PIN flash_io0_do_core ) ( flash_io0_pad OUT ) + USE SIGNAL ;
- flash_io0_ieb_core ( PIN flash_io0_ieb_core ) ( flash_io0_pad INP_DIS ) ( flash_io0_pad DM[2] ) ( flash_io0_pad DM[1] ) + USE SIGNAL ;
- flash_io0_oeb_core ( PIN flash_io0_oeb_core ) ( flash_io0_pad OE_N ) ( flash_io0_pad DM[0] ) + USE SIGNAL ;
- flash_io1 ( PIN flash_io1 ) ( flash_io1_pad PAD ) + USE SIGNAL ;
- flash_io1_di_core ( PIN flash_io1_di_core ) ( flash_io1_pad IN ) + USE SIGNAL ;
- flash_io1_do_core ( PIN flash_io1_do_core ) ( flash_io1_pad OUT ) + USE SIGNAL ;
- flash_io1_ieb_core ( PIN flash_io1_ieb_core ) ( flash_io1_pad INP_DIS ) ( flash_io1_pad DM[2] ) ( flash_io1_pad DM[1] ) + USE SIGNAL ;
- flash_io1_oeb_core ( PIN flash_io1_oeb_core ) ( flash_io1_pad OE_N ) ( flash_io1_pad DM[0] ) + USE SIGNAL ;
- gpio ( PIN gpio ) ( gpio_pad PAD ) + USE SIGNAL ;
- gpio_in_core ( PIN gpio_in_core ) ( gpio_pad IN ) + USE SIGNAL ;
- gpio_inenb_core ( PIN gpio_inenb_core ) ( gpio_pad INP_DIS ) + USE SIGNAL ;
- gpio_mode0_core ( PIN gpio_mode0_core ) ( gpio_pad DM[0] ) + USE SIGNAL ;
- gpio_mode1_core ( PIN gpio_mode1_core ) ( gpio_pad DM[2] ) ( gpio_pad DM[1] ) + USE SIGNAL ;
- gpio_out_core ( PIN gpio_out_core ) ( gpio_pad OUT ) + USE SIGNAL ;
- gpio_outenb_core ( PIN gpio_outenb_core ) ( gpio_pad OE_N ) + USE SIGNAL ;
- mprj_io[0] ( PIN mprj_io[0] ) ( mprj_pads/area1_io_pad\[0\] PAD ) + USE SIGNAL ;
- mprj_io[10] ( PIN mprj_io[10] ) ( mprj_pads/area1_io_pad\[10\] PAD ) + USE SIGNAL ;
- mprj_io[11] ( PIN mprj_io[11] ) ( mprj_pads/area1_io_pad\[11\] PAD ) + USE SIGNAL ;
- mprj_io[12] ( PIN mprj_io[12] ) ( mprj_pads/area1_io_pad\[12\] PAD ) + USE SIGNAL ;
- mprj_io[13] ( PIN mprj_io[13] ) ( mprj_pads/area1_io_pad\[13\] PAD ) + USE SIGNAL ;
- mprj_io[14] ( PIN mprj_io[14] ) ( mprj_pads/area1_io_pad\[14\] PAD ) + USE SIGNAL ;
- mprj_io[15] ( PIN mprj_io[15] ) ( mprj_pads/area1_io_pad\[15\] PAD ) + USE SIGNAL ;
- mprj_io[16] ( PIN mprj_io[16] ) ( mprj_pads/area1_io_pad\[16\] PAD ) + USE SIGNAL ;
- mprj_io[17] ( PIN mprj_io[17] ) ( mprj_pads/area1_io_pad\[17\] PAD ) + USE SIGNAL ;
- mprj_io[18] ( PIN mprj_io[18] ) ( mprj_pads/area2_io_pad\[0\] PAD ) + USE SIGNAL ;
- mprj_io[19] ( PIN mprj_io[19] ) ( mprj_pads/area2_io_pad\[1\] PAD ) + USE SIGNAL ;
- mprj_io[1] ( PIN mprj_io[1] ) ( mprj_pads/area1_io_pad\[1\] PAD ) + USE SIGNAL ;
- mprj_io[20] ( PIN mprj_io[20] ) ( mprj_pads/area2_io_pad\[2\] PAD ) + USE SIGNAL ;
- mprj_io[21] ( PIN mprj_io[21] ) ( mprj_pads/area2_io_pad\[3\] PAD ) + USE SIGNAL ;
- mprj_io[22] ( PIN mprj_io[22] ) ( mprj_pads/area2_io_pad\[4\] PAD ) + USE SIGNAL ;
- mprj_io[23] ( PIN mprj_io[23] ) ( mprj_pads/area2_io_pad\[5\] PAD ) + USE SIGNAL ;
- mprj_io[24] ( PIN mprj_io[24] ) ( mprj_pads/area2_io_pad\[6\] PAD ) + USE SIGNAL ;
- mprj_io[25] ( PIN mprj_io[25] ) ( mprj_pads/area2_io_pad\[7\] PAD ) + USE SIGNAL ;
- mprj_io[26] ( PIN mprj_io[26] ) ( mprj_pads/area2_io_pad\[8\] PAD ) + USE SIGNAL ;
- mprj_io[27] ( PIN mprj_io[27] ) ( mprj_pads/area2_io_pad\[9\] PAD ) + USE SIGNAL ;
- mprj_io[28] ( PIN mprj_io[28] ) ( mprj_pads/area2_io_pad\[10\] PAD ) + USE SIGNAL ;
- mprj_io[29] ( PIN mprj_io[29] ) ( mprj_pads/area2_io_pad\[11\] PAD ) + USE SIGNAL ;
- mprj_io[2] ( PIN mprj_io[2] ) ( mprj_pads/area1_io_pad\[2\] PAD ) + USE SIGNAL ;
- mprj_io[30] ( PIN mprj_io[30] ) ( mprj_pads/area2_io_pad\[12\] PAD ) + USE SIGNAL ;
- mprj_io[31] ( PIN mprj_io[31] ) ( mprj_pads/area2_io_pad\[13\] PAD ) + USE SIGNAL ;
- mprj_io[32] ( PIN mprj_io[32] ) ( mprj_pads/area2_io_pad\[14\] PAD ) + USE SIGNAL ;
- mprj_io[33] ( PIN mprj_io[33] ) ( mprj_pads/area2_io_pad\[15\] PAD ) + USE SIGNAL ;
- mprj_io[34] ( PIN mprj_io[34] ) ( mprj_pads/area2_io_pad\[16\] PAD ) + USE SIGNAL ;
- mprj_io[35] ( PIN mprj_io[35] ) ( mprj_pads/area2_io_pad\[17\] PAD ) + USE SIGNAL ;
- mprj_io[36] ( PIN mprj_io[36] ) ( mprj_pads/area2_io_pad\[18\] PAD ) + USE SIGNAL ;
- mprj_io[37] ( PIN mprj_io[37] ) ( mprj_pads/area2_io_pad\[19\] PAD ) + USE SIGNAL ;
- mprj_io[3] ( PIN mprj_io[3] ) ( mprj_pads/area1_io_pad\[3\] PAD ) + USE SIGNAL ;
- mprj_io[4] ( PIN mprj_io[4] ) ( mprj_pads/area1_io_pad\[4\] PAD ) + USE SIGNAL ;
- mprj_io[5] ( PIN mprj_io[5] ) ( mprj_pads/area1_io_pad\[5\] PAD ) + USE SIGNAL ;
- mprj_io[6] ( PIN mprj_io[6] ) ( mprj_pads/area1_io_pad\[6\] PAD ) + USE SIGNAL ;
- mprj_io[7] ( PIN mprj_io[7] ) ( mprj_pads/area1_io_pad\[7\] PAD ) + USE SIGNAL ;
- mprj_io[8] ( PIN mprj_io[8] ) ( mprj_pads/area1_io_pad\[8\] PAD ) + USE SIGNAL ;
- mprj_io[9] ( PIN mprj_io[9] ) ( mprj_pads/area1_io_pad\[9\] PAD ) + USE SIGNAL ;
- mprj_io_analog_en[0] ( PIN mprj_io_analog_en[0] ) ( mprj_pads/area1_io_pad\[0\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[10] ( PIN mprj_io_analog_en[10] ) ( mprj_pads/area1_io_pad\[10\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[11] ( PIN mprj_io_analog_en[11] ) ( mprj_pads/area1_io_pad\[11\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[12] ( PIN mprj_io_analog_en[12] ) ( mprj_pads/area1_io_pad\[12\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[13] ( PIN mprj_io_analog_en[13] ) ( mprj_pads/area1_io_pad\[13\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[14] ( PIN mprj_io_analog_en[14] ) ( mprj_pads/area1_io_pad\[14\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[15] ( PIN mprj_io_analog_en[15] ) ( mprj_pads/area1_io_pad\[15\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[16] ( PIN mprj_io_analog_en[16] ) ( mprj_pads/area1_io_pad\[16\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[17] ( PIN mprj_io_analog_en[17] ) ( mprj_pads/area1_io_pad\[17\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[18] ( PIN mprj_io_analog_en[18] ) ( mprj_pads/area2_io_pad\[0\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[19] ( PIN mprj_io_analog_en[19] ) ( mprj_pads/area2_io_pad\[1\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[1] ( PIN mprj_io_analog_en[1] ) ( mprj_pads/area1_io_pad\[1\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[20] ( PIN mprj_io_analog_en[20] ) ( mprj_pads/area2_io_pad\[2\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[21] ( PIN mprj_io_analog_en[21] ) ( mprj_pads/area2_io_pad\[3\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[22] ( PIN mprj_io_analog_en[22] ) ( mprj_pads/area2_io_pad\[4\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[23] ( PIN mprj_io_analog_en[23] ) ( mprj_pads/area2_io_pad\[5\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[24] ( PIN mprj_io_analog_en[24] ) ( mprj_pads/area2_io_pad\[6\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[25] ( PIN mprj_io_analog_en[25] ) ( mprj_pads/area2_io_pad\[7\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[26] ( PIN mprj_io_analog_en[26] ) ( mprj_pads/area2_io_pad\[8\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[27] ( PIN mprj_io_analog_en[27] ) ( mprj_pads/area2_io_pad\[9\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[28] ( PIN mprj_io_analog_en[28] ) ( mprj_pads/area2_io_pad\[10\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[29] ( PIN mprj_io_analog_en[29] ) ( mprj_pads/area2_io_pad\[11\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[2] ( PIN mprj_io_analog_en[2] ) ( mprj_pads/area1_io_pad\[2\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[30] ( PIN mprj_io_analog_en[30] ) ( mprj_pads/area2_io_pad\[12\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[31] ( PIN mprj_io_analog_en[31] ) ( mprj_pads/area2_io_pad\[13\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[32] ( PIN mprj_io_analog_en[32] ) ( mprj_pads/area2_io_pad\[14\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[33] ( PIN mprj_io_analog_en[33] ) ( mprj_pads/area2_io_pad\[15\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[34] ( PIN mprj_io_analog_en[34] ) ( mprj_pads/area2_io_pad\[16\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[35] ( PIN mprj_io_analog_en[35] ) ( mprj_pads/area2_io_pad\[17\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[36] ( PIN mprj_io_analog_en[36] ) ( mprj_pads/area2_io_pad\[18\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[37] ( PIN mprj_io_analog_en[37] ) ( mprj_pads/area2_io_pad\[19\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[3] ( PIN mprj_io_analog_en[3] ) ( mprj_pads/area1_io_pad\[3\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[4] ( PIN mprj_io_analog_en[4] ) ( mprj_pads/area1_io_pad\[4\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[5] ( PIN mprj_io_analog_en[5] ) ( mprj_pads/area1_io_pad\[5\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[6] ( PIN mprj_io_analog_en[6] ) ( mprj_pads/area1_io_pad\[6\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[7] ( PIN mprj_io_analog_en[7] ) ( mprj_pads/area1_io_pad\[7\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[8] ( PIN mprj_io_analog_en[8] ) ( mprj_pads/area1_io_pad\[8\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_en[9] ( PIN mprj_io_analog_en[9] ) ( mprj_pads/area1_io_pad\[9\] ANALOG_EN ) + USE SIGNAL ;
- mprj_io_analog_pol[0] ( PIN mprj_io_analog_pol[0] ) ( mprj_pads/area1_io_pad\[0\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[10] ( PIN mprj_io_analog_pol[10] ) ( mprj_pads/area1_io_pad\[10\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[11] ( PIN mprj_io_analog_pol[11] ) ( mprj_pads/area1_io_pad\[11\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[12] ( PIN mprj_io_analog_pol[12] ) ( mprj_pads/area1_io_pad\[12\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[13] ( PIN mprj_io_analog_pol[13] ) ( mprj_pads/area1_io_pad\[13\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[14] ( PIN mprj_io_analog_pol[14] ) ( mprj_pads/area1_io_pad\[14\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[15] ( PIN mprj_io_analog_pol[15] ) ( mprj_pads/area1_io_pad\[15\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[16] ( PIN mprj_io_analog_pol[16] ) ( mprj_pads/area1_io_pad\[16\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[17] ( PIN mprj_io_analog_pol[17] ) ( mprj_pads/area1_io_pad\[17\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[18] ( PIN mprj_io_analog_pol[18] ) ( mprj_pads/area2_io_pad\[0\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[19] ( PIN mprj_io_analog_pol[19] ) ( mprj_pads/area2_io_pad\[1\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[1] ( PIN mprj_io_analog_pol[1] ) ( mprj_pads/area1_io_pad\[1\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[20] ( PIN mprj_io_analog_pol[20] ) ( mprj_pads/area2_io_pad\[2\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[21] ( PIN mprj_io_analog_pol[21] ) ( mprj_pads/area2_io_pad\[3\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[22] ( PIN mprj_io_analog_pol[22] ) ( mprj_pads/area2_io_pad\[4\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[23] ( PIN mprj_io_analog_pol[23] ) ( mprj_pads/area2_io_pad\[5\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[24] ( PIN mprj_io_analog_pol[24] ) ( mprj_pads/area2_io_pad\[6\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[25] ( PIN mprj_io_analog_pol[25] ) ( mprj_pads/area2_io_pad\[7\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[26] ( PIN mprj_io_analog_pol[26] ) ( mprj_pads/area2_io_pad\[8\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[27] ( PIN mprj_io_analog_pol[27] ) ( mprj_pads/area2_io_pad\[9\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[28] ( PIN mprj_io_analog_pol[28] ) ( mprj_pads/area2_io_pad\[10\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[29] ( PIN mprj_io_analog_pol[29] ) ( mprj_pads/area2_io_pad\[11\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[2] ( PIN mprj_io_analog_pol[2] ) ( mprj_pads/area1_io_pad\[2\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[30] ( PIN mprj_io_analog_pol[30] ) ( mprj_pads/area2_io_pad\[12\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[31] ( PIN mprj_io_analog_pol[31] ) ( mprj_pads/area2_io_pad\[13\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[32] ( PIN mprj_io_analog_pol[32] ) ( mprj_pads/area2_io_pad\[14\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[33] ( PIN mprj_io_analog_pol[33] ) ( mprj_pads/area2_io_pad\[15\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[34] ( PIN mprj_io_analog_pol[34] ) ( mprj_pads/area2_io_pad\[16\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[35] ( PIN mprj_io_analog_pol[35] ) ( mprj_pads/area2_io_pad\[17\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[36] ( PIN mprj_io_analog_pol[36] ) ( mprj_pads/area2_io_pad\[18\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[37] ( PIN mprj_io_analog_pol[37] ) ( mprj_pads/area2_io_pad\[19\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[3] ( PIN mprj_io_analog_pol[3] ) ( mprj_pads/area1_io_pad\[3\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[4] ( PIN mprj_io_analog_pol[4] ) ( mprj_pads/area1_io_pad\[4\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[5] ( PIN mprj_io_analog_pol[5] ) ( mprj_pads/area1_io_pad\[5\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[6] ( PIN mprj_io_analog_pol[6] ) ( mprj_pads/area1_io_pad\[6\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[7] ( PIN mprj_io_analog_pol[7] ) ( mprj_pads/area1_io_pad\[7\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[8] ( PIN mprj_io_analog_pol[8] ) ( mprj_pads/area1_io_pad\[8\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_pol[9] ( PIN mprj_io_analog_pol[9] ) ( mprj_pads/area1_io_pad\[9\] ANALOG_POL ) + USE SIGNAL ;
- mprj_io_analog_sel[0] ( PIN mprj_io_analog_sel[0] ) ( mprj_pads/area1_io_pad\[0\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[10] ( PIN mprj_io_analog_sel[10] ) ( mprj_pads/area1_io_pad\[10\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[11] ( PIN mprj_io_analog_sel[11] ) ( mprj_pads/area1_io_pad\[11\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[12] ( PIN mprj_io_analog_sel[12] ) ( mprj_pads/area1_io_pad\[12\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[13] ( PIN mprj_io_analog_sel[13] ) ( mprj_pads/area1_io_pad\[13\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[14] ( PIN mprj_io_analog_sel[14] ) ( mprj_pads/area1_io_pad\[14\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[15] ( PIN mprj_io_analog_sel[15] ) ( mprj_pads/area1_io_pad\[15\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[16] ( PIN mprj_io_analog_sel[16] ) ( mprj_pads/area1_io_pad\[16\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[17] ( PIN mprj_io_analog_sel[17] ) ( mprj_pads/area1_io_pad\[17\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[18] ( PIN mprj_io_analog_sel[18] ) ( mprj_pads/area2_io_pad\[0\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[19] ( PIN mprj_io_analog_sel[19] ) ( mprj_pads/area2_io_pad\[1\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[1] ( PIN mprj_io_analog_sel[1] ) ( mprj_pads/area1_io_pad\[1\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[20] ( PIN mprj_io_analog_sel[20] ) ( mprj_pads/area2_io_pad\[2\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[21] ( PIN mprj_io_analog_sel[21] ) ( mprj_pads/area2_io_pad\[3\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[22] ( PIN mprj_io_analog_sel[22] ) ( mprj_pads/area2_io_pad\[4\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[23] ( PIN mprj_io_analog_sel[23] ) ( mprj_pads/area2_io_pad\[5\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[24] ( PIN mprj_io_analog_sel[24] ) ( mprj_pads/area2_io_pad\[6\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[25] ( PIN mprj_io_analog_sel[25] ) ( mprj_pads/area2_io_pad\[7\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[26] ( PIN mprj_io_analog_sel[26] ) ( mprj_pads/area2_io_pad\[8\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[27] ( PIN mprj_io_analog_sel[27] ) ( mprj_pads/area2_io_pad\[9\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[28] ( PIN mprj_io_analog_sel[28] ) ( mprj_pads/area2_io_pad\[10\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[29] ( PIN mprj_io_analog_sel[29] ) ( mprj_pads/area2_io_pad\[11\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[2] ( PIN mprj_io_analog_sel[2] ) ( mprj_pads/area1_io_pad\[2\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[30] ( PIN mprj_io_analog_sel[30] ) ( mprj_pads/area2_io_pad\[12\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[31] ( PIN mprj_io_analog_sel[31] ) ( mprj_pads/area2_io_pad\[13\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[32] ( PIN mprj_io_analog_sel[32] ) ( mprj_pads/area2_io_pad\[14\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[33] ( PIN mprj_io_analog_sel[33] ) ( mprj_pads/area2_io_pad\[15\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[34] ( PIN mprj_io_analog_sel[34] ) ( mprj_pads/area2_io_pad\[16\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[35] ( PIN mprj_io_analog_sel[35] ) ( mprj_pads/area2_io_pad\[17\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[36] ( PIN mprj_io_analog_sel[36] ) ( mprj_pads/area2_io_pad\[18\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[37] ( PIN mprj_io_analog_sel[37] ) ( mprj_pads/area2_io_pad\[19\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[3] ( PIN mprj_io_analog_sel[3] ) ( mprj_pads/area1_io_pad\[3\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[4] ( PIN mprj_io_analog_sel[4] ) ( mprj_pads/area1_io_pad\[4\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[5] ( PIN mprj_io_analog_sel[5] ) ( mprj_pads/area1_io_pad\[5\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[6] ( PIN mprj_io_analog_sel[6] ) ( mprj_pads/area1_io_pad\[6\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[7] ( PIN mprj_io_analog_sel[7] ) ( mprj_pads/area1_io_pad\[7\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[8] ( PIN mprj_io_analog_sel[8] ) ( mprj_pads/area1_io_pad\[8\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_analog_sel[9] ( PIN mprj_io_analog_sel[9] ) ( mprj_pads/area1_io_pad\[9\] ANALOG_SEL ) + USE SIGNAL ;
- mprj_io_dm[0] ( PIN mprj_io_dm[0] ) ( mprj_pads/area1_io_pad\[0\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[100] ( PIN mprj_io_dm[100] ) ( mprj_pads/area2_io_pad\[15\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[101] ( PIN mprj_io_dm[101] ) ( mprj_pads/area2_io_pad\[15\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[102] ( PIN mprj_io_dm[102] ) ( mprj_pads/area2_io_pad\[16\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[103] ( PIN mprj_io_dm[103] ) ( mprj_pads/area2_io_pad\[16\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[104] ( PIN mprj_io_dm[104] ) ( mprj_pads/area2_io_pad\[16\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[105] ( PIN mprj_io_dm[105] ) ( mprj_pads/area2_io_pad\[17\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[106] ( PIN mprj_io_dm[106] ) ( mprj_pads/area2_io_pad\[17\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[107] ( PIN mprj_io_dm[107] ) ( mprj_pads/area2_io_pad\[17\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[108] ( PIN mprj_io_dm[108] ) ( mprj_pads/area2_io_pad\[18\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[109] ( PIN mprj_io_dm[109] ) ( mprj_pads/area2_io_pad\[18\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[10] ( PIN mprj_io_dm[10] ) ( mprj_pads/area1_io_pad\[3\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[110] ( PIN mprj_io_dm[110] ) ( mprj_pads/area2_io_pad\[18\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[111] ( PIN mprj_io_dm[111] ) ( mprj_pads/area2_io_pad\[19\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[112] ( PIN mprj_io_dm[112] ) ( mprj_pads/area2_io_pad\[19\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[113] ( PIN mprj_io_dm[113] ) ( mprj_pads/area2_io_pad\[19\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[11] ( PIN mprj_io_dm[11] ) ( mprj_pads/area1_io_pad\[3\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[12] ( PIN mprj_io_dm[12] ) ( mprj_pads/area1_io_pad\[4\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[13] ( PIN mprj_io_dm[13] ) ( mprj_pads/area1_io_pad\[4\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[14] ( PIN mprj_io_dm[14] ) ( mprj_pads/area1_io_pad\[4\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[15] ( PIN mprj_io_dm[15] ) ( mprj_pads/area1_io_pad\[5\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[16] ( PIN mprj_io_dm[16] ) ( mprj_pads/area1_io_pad\[5\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[17] ( PIN mprj_io_dm[17] ) ( mprj_pads/area1_io_pad\[5\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[18] ( PIN mprj_io_dm[18] ) ( mprj_pads/area1_io_pad\[6\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[19] ( PIN mprj_io_dm[19] ) ( mprj_pads/area1_io_pad\[6\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[1] ( PIN mprj_io_dm[1] ) ( mprj_pads/area1_io_pad\[0\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[20] ( PIN mprj_io_dm[20] ) ( mprj_pads/area1_io_pad\[6\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[21] ( PIN mprj_io_dm[21] ) ( mprj_pads/area1_io_pad\[7\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[22] ( PIN mprj_io_dm[22] ) ( mprj_pads/area1_io_pad\[7\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[23] ( PIN mprj_io_dm[23] ) ( mprj_pads/area1_io_pad\[7\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[24] ( PIN mprj_io_dm[24] ) ( mprj_pads/area1_io_pad\[8\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[25] ( PIN mprj_io_dm[25] ) ( mprj_pads/area1_io_pad\[8\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[26] ( PIN mprj_io_dm[26] ) ( mprj_pads/area1_io_pad\[8\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[27] ( PIN mprj_io_dm[27] ) ( mprj_pads/area1_io_pad\[9\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[28] ( PIN mprj_io_dm[28] ) ( mprj_pads/area1_io_pad\[9\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[29] ( PIN mprj_io_dm[29] ) ( mprj_pads/area1_io_pad\[9\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[2] ( PIN mprj_io_dm[2] ) ( mprj_pads/area1_io_pad\[0\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[30] ( PIN mprj_io_dm[30] ) ( mprj_pads/area1_io_pad\[10\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[31] ( PIN mprj_io_dm[31] ) ( mprj_pads/area1_io_pad\[10\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[32] ( PIN mprj_io_dm[32] ) ( mprj_pads/area1_io_pad\[10\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[33] ( PIN mprj_io_dm[33] ) ( mprj_pads/area1_io_pad\[11\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[34] ( PIN mprj_io_dm[34] ) ( mprj_pads/area1_io_pad\[11\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[35] ( PIN mprj_io_dm[35] ) ( mprj_pads/area1_io_pad\[11\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[36] ( PIN mprj_io_dm[36] ) ( mprj_pads/area1_io_pad\[12\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[37] ( PIN mprj_io_dm[37] ) ( mprj_pads/area1_io_pad\[12\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[38] ( PIN mprj_io_dm[38] ) ( mprj_pads/area1_io_pad\[12\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[39] ( PIN mprj_io_dm[39] ) ( mprj_pads/area1_io_pad\[13\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[3] ( PIN mprj_io_dm[3] ) ( mprj_pads/area1_io_pad\[1\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[40] ( PIN mprj_io_dm[40] ) ( mprj_pads/area1_io_pad\[13\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[41] ( PIN mprj_io_dm[41] ) ( mprj_pads/area1_io_pad\[13\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[42] ( PIN mprj_io_dm[42] ) ( mprj_pads/area1_io_pad\[14\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[43] ( PIN mprj_io_dm[43] ) ( mprj_pads/area1_io_pad\[14\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[44] ( PIN mprj_io_dm[44] ) ( mprj_pads/area1_io_pad\[14\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[45] ( PIN mprj_io_dm[45] ) ( mprj_pads/area1_io_pad\[15\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[46] ( PIN mprj_io_dm[46] ) ( mprj_pads/area1_io_pad\[15\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[47] ( PIN mprj_io_dm[47] ) ( mprj_pads/area1_io_pad\[15\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[48] ( PIN mprj_io_dm[48] ) ( mprj_pads/area1_io_pad\[16\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[49] ( PIN mprj_io_dm[49] ) ( mprj_pads/area1_io_pad\[16\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[4] ( PIN mprj_io_dm[4] ) ( mprj_pads/area1_io_pad\[1\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[50] ( PIN mprj_io_dm[50] ) ( mprj_pads/area1_io_pad\[16\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[51] ( PIN mprj_io_dm[51] ) ( mprj_pads/area1_io_pad\[17\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[52] ( PIN mprj_io_dm[52] ) ( mprj_pads/area1_io_pad\[17\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[53] ( PIN mprj_io_dm[53] ) ( mprj_pads/area1_io_pad\[17\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[54] ( PIN mprj_io_dm[54] ) ( mprj_pads/area2_io_pad\[0\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[55] ( PIN mprj_io_dm[55] ) ( mprj_pads/area2_io_pad\[0\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[56] ( PIN mprj_io_dm[56] ) ( mprj_pads/area2_io_pad\[0\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[57] ( PIN mprj_io_dm[57] ) ( mprj_pads/area2_io_pad\[1\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[58] ( PIN mprj_io_dm[58] ) ( mprj_pads/area2_io_pad\[1\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[59] ( PIN mprj_io_dm[59] ) ( mprj_pads/area2_io_pad\[1\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[5] ( PIN mprj_io_dm[5] ) ( mprj_pads/area1_io_pad\[1\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[60] ( PIN mprj_io_dm[60] ) ( mprj_pads/area2_io_pad\[2\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[61] ( PIN mprj_io_dm[61] ) ( mprj_pads/area2_io_pad\[2\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[62] ( PIN mprj_io_dm[62] ) ( mprj_pads/area2_io_pad\[2\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[63] ( PIN mprj_io_dm[63] ) ( mprj_pads/area2_io_pad\[3\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[64] ( PIN mprj_io_dm[64] ) ( mprj_pads/area2_io_pad\[3\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[65] ( PIN mprj_io_dm[65] ) ( mprj_pads/area2_io_pad\[3\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[66] ( PIN mprj_io_dm[66] ) ( mprj_pads/area2_io_pad\[4\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[67] ( PIN mprj_io_dm[67] ) ( mprj_pads/area2_io_pad\[4\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[68] ( PIN mprj_io_dm[68] ) ( mprj_pads/area2_io_pad\[4\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[69] ( PIN mprj_io_dm[69] ) ( mprj_pads/area2_io_pad\[5\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[6] ( PIN mprj_io_dm[6] ) ( mprj_pads/area1_io_pad\[2\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[70] ( PIN mprj_io_dm[70] ) ( mprj_pads/area2_io_pad\[5\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[71] ( PIN mprj_io_dm[71] ) ( mprj_pads/area2_io_pad\[5\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[72] ( PIN mprj_io_dm[72] ) ( mprj_pads/area2_io_pad\[6\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[73] ( PIN mprj_io_dm[73] ) ( mprj_pads/area2_io_pad\[6\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[74] ( PIN mprj_io_dm[74] ) ( mprj_pads/area2_io_pad\[6\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[75] ( PIN mprj_io_dm[75] ) ( mprj_pads/area2_io_pad\[7\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[76] ( PIN mprj_io_dm[76] ) ( mprj_pads/area2_io_pad\[7\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[77] ( PIN mprj_io_dm[77] ) ( mprj_pads/area2_io_pad\[7\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[78] ( PIN mprj_io_dm[78] ) ( mprj_pads/area2_io_pad\[8\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[79] ( PIN mprj_io_dm[79] ) ( mprj_pads/area2_io_pad\[8\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[7] ( PIN mprj_io_dm[7] ) ( mprj_pads/area1_io_pad\[2\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[80] ( PIN mprj_io_dm[80] ) ( mprj_pads/area2_io_pad\[8\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[81] ( PIN mprj_io_dm[81] ) ( mprj_pads/area2_io_pad\[9\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[82] ( PIN mprj_io_dm[82] ) ( mprj_pads/area2_io_pad\[9\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[83] ( PIN mprj_io_dm[83] ) ( mprj_pads/area2_io_pad\[9\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[84] ( PIN mprj_io_dm[84] ) ( mprj_pads/area2_io_pad\[10\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[85] ( PIN mprj_io_dm[85] ) ( mprj_pads/area2_io_pad\[10\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[86] ( PIN mprj_io_dm[86] ) ( mprj_pads/area2_io_pad\[10\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[87] ( PIN mprj_io_dm[87] ) ( mprj_pads/area2_io_pad\[11\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[88] ( PIN mprj_io_dm[88] ) ( mprj_pads/area2_io_pad\[11\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[89] ( PIN mprj_io_dm[89] ) ( mprj_pads/area2_io_pad\[11\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[8] ( PIN mprj_io_dm[8] ) ( mprj_pads/area1_io_pad\[2\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[90] ( PIN mprj_io_dm[90] ) ( mprj_pads/area2_io_pad\[12\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[91] ( PIN mprj_io_dm[91] ) ( mprj_pads/area2_io_pad\[12\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[92] ( PIN mprj_io_dm[92] ) ( mprj_pads/area2_io_pad\[12\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[93] ( PIN mprj_io_dm[93] ) ( mprj_pads/area2_io_pad\[13\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[94] ( PIN mprj_io_dm[94] ) ( mprj_pads/area2_io_pad\[13\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[95] ( PIN mprj_io_dm[95] ) ( mprj_pads/area2_io_pad\[13\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[96] ( PIN mprj_io_dm[96] ) ( mprj_pads/area2_io_pad\[14\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[97] ( PIN mprj_io_dm[97] ) ( mprj_pads/area2_io_pad\[14\] DM[1] ) + USE SIGNAL ;
- mprj_io_dm[98] ( PIN mprj_io_dm[98] ) ( mprj_pads/area2_io_pad\[14\] DM[2] ) + USE SIGNAL ;
- mprj_io_dm[99] ( PIN mprj_io_dm[99] ) ( mprj_pads/area2_io_pad\[15\] DM[0] ) + USE SIGNAL ;
- mprj_io_dm[9] ( PIN mprj_io_dm[9] ) ( mprj_pads/area1_io_pad\[3\] DM[0] ) + USE SIGNAL ;
- mprj_io_enh[0] ( PIN mprj_io_enh[0] ) ( mprj_pads/area1_io_pad\[0\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[10] ( PIN mprj_io_enh[10] ) ( mprj_pads/area1_io_pad\[10\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[11] ( PIN mprj_io_enh[11] ) ( mprj_pads/area1_io_pad\[11\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[12] ( PIN mprj_io_enh[12] ) ( mprj_pads/area1_io_pad\[12\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[13] ( PIN mprj_io_enh[13] ) ( mprj_pads/area1_io_pad\[13\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[14] ( PIN mprj_io_enh[14] ) ( mprj_pads/area1_io_pad\[14\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[15] ( PIN mprj_io_enh[15] ) ( mprj_pads/area1_io_pad\[15\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[16] ( PIN mprj_io_enh[16] ) ( mprj_pads/area1_io_pad\[16\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[17] ( PIN mprj_io_enh[17] ) ( mprj_pads/area1_io_pad\[17\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[18] ( PIN mprj_io_enh[18] ) ( mprj_pads/area2_io_pad\[0\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[19] ( PIN mprj_io_enh[19] ) ( mprj_pads/area2_io_pad\[1\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[1] ( PIN mprj_io_enh[1] ) ( mprj_pads/area1_io_pad\[1\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[20] ( PIN mprj_io_enh[20] ) ( mprj_pads/area2_io_pad\[2\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[21] ( PIN mprj_io_enh[21] ) ( mprj_pads/area2_io_pad\[3\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[22] ( PIN mprj_io_enh[22] ) ( mprj_pads/area2_io_pad\[4\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[23] ( PIN mprj_io_enh[23] ) ( mprj_pads/area2_io_pad\[5\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[24] ( PIN mprj_io_enh[24] ) ( mprj_pads/area2_io_pad\[6\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[25] ( PIN mprj_io_enh[25] ) ( mprj_pads/area2_io_pad\[7\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[26] ( PIN mprj_io_enh[26] ) ( mprj_pads/area2_io_pad\[8\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[27] ( PIN mprj_io_enh[27] ) ( mprj_pads/area2_io_pad\[9\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[28] ( PIN mprj_io_enh[28] ) ( mprj_pads/area2_io_pad\[10\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[29] ( PIN mprj_io_enh[29] ) ( mprj_pads/area2_io_pad\[11\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[2] ( PIN mprj_io_enh[2] ) ( mprj_pads/area1_io_pad\[2\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[30] ( PIN mprj_io_enh[30] ) ( mprj_pads/area2_io_pad\[12\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[31] ( PIN mprj_io_enh[31] ) ( mprj_pads/area2_io_pad\[13\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[32] ( PIN mprj_io_enh[32] ) ( mprj_pads/area2_io_pad\[14\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[33] ( PIN mprj_io_enh[33] ) ( mprj_pads/area2_io_pad\[15\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[34] ( PIN mprj_io_enh[34] ) ( mprj_pads/area2_io_pad\[16\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[35] ( PIN mprj_io_enh[35] ) ( mprj_pads/area2_io_pad\[17\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[36] ( PIN mprj_io_enh[36] ) ( mprj_pads/area2_io_pad\[18\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[37] ( PIN mprj_io_enh[37] ) ( mprj_pads/area2_io_pad\[19\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[3] ( PIN mprj_io_enh[3] ) ( mprj_pads/area1_io_pad\[3\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[4] ( PIN mprj_io_enh[4] ) ( mprj_pads/area1_io_pad\[4\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[5] ( PIN mprj_io_enh[5] ) ( mprj_pads/area1_io_pad\[5\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[6] ( PIN mprj_io_enh[6] ) ( mprj_pads/area1_io_pad\[6\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[7] ( PIN mprj_io_enh[7] ) ( mprj_pads/area1_io_pad\[7\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[8] ( PIN mprj_io_enh[8] ) ( mprj_pads/area1_io_pad\[8\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_enh[9] ( PIN mprj_io_enh[9] ) ( mprj_pads/area1_io_pad\[9\] ENABLE_H ) + USE SIGNAL ;
- mprj_io_hldh_n[0] ( PIN mprj_io_hldh_n[0] ) ( mprj_pads/area1_io_pad\[0\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[10] ( PIN mprj_io_hldh_n[10] ) ( mprj_pads/area1_io_pad\[10\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[11] ( PIN mprj_io_hldh_n[11] ) ( mprj_pads/area1_io_pad\[11\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[12] ( PIN mprj_io_hldh_n[12] ) ( mprj_pads/area1_io_pad\[12\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[13] ( PIN mprj_io_hldh_n[13] ) ( mprj_pads/area1_io_pad\[13\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[14] ( PIN mprj_io_hldh_n[14] ) ( mprj_pads/area1_io_pad\[14\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[15] ( PIN mprj_io_hldh_n[15] ) ( mprj_pads/area1_io_pad\[15\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[16] ( PIN mprj_io_hldh_n[16] ) ( mprj_pads/area1_io_pad\[16\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[17] ( PIN mprj_io_hldh_n[17] ) ( mprj_pads/area1_io_pad\[17\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[18] ( PIN mprj_io_hldh_n[18] ) ( mprj_pads/area2_io_pad\[0\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[19] ( PIN mprj_io_hldh_n[19] ) ( mprj_pads/area2_io_pad\[1\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[1] ( PIN mprj_io_hldh_n[1] ) ( mprj_pads/area1_io_pad\[1\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[20] ( PIN mprj_io_hldh_n[20] ) ( mprj_pads/area2_io_pad\[2\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[21] ( PIN mprj_io_hldh_n[21] ) ( mprj_pads/area2_io_pad\[3\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[22] ( PIN mprj_io_hldh_n[22] ) ( mprj_pads/area2_io_pad\[4\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[23] ( PIN mprj_io_hldh_n[23] ) ( mprj_pads/area2_io_pad\[5\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[24] ( PIN mprj_io_hldh_n[24] ) ( mprj_pads/area2_io_pad\[6\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[25] ( PIN mprj_io_hldh_n[25] ) ( mprj_pads/area2_io_pad\[7\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[26] ( PIN mprj_io_hldh_n[26] ) ( mprj_pads/area2_io_pad\[8\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[27] ( PIN mprj_io_hldh_n[27] ) ( mprj_pads/area2_io_pad\[9\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[28] ( PIN mprj_io_hldh_n[28] ) ( mprj_pads/area2_io_pad\[10\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[29] ( PIN mprj_io_hldh_n[29] ) ( mprj_pads/area2_io_pad\[11\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[2] ( PIN mprj_io_hldh_n[2] ) ( mprj_pads/area1_io_pad\[2\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[30] ( PIN mprj_io_hldh_n[30] ) ( mprj_pads/area2_io_pad\[12\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[31] ( PIN mprj_io_hldh_n[31] ) ( mprj_pads/area2_io_pad\[13\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[32] ( PIN mprj_io_hldh_n[32] ) ( mprj_pads/area2_io_pad\[14\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[33] ( PIN mprj_io_hldh_n[33] ) ( mprj_pads/area2_io_pad\[15\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[34] ( PIN mprj_io_hldh_n[34] ) ( mprj_pads/area2_io_pad\[16\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[35] ( PIN mprj_io_hldh_n[35] ) ( mprj_pads/area2_io_pad\[17\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[36] ( PIN mprj_io_hldh_n[36] ) ( mprj_pads/area2_io_pad\[18\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[37] ( PIN mprj_io_hldh_n[37] ) ( mprj_pads/area2_io_pad\[19\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[3] ( PIN mprj_io_hldh_n[3] ) ( mprj_pads/area1_io_pad\[3\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[4] ( PIN mprj_io_hldh_n[4] ) ( mprj_pads/area1_io_pad\[4\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[5] ( PIN mprj_io_hldh_n[5] ) ( mprj_pads/area1_io_pad\[5\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[6] ( PIN mprj_io_hldh_n[6] ) ( mprj_pads/area1_io_pad\[6\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[7] ( PIN mprj_io_hldh_n[7] ) ( mprj_pads/area1_io_pad\[7\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[8] ( PIN mprj_io_hldh_n[8] ) ( mprj_pads/area1_io_pad\[8\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_hldh_n[9] ( PIN mprj_io_hldh_n[9] ) ( mprj_pads/area1_io_pad\[9\] HLD_H_N ) + USE SIGNAL ;
- mprj_io_holdover[0] ( PIN mprj_io_holdover[0] ) ( mprj_pads/area1_io_pad\[0\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[10] ( PIN mprj_io_holdover[10] ) ( mprj_pads/area1_io_pad\[10\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[11] ( PIN mprj_io_holdover[11] ) ( mprj_pads/area1_io_pad\[11\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[12] ( PIN mprj_io_holdover[12] ) ( mprj_pads/area1_io_pad\[12\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[13] ( PIN mprj_io_holdover[13] ) ( mprj_pads/area1_io_pad\[13\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[14] ( PIN mprj_io_holdover[14] ) ( mprj_pads/area1_io_pad\[14\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[15] ( PIN mprj_io_holdover[15] ) ( mprj_pads/area1_io_pad\[15\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[16] ( PIN mprj_io_holdover[16] ) ( mprj_pads/area1_io_pad\[16\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[17] ( PIN mprj_io_holdover[17] ) ( mprj_pads/area1_io_pad\[17\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[18] ( PIN mprj_io_holdover[18] ) ( mprj_pads/area2_io_pad\[0\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[19] ( PIN mprj_io_holdover[19] ) ( mprj_pads/area2_io_pad\[1\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[1] ( PIN mprj_io_holdover[1] ) ( mprj_pads/area1_io_pad\[1\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[20] ( PIN mprj_io_holdover[20] ) ( mprj_pads/area2_io_pad\[2\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[21] ( PIN mprj_io_holdover[21] ) ( mprj_pads/area2_io_pad\[3\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[22] ( PIN mprj_io_holdover[22] ) ( mprj_pads/area2_io_pad\[4\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[23] ( PIN mprj_io_holdover[23] ) ( mprj_pads/area2_io_pad\[5\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[24] ( PIN mprj_io_holdover[24] ) ( mprj_pads/area2_io_pad\[6\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[25] ( PIN mprj_io_holdover[25] ) ( mprj_pads/area2_io_pad\[7\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[26] ( PIN mprj_io_holdover[26] ) ( mprj_pads/area2_io_pad\[8\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[27] ( PIN mprj_io_holdover[27] ) ( mprj_pads/area2_io_pad\[9\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[28] ( PIN mprj_io_holdover[28] ) ( mprj_pads/area2_io_pad\[10\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[29] ( PIN mprj_io_holdover[29] ) ( mprj_pads/area2_io_pad\[11\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[2] ( PIN mprj_io_holdover[2] ) ( mprj_pads/area1_io_pad\[2\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[30] ( PIN mprj_io_holdover[30] ) ( mprj_pads/area2_io_pad\[12\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[31] ( PIN mprj_io_holdover[31] ) ( mprj_pads/area2_io_pad\[13\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[32] ( PIN mprj_io_holdover[32] ) ( mprj_pads/area2_io_pad\[14\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[33] ( PIN mprj_io_holdover[33] ) ( mprj_pads/area2_io_pad\[15\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[34] ( PIN mprj_io_holdover[34] ) ( mprj_pads/area2_io_pad\[16\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[35] ( PIN mprj_io_holdover[35] ) ( mprj_pads/area2_io_pad\[17\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[36] ( PIN mprj_io_holdover[36] ) ( mprj_pads/area2_io_pad\[18\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[37] ( PIN mprj_io_holdover[37] ) ( mprj_pads/area2_io_pad\[19\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[3] ( PIN mprj_io_holdover[3] ) ( mprj_pads/area1_io_pad\[3\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[4] ( PIN mprj_io_holdover[4] ) ( mprj_pads/area1_io_pad\[4\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[5] ( PIN mprj_io_holdover[5] ) ( mprj_pads/area1_io_pad\[5\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[6] ( PIN mprj_io_holdover[6] ) ( mprj_pads/area1_io_pad\[6\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[7] ( PIN mprj_io_holdover[7] ) ( mprj_pads/area1_io_pad\[7\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[8] ( PIN mprj_io_holdover[8] ) ( mprj_pads/area1_io_pad\[8\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_holdover[9] ( PIN mprj_io_holdover[9] ) ( mprj_pads/area1_io_pad\[9\] HLD_OVR ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[0] ( PIN mprj_io_ib_mode_sel[0] ) ( mprj_pads/area1_io_pad\[0\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[10] ( PIN mprj_io_ib_mode_sel[10] ) ( mprj_pads/area1_io_pad\[10\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[11] ( PIN mprj_io_ib_mode_sel[11] ) ( mprj_pads/area1_io_pad\[11\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[12] ( PIN mprj_io_ib_mode_sel[12] ) ( mprj_pads/area1_io_pad\[12\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[13] ( PIN mprj_io_ib_mode_sel[13] ) ( mprj_pads/area1_io_pad\[13\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[14] ( PIN mprj_io_ib_mode_sel[14] ) ( mprj_pads/area1_io_pad\[14\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[15] ( PIN mprj_io_ib_mode_sel[15] ) ( mprj_pads/area1_io_pad\[15\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[16] ( PIN mprj_io_ib_mode_sel[16] ) ( mprj_pads/area1_io_pad\[16\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[17] ( PIN mprj_io_ib_mode_sel[17] ) ( mprj_pads/area1_io_pad\[17\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[18] ( PIN mprj_io_ib_mode_sel[18] ) ( mprj_pads/area2_io_pad\[0\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[19] ( PIN mprj_io_ib_mode_sel[19] ) ( mprj_pads/area2_io_pad\[1\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[1] ( PIN mprj_io_ib_mode_sel[1] ) ( mprj_pads/area1_io_pad\[1\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[20] ( PIN mprj_io_ib_mode_sel[20] ) ( mprj_pads/area2_io_pad\[2\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[21] ( PIN mprj_io_ib_mode_sel[21] ) ( mprj_pads/area2_io_pad\[3\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[22] ( PIN mprj_io_ib_mode_sel[22] ) ( mprj_pads/area2_io_pad\[4\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[23] ( PIN mprj_io_ib_mode_sel[23] ) ( mprj_pads/area2_io_pad\[5\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[24] ( PIN mprj_io_ib_mode_sel[24] ) ( mprj_pads/area2_io_pad\[6\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[25] ( PIN mprj_io_ib_mode_sel[25] ) ( mprj_pads/area2_io_pad\[7\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[26] ( PIN mprj_io_ib_mode_sel[26] ) ( mprj_pads/area2_io_pad\[8\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[27] ( PIN mprj_io_ib_mode_sel[27] ) ( mprj_pads/area2_io_pad\[9\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[28] ( PIN mprj_io_ib_mode_sel[28] ) ( mprj_pads/area2_io_pad\[10\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[29] ( PIN mprj_io_ib_mode_sel[29] ) ( mprj_pads/area2_io_pad\[11\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[2] ( PIN mprj_io_ib_mode_sel[2] ) ( mprj_pads/area1_io_pad\[2\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[30] ( PIN mprj_io_ib_mode_sel[30] ) ( mprj_pads/area2_io_pad\[12\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[31] ( PIN mprj_io_ib_mode_sel[31] ) ( mprj_pads/area2_io_pad\[13\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[32] ( PIN mprj_io_ib_mode_sel[32] ) ( mprj_pads/area2_io_pad\[14\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[33] ( PIN mprj_io_ib_mode_sel[33] ) ( mprj_pads/area2_io_pad\[15\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[34] ( PIN mprj_io_ib_mode_sel[34] ) ( mprj_pads/area2_io_pad\[16\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[35] ( PIN mprj_io_ib_mode_sel[35] ) ( mprj_pads/area2_io_pad\[17\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[36] ( PIN mprj_io_ib_mode_sel[36] ) ( mprj_pads/area2_io_pad\[18\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[37] ( PIN mprj_io_ib_mode_sel[37] ) ( mprj_pads/area2_io_pad\[19\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[3] ( PIN mprj_io_ib_mode_sel[3] ) ( mprj_pads/area1_io_pad\[3\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[4] ( PIN mprj_io_ib_mode_sel[4] ) ( mprj_pads/area1_io_pad\[4\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[5] ( PIN mprj_io_ib_mode_sel[5] ) ( mprj_pads/area1_io_pad\[5\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[6] ( PIN mprj_io_ib_mode_sel[6] ) ( mprj_pads/area1_io_pad\[6\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[7] ( PIN mprj_io_ib_mode_sel[7] ) ( mprj_pads/area1_io_pad\[7\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[8] ( PIN mprj_io_ib_mode_sel[8] ) ( mprj_pads/area1_io_pad\[8\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_ib_mode_sel[9] ( PIN mprj_io_ib_mode_sel[9] ) ( mprj_pads/area1_io_pad\[9\] IB_MODE_SEL ) + USE SIGNAL ;
- mprj_io_in[0] ( PIN mprj_io_in[0] ) ( mprj_pads/area1_io_pad\[0\] IN ) + USE SIGNAL ;
- mprj_io_in[10] ( PIN mprj_io_in[10] ) ( mprj_pads/area1_io_pad\[10\] IN ) + USE SIGNAL ;
- mprj_io_in[11] ( PIN mprj_io_in[11] ) ( mprj_pads/area1_io_pad\[11\] IN ) + USE SIGNAL ;
- mprj_io_in[12] ( PIN mprj_io_in[12] ) ( mprj_pads/area1_io_pad\[12\] IN ) + USE SIGNAL ;
- mprj_io_in[13] ( PIN mprj_io_in[13] ) ( mprj_pads/area1_io_pad\[13\] IN ) + USE SIGNAL ;
- mprj_io_in[14] ( PIN mprj_io_in[14] ) ( mprj_pads/area1_io_pad\[14\] IN ) + USE SIGNAL ;
- mprj_io_in[15] ( PIN mprj_io_in[15] ) ( mprj_pads/area1_io_pad\[15\] IN ) + USE SIGNAL ;
- mprj_io_in[16] ( PIN mprj_io_in[16] ) ( mprj_pads/area1_io_pad\[16\] IN ) + USE SIGNAL ;
- mprj_io_in[17] ( PIN mprj_io_in[17] ) ( mprj_pads/area1_io_pad\[17\] IN ) + USE SIGNAL ;
- mprj_io_in[18] ( PIN mprj_io_in[18] ) ( mprj_pads/area2_io_pad\[0\] IN ) + USE SIGNAL ;
- mprj_io_in[19] ( PIN mprj_io_in[19] ) ( mprj_pads/area2_io_pad\[1\] IN ) + USE SIGNAL ;
- mprj_io_in[1] ( PIN mprj_io_in[1] ) ( mprj_pads/area1_io_pad\[1\] IN ) + USE SIGNAL ;
- mprj_io_in[20] ( PIN mprj_io_in[20] ) ( mprj_pads/area2_io_pad\[2\] IN ) + USE SIGNAL ;
- mprj_io_in[21] ( PIN mprj_io_in[21] ) ( mprj_pads/area2_io_pad\[3\] IN ) + USE SIGNAL ;
- mprj_io_in[22] ( PIN mprj_io_in[22] ) ( mprj_pads/area2_io_pad\[4\] IN ) + USE SIGNAL ;
- mprj_io_in[23] ( PIN mprj_io_in[23] ) ( mprj_pads/area2_io_pad\[5\] IN ) + USE SIGNAL ;
- mprj_io_in[24] ( PIN mprj_io_in[24] ) ( mprj_pads/area2_io_pad\[6\] IN ) + USE SIGNAL ;
- mprj_io_in[25] ( PIN mprj_io_in[25] ) ( mprj_pads/area2_io_pad\[7\] IN ) + USE SIGNAL ;
- mprj_io_in[26] ( PIN mprj_io_in[26] ) ( mprj_pads/area2_io_pad\[8\] IN ) + USE SIGNAL ;
- mprj_io_in[27] ( PIN mprj_io_in[27] ) ( mprj_pads/area2_io_pad\[9\] IN ) + USE SIGNAL ;
- mprj_io_in[28] ( PIN mprj_io_in[28] ) ( mprj_pads/area2_io_pad\[10\] IN ) + USE SIGNAL ;
- mprj_io_in[29] ( PIN mprj_io_in[29] ) ( mprj_pads/area2_io_pad\[11\] IN ) + USE SIGNAL ;
- mprj_io_in[2] ( PIN mprj_io_in[2] ) ( mprj_pads/area1_io_pad\[2\] IN ) + USE SIGNAL ;
- mprj_io_in[30] ( PIN mprj_io_in[30] ) ( mprj_pads/area2_io_pad\[12\] IN ) + USE SIGNAL ;
- mprj_io_in[31] ( PIN mprj_io_in[31] ) ( mprj_pads/area2_io_pad\[13\] IN ) + USE SIGNAL ;
- mprj_io_in[32] ( PIN mprj_io_in[32] ) ( mprj_pads/area2_io_pad\[14\] IN ) + USE SIGNAL ;
- mprj_io_in[33] ( PIN mprj_io_in[33] ) ( mprj_pads/area2_io_pad\[15\] IN ) + USE SIGNAL ;
- mprj_io_in[34] ( PIN mprj_io_in[34] ) ( mprj_pads/area2_io_pad\[16\] IN ) + USE SIGNAL ;
- mprj_io_in[35] ( PIN mprj_io_in[35] ) ( mprj_pads/area2_io_pad\[17\] IN ) + USE SIGNAL ;
- mprj_io_in[36] ( PIN mprj_io_in[36] ) ( mprj_pads/area2_io_pad\[18\] IN ) + USE SIGNAL ;
- mprj_io_in[37] ( PIN mprj_io_in[37] ) ( mprj_pads/area2_io_pad\[19\] IN ) + USE SIGNAL ;
- mprj_io_in[3] ( PIN mprj_io_in[3] ) ( mprj_pads/area1_io_pad\[3\] IN ) + USE SIGNAL ;
- mprj_io_in[4] ( PIN mprj_io_in[4] ) ( mprj_pads/area1_io_pad\[4\] IN ) + USE SIGNAL ;
- mprj_io_in[5] ( PIN mprj_io_in[5] ) ( mprj_pads/area1_io_pad\[5\] IN ) + USE SIGNAL ;
- mprj_io_in[6] ( PIN mprj_io_in[6] ) ( mprj_pads/area1_io_pad\[6\] IN ) + USE SIGNAL ;
- mprj_io_in[7] ( PIN mprj_io_in[7] ) ( mprj_pads/area1_io_pad\[7\] IN ) + USE SIGNAL ;
- mprj_io_in[8] ( PIN mprj_io_in[8] ) ( mprj_pads/area1_io_pad\[8\] IN ) + USE SIGNAL ;
- mprj_io_in[9] ( PIN mprj_io_in[9] ) ( mprj_pads/area1_io_pad\[9\] IN ) + USE SIGNAL ;
- mprj_io_inp_dis[0] ( PIN mprj_io_inp_dis[0] ) ( mprj_pads/area1_io_pad\[0\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[10] ( PIN mprj_io_inp_dis[10] ) ( mprj_pads/area1_io_pad\[10\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[11] ( PIN mprj_io_inp_dis[11] ) ( mprj_pads/area1_io_pad\[11\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[12] ( PIN mprj_io_inp_dis[12] ) ( mprj_pads/area1_io_pad\[12\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[13] ( PIN mprj_io_inp_dis[13] ) ( mprj_pads/area1_io_pad\[13\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[14] ( PIN mprj_io_inp_dis[14] ) ( mprj_pads/area1_io_pad\[14\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[15] ( PIN mprj_io_inp_dis[15] ) ( mprj_pads/area1_io_pad\[15\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[16] ( PIN mprj_io_inp_dis[16] ) ( mprj_pads/area1_io_pad\[16\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[17] ( PIN mprj_io_inp_dis[17] ) ( mprj_pads/area1_io_pad\[17\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[18] ( PIN mprj_io_inp_dis[18] ) ( mprj_pads/area2_io_pad\[0\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[19] ( PIN mprj_io_inp_dis[19] ) ( mprj_pads/area2_io_pad\[1\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[1] ( PIN mprj_io_inp_dis[1] ) ( mprj_pads/area1_io_pad\[1\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[20] ( PIN mprj_io_inp_dis[20] ) ( mprj_pads/area2_io_pad\[2\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[21] ( PIN mprj_io_inp_dis[21] ) ( mprj_pads/area2_io_pad\[3\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[22] ( PIN mprj_io_inp_dis[22] ) ( mprj_pads/area2_io_pad\[4\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[23] ( PIN mprj_io_inp_dis[23] ) ( mprj_pads/area2_io_pad\[5\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[24] ( PIN mprj_io_inp_dis[24] ) ( mprj_pads/area2_io_pad\[6\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[25] ( PIN mprj_io_inp_dis[25] ) ( mprj_pads/area2_io_pad\[7\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[26] ( PIN mprj_io_inp_dis[26] ) ( mprj_pads/area2_io_pad\[8\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[27] ( PIN mprj_io_inp_dis[27] ) ( mprj_pads/area2_io_pad\[9\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[28] ( PIN mprj_io_inp_dis[28] ) ( mprj_pads/area2_io_pad\[10\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[29] ( PIN mprj_io_inp_dis[29] ) ( mprj_pads/area2_io_pad\[11\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[2] ( PIN mprj_io_inp_dis[2] ) ( mprj_pads/area1_io_pad\[2\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[30] ( PIN mprj_io_inp_dis[30] ) ( mprj_pads/area2_io_pad\[12\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[31] ( PIN mprj_io_inp_dis[31] ) ( mprj_pads/area2_io_pad\[13\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[32] ( PIN mprj_io_inp_dis[32] ) ( mprj_pads/area2_io_pad\[14\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[33] ( PIN mprj_io_inp_dis[33] ) ( mprj_pads/area2_io_pad\[15\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[34] ( PIN mprj_io_inp_dis[34] ) ( mprj_pads/area2_io_pad\[16\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[35] ( PIN mprj_io_inp_dis[35] ) ( mprj_pads/area2_io_pad\[17\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[36] ( PIN mprj_io_inp_dis[36] ) ( mprj_pads/area2_io_pad\[18\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[37] ( PIN mprj_io_inp_dis[37] ) ( mprj_pads/area2_io_pad\[19\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[3] ( PIN mprj_io_inp_dis[3] ) ( mprj_pads/area1_io_pad\[3\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[4] ( PIN mprj_io_inp_dis[4] ) ( mprj_pads/area1_io_pad\[4\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[5] ( PIN mprj_io_inp_dis[5] ) ( mprj_pads/area1_io_pad\[5\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[6] ( PIN mprj_io_inp_dis[6] ) ( mprj_pads/area1_io_pad\[6\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[7] ( PIN mprj_io_inp_dis[7] ) ( mprj_pads/area1_io_pad\[7\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[8] ( PIN mprj_io_inp_dis[8] ) ( mprj_pads/area1_io_pad\[8\] INP_DIS ) + USE SIGNAL ;
- mprj_io_inp_dis[9] ( PIN mprj_io_inp_dis[9] ) ( mprj_pads/area1_io_pad\[9\] INP_DIS ) + USE SIGNAL ;
- mprj_io_oeb[0] ( PIN mprj_io_oeb[0] ) ( mprj_pads/area1_io_pad\[0\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[10] ( PIN mprj_io_oeb[10] ) ( mprj_pads/area1_io_pad\[10\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[11] ( PIN mprj_io_oeb[11] ) ( mprj_pads/area1_io_pad\[11\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[12] ( PIN mprj_io_oeb[12] ) ( mprj_pads/area1_io_pad\[12\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[13] ( PIN mprj_io_oeb[13] ) ( mprj_pads/area1_io_pad\[13\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[14] ( PIN mprj_io_oeb[14] ) ( mprj_pads/area1_io_pad\[14\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[15] ( PIN mprj_io_oeb[15] ) ( mprj_pads/area1_io_pad\[15\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[16] ( PIN mprj_io_oeb[16] ) ( mprj_pads/area1_io_pad\[16\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[17] ( PIN mprj_io_oeb[17] ) ( mprj_pads/area1_io_pad\[17\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[18] ( PIN mprj_io_oeb[18] ) ( mprj_pads/area2_io_pad\[0\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[19] ( PIN mprj_io_oeb[19] ) ( mprj_pads/area2_io_pad\[1\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[1] ( PIN mprj_io_oeb[1] ) ( mprj_pads/area1_io_pad\[1\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[20] ( PIN mprj_io_oeb[20] ) ( mprj_pads/area2_io_pad\[2\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[21] ( PIN mprj_io_oeb[21] ) ( mprj_pads/area2_io_pad\[3\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[22] ( PIN mprj_io_oeb[22] ) ( mprj_pads/area2_io_pad\[4\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[23] ( PIN mprj_io_oeb[23] ) ( mprj_pads/area2_io_pad\[5\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[24] ( PIN mprj_io_oeb[24] ) ( mprj_pads/area2_io_pad\[6\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[25] ( PIN mprj_io_oeb[25] ) ( mprj_pads/area2_io_pad\[7\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[26] ( PIN mprj_io_oeb[26] ) ( mprj_pads/area2_io_pad\[8\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[27] ( PIN mprj_io_oeb[27] ) ( mprj_pads/area2_io_pad\[9\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[28] ( PIN mprj_io_oeb[28] ) ( mprj_pads/area2_io_pad\[10\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[29] ( PIN mprj_io_oeb[29] ) ( mprj_pads/area2_io_pad\[11\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[2] ( PIN mprj_io_oeb[2] ) ( mprj_pads/area1_io_pad\[2\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[30] ( PIN mprj_io_oeb[30] ) ( mprj_pads/area2_io_pad\[12\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[31] ( PIN mprj_io_oeb[31] ) ( mprj_pads/area2_io_pad\[13\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[32] ( PIN mprj_io_oeb[32] ) ( mprj_pads/area2_io_pad\[14\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[33] ( PIN mprj_io_oeb[33] ) ( mprj_pads/area2_io_pad\[15\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[34] ( PIN mprj_io_oeb[34] ) ( mprj_pads/area2_io_pad\[16\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[35] ( PIN mprj_io_oeb[35] ) ( mprj_pads/area2_io_pad\[17\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[36] ( PIN mprj_io_oeb[36] ) ( mprj_pads/area2_io_pad\[18\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[37] ( PIN mprj_io_oeb[37] ) ( mprj_pads/area2_io_pad\[19\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[3] ( PIN mprj_io_oeb[3] ) ( mprj_pads/area1_io_pad\[3\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[4] ( PIN mprj_io_oeb[4] ) ( mprj_pads/area1_io_pad\[4\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[5] ( PIN mprj_io_oeb[5] ) ( mprj_pads/area1_io_pad\[5\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[6] ( PIN mprj_io_oeb[6] ) ( mprj_pads/area1_io_pad\[6\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[7] ( PIN mprj_io_oeb[7] ) ( mprj_pads/area1_io_pad\[7\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[8] ( PIN mprj_io_oeb[8] ) ( mprj_pads/area1_io_pad\[8\] OE_N ) + USE SIGNAL ;
- mprj_io_oeb[9] ( PIN mprj_io_oeb[9] ) ( mprj_pads/area1_io_pad\[9\] OE_N ) + USE SIGNAL ;
- mprj_io_out[0] ( PIN mprj_io_out[0] ) ( mprj_pads/area1_io_pad\[0\] OUT ) + USE SIGNAL ;
- mprj_io_out[10] ( PIN mprj_io_out[10] ) ( mprj_pads/area1_io_pad\[10\] OUT ) + USE SIGNAL ;
- mprj_io_out[11] ( PIN mprj_io_out[11] ) ( mprj_pads/area1_io_pad\[11\] OUT ) + USE SIGNAL ;
- mprj_io_out[12] ( PIN mprj_io_out[12] ) ( mprj_pads/area1_io_pad\[12\] OUT ) + USE SIGNAL ;
- mprj_io_out[13] ( PIN mprj_io_out[13] ) ( mprj_pads/area1_io_pad\[13\] OUT ) + USE SIGNAL ;
- mprj_io_out[14] ( PIN mprj_io_out[14] ) ( mprj_pads/area1_io_pad\[14\] OUT ) + USE SIGNAL ;
- mprj_io_out[15] ( PIN mprj_io_out[15] ) ( mprj_pads/area1_io_pad\[15\] OUT ) + USE SIGNAL ;
- mprj_io_out[16] ( PIN mprj_io_out[16] ) ( mprj_pads/area1_io_pad\[16\] OUT ) + USE SIGNAL ;
- mprj_io_out[17] ( PIN mprj_io_out[17] ) ( mprj_pads/area1_io_pad\[17\] OUT ) + USE SIGNAL ;
- mprj_io_out[18] ( PIN mprj_io_out[18] ) ( mprj_pads/area2_io_pad\[0\] OUT ) + USE SIGNAL ;
- mprj_io_out[19] ( PIN mprj_io_out[19] ) ( mprj_pads/area2_io_pad\[1\] OUT ) + USE SIGNAL ;
- mprj_io_out[1] ( PIN mprj_io_out[1] ) ( mprj_pads/area1_io_pad\[1\] OUT ) + USE SIGNAL ;
- mprj_io_out[20] ( PIN mprj_io_out[20] ) ( mprj_pads/area2_io_pad\[2\] OUT ) + USE SIGNAL ;
- mprj_io_out[21] ( PIN mprj_io_out[21] ) ( mprj_pads/area2_io_pad\[3\] OUT ) + USE SIGNAL ;
- mprj_io_out[22] ( PIN mprj_io_out[22] ) ( mprj_pads/area2_io_pad\[4\] OUT ) + USE SIGNAL ;
- mprj_io_out[23] ( PIN mprj_io_out[23] ) ( mprj_pads/area2_io_pad\[5\] OUT ) + USE SIGNAL ;
- mprj_io_out[24] ( PIN mprj_io_out[24] ) ( mprj_pads/area2_io_pad\[6\] OUT ) + USE SIGNAL ;
- mprj_io_out[25] ( PIN mprj_io_out[25] ) ( mprj_pads/area2_io_pad\[7\] OUT ) + USE SIGNAL ;
- mprj_io_out[26] ( PIN mprj_io_out[26] ) ( mprj_pads/area2_io_pad\[8\] OUT ) + USE SIGNAL ;
- mprj_io_out[27] ( PIN mprj_io_out[27] ) ( mprj_pads/area2_io_pad\[9\] OUT ) + USE SIGNAL ;
- mprj_io_out[28] ( PIN mprj_io_out[28] ) ( mprj_pads/area2_io_pad\[10\] OUT ) + USE SIGNAL ;
- mprj_io_out[29] ( PIN mprj_io_out[29] ) ( mprj_pads/area2_io_pad\[11\] OUT ) + USE SIGNAL ;
- mprj_io_out[2] ( PIN mprj_io_out[2] ) ( mprj_pads/area1_io_pad\[2\] OUT ) + USE SIGNAL ;
- mprj_io_out[30] ( PIN mprj_io_out[30] ) ( mprj_pads/area2_io_pad\[12\] OUT ) + USE SIGNAL ;
- mprj_io_out[31] ( PIN mprj_io_out[31] ) ( mprj_pads/area2_io_pad\[13\] OUT ) + USE SIGNAL ;
- mprj_io_out[32] ( PIN mprj_io_out[32] ) ( mprj_pads/area2_io_pad\[14\] OUT ) + USE SIGNAL ;
- mprj_io_out[33] ( PIN mprj_io_out[33] ) ( mprj_pads/area2_io_pad\[15\] OUT ) + USE SIGNAL ;
- mprj_io_out[34] ( PIN mprj_io_out[34] ) ( mprj_pads/area2_io_pad\[16\] OUT ) + USE SIGNAL ;
- mprj_io_out[35] ( PIN mprj_io_out[35] ) ( mprj_pads/area2_io_pad\[17\] OUT ) + USE SIGNAL ;
- mprj_io_out[36] ( PIN mprj_io_out[36] ) ( mprj_pads/area2_io_pad\[18\] OUT ) + USE SIGNAL ;
- mprj_io_out[37] ( PIN mprj_io_out[37] ) ( mprj_pads/area2_io_pad\[19\] OUT ) + USE SIGNAL ;
- mprj_io_out[3] ( PIN mprj_io_out[3] ) ( mprj_pads/area1_io_pad\[3\] OUT ) + USE SIGNAL ;
- mprj_io_out[4] ( PIN mprj_io_out[4] ) ( mprj_pads/area1_io_pad\[4\] OUT ) + USE SIGNAL ;
- mprj_io_out[5] ( PIN mprj_io_out[5] ) ( mprj_pads/area1_io_pad\[5\] OUT ) + USE SIGNAL ;
- mprj_io_out[6] ( PIN mprj_io_out[6] ) ( mprj_pads/area1_io_pad\[6\] OUT ) + USE SIGNAL ;
- mprj_io_out[7] ( PIN mprj_io_out[7] ) ( mprj_pads/area1_io_pad\[7\] OUT ) + USE SIGNAL ;
- mprj_io_out[8] ( PIN mprj_io_out[8] ) ( mprj_pads/area1_io_pad\[8\] OUT ) + USE SIGNAL ;
- mprj_io_out[9] ( PIN mprj_io_out[9] ) ( mprj_pads/area1_io_pad\[9\] OUT ) + USE SIGNAL ;
- mprj_io_slow_sel[0] ( PIN mprj_io_slow_sel[0] ) ( mprj_pads/area1_io_pad\[0\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[10] ( PIN mprj_io_slow_sel[10] ) ( mprj_pads/area1_io_pad\[10\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[11] ( PIN mprj_io_slow_sel[11] ) ( mprj_pads/area1_io_pad\[11\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[12] ( PIN mprj_io_slow_sel[12] ) ( mprj_pads/area1_io_pad\[12\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[13] ( PIN mprj_io_slow_sel[13] ) ( mprj_pads/area1_io_pad\[13\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[14] ( PIN mprj_io_slow_sel[14] ) ( mprj_pads/area1_io_pad\[14\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[15] ( PIN mprj_io_slow_sel[15] ) ( mprj_pads/area1_io_pad\[15\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[16] ( PIN mprj_io_slow_sel[16] ) ( mprj_pads/area1_io_pad\[16\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[17] ( PIN mprj_io_slow_sel[17] ) ( mprj_pads/area1_io_pad\[17\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[18] ( PIN mprj_io_slow_sel[18] ) ( mprj_pads/area2_io_pad\[0\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[19] ( PIN mprj_io_slow_sel[19] ) ( mprj_pads/area2_io_pad\[1\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[1] ( PIN mprj_io_slow_sel[1] ) ( mprj_pads/area1_io_pad\[1\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[20] ( PIN mprj_io_slow_sel[20] ) ( mprj_pads/area2_io_pad\[2\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[21] ( PIN mprj_io_slow_sel[21] ) ( mprj_pads/area2_io_pad\[3\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[22] ( PIN mprj_io_slow_sel[22] ) ( mprj_pads/area2_io_pad\[4\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[23] ( PIN mprj_io_slow_sel[23] ) ( mprj_pads/area2_io_pad\[5\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[24] ( PIN mprj_io_slow_sel[24] ) ( mprj_pads/area2_io_pad\[6\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[25] ( PIN mprj_io_slow_sel[25] ) ( mprj_pads/area2_io_pad\[7\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[26] ( PIN mprj_io_slow_sel[26] ) ( mprj_pads/area2_io_pad\[8\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[27] ( PIN mprj_io_slow_sel[27] ) ( mprj_pads/area2_io_pad\[9\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[28] ( PIN mprj_io_slow_sel[28] ) ( mprj_pads/area2_io_pad\[10\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[29] ( PIN mprj_io_slow_sel[29] ) ( mprj_pads/area2_io_pad\[11\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[2] ( PIN mprj_io_slow_sel[2] ) ( mprj_pads/area1_io_pad\[2\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[30] ( PIN mprj_io_slow_sel[30] ) ( mprj_pads/area2_io_pad\[12\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[31] ( PIN mprj_io_slow_sel[31] ) ( mprj_pads/area2_io_pad\[13\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[32] ( PIN mprj_io_slow_sel[32] ) ( mprj_pads/area2_io_pad\[14\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[33] ( PIN mprj_io_slow_sel[33] ) ( mprj_pads/area2_io_pad\[15\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[34] ( PIN mprj_io_slow_sel[34] ) ( mprj_pads/area2_io_pad\[16\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[35] ( PIN mprj_io_slow_sel[35] ) ( mprj_pads/area2_io_pad\[17\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[36] ( PIN mprj_io_slow_sel[36] ) ( mprj_pads/area2_io_pad\[18\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[37] ( PIN mprj_io_slow_sel[37] ) ( mprj_pads/area2_io_pad\[19\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[3] ( PIN mprj_io_slow_sel[3] ) ( mprj_pads/area1_io_pad\[3\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[4] ( PIN mprj_io_slow_sel[4] ) ( mprj_pads/area1_io_pad\[4\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[5] ( PIN mprj_io_slow_sel[5] ) ( mprj_pads/area1_io_pad\[5\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[6] ( PIN mprj_io_slow_sel[6] ) ( mprj_pads/area1_io_pad\[6\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[7] ( PIN mprj_io_slow_sel[7] ) ( mprj_pads/area1_io_pad\[7\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[8] ( PIN mprj_io_slow_sel[8] ) ( mprj_pads/area1_io_pad\[8\] SLOW ) + USE SIGNAL ;
- mprj_io_slow_sel[9] ( PIN mprj_io_slow_sel[9] ) ( mprj_pads/area1_io_pad\[9\] SLOW ) + USE SIGNAL ;
- mprj_io_vtrip_sel[0] ( PIN mprj_io_vtrip_sel[0] ) ( mprj_pads/area1_io_pad\[0\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[10] ( PIN mprj_io_vtrip_sel[10] ) ( mprj_pads/area1_io_pad\[10\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[11] ( PIN mprj_io_vtrip_sel[11] ) ( mprj_pads/area1_io_pad\[11\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[12] ( PIN mprj_io_vtrip_sel[12] ) ( mprj_pads/area1_io_pad\[12\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[13] ( PIN mprj_io_vtrip_sel[13] ) ( mprj_pads/area1_io_pad\[13\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[14] ( PIN mprj_io_vtrip_sel[14] ) ( mprj_pads/area1_io_pad\[14\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[15] ( PIN mprj_io_vtrip_sel[15] ) ( mprj_pads/area1_io_pad\[15\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[16] ( PIN mprj_io_vtrip_sel[16] ) ( mprj_pads/area1_io_pad\[16\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[17] ( PIN mprj_io_vtrip_sel[17] ) ( mprj_pads/area1_io_pad\[17\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[18] ( PIN mprj_io_vtrip_sel[18] ) ( mprj_pads/area2_io_pad\[0\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[19] ( PIN mprj_io_vtrip_sel[19] ) ( mprj_pads/area2_io_pad\[1\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[1] ( PIN mprj_io_vtrip_sel[1] ) ( mprj_pads/area1_io_pad\[1\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[20] ( PIN mprj_io_vtrip_sel[20] ) ( mprj_pads/area2_io_pad\[2\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[21] ( PIN mprj_io_vtrip_sel[21] ) ( mprj_pads/area2_io_pad\[3\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[22] ( PIN mprj_io_vtrip_sel[22] ) ( mprj_pads/area2_io_pad\[4\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[23] ( PIN mprj_io_vtrip_sel[23] ) ( mprj_pads/area2_io_pad\[5\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[24] ( PIN mprj_io_vtrip_sel[24] ) ( mprj_pads/area2_io_pad\[6\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[25] ( PIN mprj_io_vtrip_sel[25] ) ( mprj_pads/area2_io_pad\[7\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[26] ( PIN mprj_io_vtrip_sel[26] ) ( mprj_pads/area2_io_pad\[8\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[27] ( PIN mprj_io_vtrip_sel[27] ) ( mprj_pads/area2_io_pad\[9\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[28] ( PIN mprj_io_vtrip_sel[28] ) ( mprj_pads/area2_io_pad\[10\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[29] ( PIN mprj_io_vtrip_sel[29] ) ( mprj_pads/area2_io_pad\[11\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[2] ( PIN mprj_io_vtrip_sel[2] ) ( mprj_pads/area1_io_pad\[2\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[30] ( PIN mprj_io_vtrip_sel[30] ) ( mprj_pads/area2_io_pad\[12\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[31] ( PIN mprj_io_vtrip_sel[31] ) ( mprj_pads/area2_io_pad\[13\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[32] ( PIN mprj_io_vtrip_sel[32] ) ( mprj_pads/area2_io_pad\[14\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[33] ( PIN mprj_io_vtrip_sel[33] ) ( mprj_pads/area2_io_pad\[15\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[34] ( PIN mprj_io_vtrip_sel[34] ) ( mprj_pads/area2_io_pad\[16\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[35] ( PIN mprj_io_vtrip_sel[35] ) ( mprj_pads/area2_io_pad\[17\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[36] ( PIN mprj_io_vtrip_sel[36] ) ( mprj_pads/area2_io_pad\[18\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[37] ( PIN mprj_io_vtrip_sel[37] ) ( mprj_pads/area2_io_pad\[19\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[3] ( PIN mprj_io_vtrip_sel[3] ) ( mprj_pads/area1_io_pad\[3\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[4] ( PIN mprj_io_vtrip_sel[4] ) ( mprj_pads/area1_io_pad\[4\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[5] ( PIN mprj_io_vtrip_sel[5] ) ( mprj_pads/area1_io_pad\[5\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[6] ( PIN mprj_io_vtrip_sel[6] ) ( mprj_pads/area1_io_pad\[6\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[7] ( PIN mprj_io_vtrip_sel[7] ) ( mprj_pads/area1_io_pad\[7\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[8] ( PIN mprj_io_vtrip_sel[8] ) ( mprj_pads/area1_io_pad\[8\] VTRIP_SEL ) + USE SIGNAL ;
- mprj_io_vtrip_sel[9] ( PIN mprj_io_vtrip_sel[9] ) ( mprj_pads/area1_io_pad\[9\] VTRIP_SEL ) + USE SIGNAL ;
- por ( PIN por ) ( clock_pad INP_DIS ) + USE SIGNAL ;
- porb_h ( PIN porb_h ) ( resetb_pad ENABLE_H ) ( mprj_pads/area2_io_pad\[9\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[8\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[7\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[6\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[5\] ENABLE_VDDA_H )
( mprj_pads/area2_io_pad\[4\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[3\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[2\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[1\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[19\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[18\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[17\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[16\] ENABLE_VDDA_H )
( mprj_pads/area2_io_pad\[15\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[14\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[13\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[12\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[11\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[10\] ENABLE_VDDA_H ) ( mprj_pads/area2_io_pad\[0\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[9\] ENABLE_VDDA_H )
( mprj_pads/area1_io_pad\[8\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[7\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[6\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[5\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[4\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[3\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[2\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[1\] ENABLE_VDDA_H )
( mprj_pads/area1_io_pad\[17\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[16\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[15\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[14\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[13\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[12\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[11\] ENABLE_VDDA_H ) ( mprj_pads/area1_io_pad\[10\] ENABLE_VDDA_H )
( mprj_pads/area1_io_pad\[0\] ENABLE_VDDA_H ) ( gpio_pad ENABLE_VDDA_H ) ( gpio_pad ENABLE_H ) ( flash_io1_pad ENABLE_VDDA_H ) ( flash_io1_pad ENABLE_H ) ( flash_io0_pad ENABLE_VDDA_H ) ( flash_io0_pad ENABLE_H ) ( flash_csb_pad ENABLE_VDDA_H )
( flash_csb_pad ENABLE_H ) ( flash_clk_pad ENABLE_VDDA_H ) ( flash_clk_pad ENABLE_H ) ( clock_pad ENABLE_VDDA_H ) ( clock_pad ENABLE_H ) + USE SIGNAL ;
- resetb ( PIN resetb ) ( resetb_pad PAD ) + USE SIGNAL ;
- resetb_core_h ( PIN resetb_core_h ) ( resetb_pad XRES_H_N ) + USE SIGNAL ;
- vccd ( PIN vccd ) ( user2_vssd_lvclmap_pad VCCHIB ) ( user2_vssa_hvclamp_pad VCCHIB ) ( user2_vdda_hvclamp_pad VCCHIB ) ( user2_vccd_lvclamp_pad VCCHIB ) ( user2_corner VCCHIB ) ( user1_vssd_lvclmap_pad VCCHIB )
( user1_vssa_hvclamp_pad\[1\] VCCHIB ) ( user1_vssa_hvclamp_pad\[0\] VCCHIB ) ( user1_vdda_hvclamp_pad\[1\] VCCHIB ) ( user1_vdda_hvclamp_pad\[0\] VCCHIB ) ( user1_vccd_lvclamp_pad VCCHIB ) ( user1_corner VCCHIB ) ( resetb_pad VCCHIB ) ( resetb_pad VCCD )
( resetb_pad ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[9\] VCCHIB ) ( mprj_pads/area2_io_pad\[9\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[8\] VCCHIB ) ( mprj_pads/area2_io_pad\[8\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[7\] VCCHIB ) ( mprj_pads/area2_io_pad\[7\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[6\] VCCHIB )
( mprj_pads/area2_io_pad\[6\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[5\] VCCHIB ) ( mprj_pads/area2_io_pad\[5\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[4\] VCCHIB ) ( mprj_pads/area2_io_pad\[4\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[3\] VCCHIB ) ( mprj_pads/area2_io_pad\[3\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[2\] VCCHIB )
( mprj_pads/area2_io_pad\[2\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[1\] VCCHIB ) ( mprj_pads/area2_io_pad\[1\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[19\] VCCHIB ) ( mprj_pads/area2_io_pad\[19\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[18\] VCCHIB ) ( mprj_pads/area2_io_pad\[18\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[17\] VCCHIB )
( mprj_pads/area2_io_pad\[17\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[16\] VCCHIB ) ( mprj_pads/area2_io_pad\[16\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[15\] VCCHIB ) ( mprj_pads/area2_io_pad\[15\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[14\] VCCHIB ) ( mprj_pads/area2_io_pad\[14\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[13\] VCCHIB )
( mprj_pads/area2_io_pad\[13\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[12\] VCCHIB ) ( mprj_pads/area2_io_pad\[12\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[11\] VCCHIB ) ( mprj_pads/area2_io_pad\[11\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[10\] VCCHIB ) ( mprj_pads/area2_io_pad\[10\] ENABLE_VDDIO ) ( mprj_pads/area2_io_pad\[0\] VCCHIB )
( mprj_pads/area2_io_pad\[0\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[9\] VCCHIB ) ( mprj_pads/area1_io_pad\[9\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[8\] VCCHIB ) ( mprj_pads/area1_io_pad\[8\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[7\] VCCHIB ) ( mprj_pads/area1_io_pad\[7\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[6\] VCCHIB )
( mprj_pads/area1_io_pad\[6\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[5\] VCCHIB ) ( mprj_pads/area1_io_pad\[5\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[4\] VCCHIB ) ( mprj_pads/area1_io_pad\[4\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[3\] VCCHIB ) ( mprj_pads/area1_io_pad\[3\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[2\] VCCHIB )
( mprj_pads/area1_io_pad\[2\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[1\] VCCHIB ) ( mprj_pads/area1_io_pad\[1\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[17\] VCCHIB ) ( mprj_pads/area1_io_pad\[17\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[16\] VCCHIB ) ( mprj_pads/area1_io_pad\[16\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[15\] VCCHIB )
( mprj_pads/area1_io_pad\[15\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[14\] VCCHIB ) ( mprj_pads/area1_io_pad\[14\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[13\] VCCHIB ) ( mprj_pads/area1_io_pad\[13\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[12\] VCCHIB ) ( mprj_pads/area1_io_pad\[12\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[11\] VCCHIB )
( mprj_pads/area1_io_pad\[11\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[10\] VCCHIB ) ( mprj_pads/area1_io_pad\[10\] ENABLE_VDDIO ) ( mprj_pads/area1_io_pad\[0\] VCCHIB ) ( mprj_pads/area1_io_pad\[0\] ENABLE_VDDIO ) ( mgmt_vssio_hvclamp_pad\[1\] VCCHIB ) ( mgmt_vssio_hvclamp_pad\[1\] VCCD ) ( mgmt_vssio_hvclamp_pad\[0\] VCCHIB )
( mgmt_vssio_hvclamp_pad\[0\] VCCD ) ( mgmt_vssd_lvclmap_pad VCCHIB ) ( mgmt_vssd_lvclmap_pad VCCD ) ( mgmt_vssd_lvclmap_pad DRN_LVC2 ) ( mgmt_vssd_lvclmap_pad DRN_LVC1 ) ( mgmt_vssa_hvclamp_pad VCCHIB ) ( mgmt_vssa_hvclamp_pad VCCD ) ( mgmt_vddio_hvclamp_pad\[1\] VCCHIB )
( mgmt_vddio_hvclamp_pad\[1\] VCCD ) ( mgmt_vddio_hvclamp_pad\[0\] VCCHIB ) ( mgmt_vddio_hvclamp_pad\[0\] VCCD ) ( mgmt_vdda_hvclamp_pad VCCHIB ) ( mgmt_vdda_hvclamp_pad VCCD ) ( mgmt_vccd_lvclamp_pad VCCHIB ) ( mgmt_vccd_lvclamp_pad VCCD ) ( mgmt_vccd_lvclamp_pad DRN_LVC2 )
( mgmt_vccd_lvclamp_pad DRN_LVC1 ) ( mgmt_corner\[1\] VCCHIB ) ( mgmt_corner\[1\] VCCD ) ( mgmt_corner\[0\] VCCHIB ) ( mgmt_corner\[0\] VCCD ) ( gpio_pad VCCHIB ) ( gpio_pad VCCD ) ( gpio_pad ENABLE_VDDIO )
( flash_io1_pad VCCHIB ) ( flash_io1_pad VCCD ) ( flash_io1_pad ENABLE_VDDIO ) ( flash_io0_pad VCCHIB ) ( flash_io0_pad VCCD ) ( flash_io0_pad ENABLE_VDDIO ) ( flash_csb_pad VCCHIB ) ( flash_csb_pad VCCD )
( flash_csb_pad ENABLE_VDDIO ) ( flash_csb_pad DM[2] ) ( flash_csb_pad DM[1] ) ( flash_clk_pad VCCHIB ) ( flash_clk_pad VCCD ) ( flash_clk_pad ENABLE_VDDIO ) ( flash_clk_pad DM[2] ) ( flash_clk_pad DM[1] )
( clock_pad VCCHIB ) ( clock_pad VCCD ) ( clock_pad OE_N ) ( clock_pad ENABLE_VDDIO ) ( clock_pad DM[0] ) + USE SIGNAL ;
- vccd1 ( PIN vccd1 ) ( user1_vssd_lvclmap_pad VCCD ) ( user1_vssd_lvclmap_pad DRN_LVC2 ) ( user1_vssd_lvclmap_pad DRN_LVC1 ) ( user1_vssa_hvclamp_pad\[1\] VCCD ) ( user1_vssa_hvclamp_pad\[0\] VCCD ) ( user1_vdda_hvclamp_pad\[1\] VCCD )
( user1_vdda_hvclamp_pad\[0\] VCCD ) ( user1_vccd_lvclamp_pad VCCD ) ( user1_vccd_lvclamp_pad DRN_LVC2 ) ( user1_vccd_lvclamp_pad DRN_LVC1 ) ( user1_corner VCCD ) ( mprj_pads/area1_io_pad\[9\] VCCD ) ( mprj_pads/area1_io_pad\[8\] VCCD ) ( mprj_pads/area1_io_pad\[7\] VCCD )
( mprj_pads/area1_io_pad\[6\] VCCD ) ( mprj_pads/area1_io_pad\[5\] VCCD ) ( mprj_pads/area1_io_pad\[4\] VCCD ) ( mprj_pads/area1_io_pad\[3\] VCCD ) ( mprj_pads/area1_io_pad\[2\] VCCD ) ( mprj_pads/area1_io_pad\[1\] VCCD ) ( mprj_pads/area1_io_pad\[17\] VCCD ) ( mprj_pads/area1_io_pad\[16\] VCCD )
( mprj_pads/area1_io_pad\[15\] VCCD ) ( mprj_pads/area1_io_pad\[14\] VCCD ) ( mprj_pads/area1_io_pad\[13\] VCCD ) ( mprj_pads/area1_io_pad\[12\] VCCD ) ( mprj_pads/area1_io_pad\[11\] VCCD ) ( mprj_pads/area1_io_pad\[10\] VCCD ) ( mprj_pads/area1_io_pad\[0\] VCCD ) + USE SIGNAL ;
- vccd2 ( PIN vccd2 ) ( user2_vssd_lvclmap_pad VCCD ) ( user2_vssd_lvclmap_pad DRN_LVC2 ) ( user2_vssd_lvclmap_pad DRN_LVC1 ) ( user2_vssa_hvclamp_pad VCCD ) ( user2_vdda_hvclamp_pad VCCD ) ( user2_vccd_lvclamp_pad VCCD )
( user2_vccd_lvclamp_pad DRN_LVC2 ) ( user2_vccd_lvclamp_pad DRN_LVC1 ) ( user2_corner VCCD ) ( mprj_pads/area2_io_pad\[9\] VCCD ) ( mprj_pads/area2_io_pad\[8\] VCCD ) ( mprj_pads/area2_io_pad\[7\] VCCD ) ( mprj_pads/area2_io_pad\[6\] VCCD ) ( mprj_pads/area2_io_pad\[5\] VCCD )
( mprj_pads/area2_io_pad\[4\] VCCD ) ( mprj_pads/area2_io_pad\[3\] VCCD ) ( mprj_pads/area2_io_pad\[2\] VCCD ) ( mprj_pads/area2_io_pad\[1\] VCCD ) ( mprj_pads/area2_io_pad\[19\] VCCD ) ( mprj_pads/area2_io_pad\[18\] VCCD ) ( mprj_pads/area2_io_pad\[17\] VCCD ) ( mprj_pads/area2_io_pad\[16\] VCCD )
( mprj_pads/area2_io_pad\[15\] VCCD ) ( mprj_pads/area2_io_pad\[14\] VCCD ) ( mprj_pads/area2_io_pad\[13\] VCCD ) ( mprj_pads/area2_io_pad\[12\] VCCD ) ( mprj_pads/area2_io_pad\[11\] VCCD ) ( mprj_pads/area2_io_pad\[10\] VCCD ) ( mprj_pads/area2_io_pad\[0\] VCCD ) + USE SIGNAL ;
- vdda ( PIN vdda ) ( resetb_pad VDDA ) ( mgmt_vssio_hvclamp_pad\[1\] VDDA ) ( mgmt_vssio_hvclamp_pad\[0\] VDDA ) ( mgmt_vssd_lvclmap_pad VDDA ) ( mgmt_vssa_hvclamp_pad VDDA ) ( mgmt_vssa_hvclamp_pad DRN_HVC )
( mgmt_vddio_hvclamp_pad\[1\] VDDA ) ( mgmt_vddio_hvclamp_pad\[0\] VDDA ) ( mgmt_vdda_hvclamp_pad VDDA ) ( mgmt_vdda_hvclamp_pad DRN_HVC ) ( mgmt_vccd_lvclamp_pad VDDA ) ( mgmt_corner\[1\] VDDA ) ( mgmt_corner\[0\] VDDA ) ( gpio_pad VDDA )
( flash_io1_pad VDDA ) ( flash_io0_pad VDDA ) ( flash_csb_pad VDDA ) ( flash_clk_pad VDDA ) ( clock_pad VDDA ) + USE SIGNAL ;
- vdda1 ( PIN vdda1 ) ( user1_vssd_lvclmap_pad VDDA ) ( user1_vssa_hvclamp_pad\[1\] VDDA ) ( user1_vssa_hvclamp_pad\[1\] DRN_HVC ) ( user1_vssa_hvclamp_pad\[0\] VDDA ) ( user1_vssa_hvclamp_pad\[0\] DRN_HVC ) ( user1_vdda_hvclamp_pad\[1\] VDDA )
( user1_vdda_hvclamp_pad\[1\] DRN_HVC ) ( user1_vdda_hvclamp_pad\[0\] VDDA ) ( user1_vdda_hvclamp_pad\[0\] DRN_HVC ) ( user1_vccd_lvclamp_pad VDDA ) ( user1_corner VDDA ) ( mprj_pads/area1_io_pad\[9\] VDDA ) ( mprj_pads/area1_io_pad\[8\] VDDA ) ( mprj_pads/area1_io_pad\[7\] VDDA )
( mprj_pads/area1_io_pad\[6\] VDDA ) ( mprj_pads/area1_io_pad\[5\] VDDA ) ( mprj_pads/area1_io_pad\[4\] VDDA ) ( mprj_pads/area1_io_pad\[3\] VDDA ) ( mprj_pads/area1_io_pad\[2\] VDDA ) ( mprj_pads/area1_io_pad\[1\] VDDA ) ( mprj_pads/area1_io_pad\[17\] VDDA ) ( mprj_pads/area1_io_pad\[16\] VDDA )
( mprj_pads/area1_io_pad\[15\] VDDA ) ( mprj_pads/area1_io_pad\[14\] VDDA ) ( mprj_pads/area1_io_pad\[13\] VDDA ) ( mprj_pads/area1_io_pad\[12\] VDDA ) ( mprj_pads/area1_io_pad\[11\] VDDA ) ( mprj_pads/area1_io_pad\[10\] VDDA ) ( mprj_pads/area1_io_pad\[0\] VDDA ) + USE SIGNAL ;
- vdda2 ( PIN vdda2 ) ( user2_vssd_lvclmap_pad VDDA ) ( user2_vssa_hvclamp_pad VDDA ) ( user2_vssa_hvclamp_pad DRN_HVC ) ( user2_vdda_hvclamp_pad VDDA ) ( user2_vdda_hvclamp_pad DRN_HVC ) ( user2_vccd_lvclamp_pad VDDA )
( user2_corner VDDA ) ( mprj_pads/area2_io_pad\[9\] VDDA ) ( mprj_pads/area2_io_pad\[8\] VDDA ) ( mprj_pads/area2_io_pad\[7\] VDDA ) ( mprj_pads/area2_io_pad\[6\] VDDA ) ( mprj_pads/area2_io_pad\[5\] VDDA ) ( mprj_pads/area2_io_pad\[4\] VDDA ) ( mprj_pads/area2_io_pad\[3\] VDDA )
( mprj_pads/area2_io_pad\[2\] VDDA ) ( mprj_pads/area2_io_pad\[1\] VDDA ) ( mprj_pads/area2_io_pad\[19\] VDDA ) ( mprj_pads/area2_io_pad\[18\] VDDA ) ( mprj_pads/area2_io_pad\[17\] VDDA ) ( mprj_pads/area2_io_pad\[16\] VDDA ) ( mprj_pads/area2_io_pad\[15\] VDDA ) ( mprj_pads/area2_io_pad\[14\] VDDA )
( mprj_pads/area2_io_pad\[13\] VDDA ) ( mprj_pads/area2_io_pad\[12\] VDDA ) ( mprj_pads/area2_io_pad\[11\] VDDA ) ( mprj_pads/area2_io_pad\[10\] VDDA ) ( mprj_pads/area2_io_pad\[0\] VDDA ) + USE SIGNAL ;
- vddio ( PIN vddio ) ( user2_vssd_lvclmap_pad VSWITCH ) ( user2_vssd_lvclmap_pad VDDIO ) ( user2_vssa_hvclamp_pad VSWITCH ) ( user2_vssa_hvclamp_pad VDDIO ) ( user2_vdda_hvclamp_pad VSWITCH ) ( user2_vdda_hvclamp_pad VDDIO )
( user2_vccd_lvclamp_pad VSWITCH ) ( user2_vccd_lvclamp_pad VDDIO ) ( user2_corner VSWITCH ) ( user2_corner VDDIO ) ( user1_vssd_lvclmap_pad VSWITCH ) ( user1_vssd_lvclmap_pad VDDIO ) ( user1_vssa_hvclamp_pad\[1\] VSWITCH ) ( user1_vssa_hvclamp_pad\[1\] VDDIO )
( user1_vssa_hvclamp_pad\[0\] VSWITCH ) ( user1_vssa_hvclamp_pad\[0\] VDDIO ) ( user1_vdda_hvclamp_pad\[1\] VSWITCH ) ( user1_vdda_hvclamp_pad\[1\] VDDIO ) ( user1_vdda_hvclamp_pad\[0\] VSWITCH ) ( user1_vdda_hvclamp_pad\[0\] VDDIO ) ( user1_vccd_lvclamp_pad VSWITCH ) ( user1_vccd_lvclamp_pad VDDIO )
( user1_corner VSWITCH ) ( user1_corner VDDIO ) ( resetb_pad VSWITCH ) ( resetb_pad VDDIO ) ( mprj_pads/area2_io_pad\[9\] VSWITCH ) ( mprj_pads/area2_io_pad\[9\] VDDIO ) ( mprj_pads/area2_io_pad\[8\] VSWITCH ) ( mprj_pads/area2_io_pad\[8\] VDDIO )
( mprj_pads/area2_io_pad\[7\] VSWITCH ) ( mprj_pads/area2_io_pad\[7\] VDDIO ) ( mprj_pads/area2_io_pad\[6\] VSWITCH ) ( mprj_pads/area2_io_pad\[6\] VDDIO ) ( mprj_pads/area2_io_pad\[5\] VSWITCH ) ( mprj_pads/area2_io_pad\[5\] VDDIO ) ( mprj_pads/area2_io_pad\[4\] VSWITCH ) ( mprj_pads/area2_io_pad\[4\] VDDIO )
( mprj_pads/area2_io_pad\[3\] VSWITCH ) ( mprj_pads/area2_io_pad\[3\] VDDIO ) ( mprj_pads/area2_io_pad\[2\] VSWITCH ) ( mprj_pads/area2_io_pad\[2\] VDDIO ) ( mprj_pads/area2_io_pad\[1\] VSWITCH ) ( mprj_pads/area2_io_pad\[1\] VDDIO ) ( mprj_pads/area2_io_pad\[19\] VSWITCH ) ( mprj_pads/area2_io_pad\[19\] VDDIO )
( mprj_pads/area2_io_pad\[18\] VSWITCH ) ( mprj_pads/area2_io_pad\[18\] VDDIO ) ( mprj_pads/area2_io_pad\[17\] VSWITCH ) ( mprj_pads/area2_io_pad\[17\] VDDIO ) ( mprj_pads/area2_io_pad\[16\] VSWITCH ) ( mprj_pads/area2_io_pad\[16\] VDDIO ) ( mprj_pads/area2_io_pad\[15\] VSWITCH ) ( mprj_pads/area2_io_pad\[15\] VDDIO )
( mprj_pads/area2_io_pad\[14\] VSWITCH ) ( mprj_pads/area2_io_pad\[14\] VDDIO ) ( mprj_pads/area2_io_pad\[13\] VSWITCH ) ( mprj_pads/area2_io_pad\[13\] VDDIO ) ( mprj_pads/area2_io_pad\[12\] VSWITCH ) ( mprj_pads/area2_io_pad\[12\] VDDIO ) ( mprj_pads/area2_io_pad\[11\] VSWITCH ) ( mprj_pads/area2_io_pad\[11\] VDDIO )
( mprj_pads/area2_io_pad\[10\] VSWITCH ) ( mprj_pads/area2_io_pad\[10\] VDDIO ) ( mprj_pads/area2_io_pad\[0\] VSWITCH ) ( mprj_pads/area2_io_pad\[0\] VDDIO ) ( mprj_pads/area1_io_pad\[9\] VSWITCH ) ( mprj_pads/area1_io_pad\[9\] VDDIO ) ( mprj_pads/area1_io_pad\[8\] VSWITCH ) ( mprj_pads/area1_io_pad\[8\] VDDIO )
( mprj_pads/area1_io_pad\[7\] VSWITCH ) ( mprj_pads/area1_io_pad\[7\] VDDIO ) ( mprj_pads/area1_io_pad\[6\] VSWITCH ) ( mprj_pads/area1_io_pad\[6\] VDDIO ) ( mprj_pads/area1_io_pad\[5\] VSWITCH ) ( mprj_pads/area1_io_pad\[5\] VDDIO ) ( mprj_pads/area1_io_pad\[4\] VSWITCH ) ( mprj_pads/area1_io_pad\[4\] VDDIO )
( mprj_pads/area1_io_pad\[3\] VSWITCH ) ( mprj_pads/area1_io_pad\[3\] VDDIO ) ( mprj_pads/area1_io_pad\[2\] VSWITCH ) ( mprj_pads/area1_io_pad\[2\] VDDIO ) ( mprj_pads/area1_io_pad\[1\] VSWITCH ) ( mprj_pads/area1_io_pad\[1\] VDDIO ) ( mprj_pads/area1_io_pad\[17\] VSWITCH ) ( mprj_pads/area1_io_pad\[17\] VDDIO )
( mprj_pads/area1_io_pad\[16\] VSWITCH ) ( mprj_pads/area1_io_pad\[16\] VDDIO ) ( mprj_pads/area1_io_pad\[15\] VSWITCH ) ( mprj_pads/area1_io_pad\[15\] VDDIO ) ( mprj_pads/area1_io_pad\[14\] VSWITCH ) ( mprj_pads/area1_io_pad\[14\] VDDIO ) ( mprj_pads/area1_io_pad\[13\] VSWITCH ) ( mprj_pads/area1_io_pad\[13\] VDDIO )
( mprj_pads/area1_io_pad\[12\] VSWITCH ) ( mprj_pads/area1_io_pad\[12\] VDDIO ) ( mprj_pads/area1_io_pad\[11\] VSWITCH ) ( mprj_pads/area1_io_pad\[11\] VDDIO ) ( mprj_pads/area1_io_pad\[10\] VSWITCH ) ( mprj_pads/area1_io_pad\[10\] VDDIO ) ( mprj_pads/area1_io_pad\[0\] VSWITCH ) ( mprj_pads/area1_io_pad\[0\] VDDIO )
( mgmt_vssio_hvclamp_pad\[1\] VSWITCH ) ( mgmt_vssio_hvclamp_pad\[1\] VDDIO ) ( mgmt_vssio_hvclamp_pad\[1\] DRN_HVC ) ( mgmt_vssio_hvclamp_pad\[0\] VSWITCH ) ( mgmt_vssio_hvclamp_pad\[0\] VDDIO ) ( mgmt_vssio_hvclamp_pad\[0\] DRN_HVC ) ( mgmt_vssd_lvclmap_pad VSWITCH ) ( mgmt_vssd_lvclmap_pad VDDIO )
( mgmt_vssa_hvclamp_pad VSWITCH ) ( mgmt_vssa_hvclamp_pad VDDIO ) ( mgmt_vddio_hvclamp_pad\[1\] VSWITCH ) ( mgmt_vddio_hvclamp_pad\[1\] VDDIO ) ( mgmt_vddio_hvclamp_pad\[1\] DRN_HVC ) ( mgmt_vddio_hvclamp_pad\[0\] VSWITCH ) ( mgmt_vddio_hvclamp_pad\[0\] VDDIO ) ( mgmt_vddio_hvclamp_pad\[0\] DRN_HVC )
( mgmt_vdda_hvclamp_pad VSWITCH ) ( mgmt_vdda_hvclamp_pad VDDIO ) ( mgmt_vccd_lvclamp_pad VSWITCH ) ( mgmt_vccd_lvclamp_pad VDDIO ) ( mgmt_corner\[1\] VSWITCH ) ( mgmt_corner\[1\] VDDIO ) ( mgmt_corner\[0\] VSWITCH ) ( mgmt_corner\[0\] VDDIO )
( gpio_pad VSWITCH ) ( gpio_pad VDDIO ) ( gpio_pad HLD_H_N ) ( flash_io1_pad VSWITCH ) ( flash_io1_pad VDDIO ) ( flash_io1_pad HLD_H_N ) ( flash_io0_pad VSWITCH ) ( flash_io0_pad VDDIO )
( flash_io0_pad HLD_H_N ) ( flash_csb_pad VSWITCH ) ( flash_csb_pad VDDIO ) ( flash_csb_pad HLD_H_N ) ( flash_clk_pad VSWITCH ) ( flash_clk_pad VDDIO ) ( flash_clk_pad HLD_H_N ) ( clock_pad VSWITCH )
( clock_pad VDDIO ) ( clock_pad HLD_H_N ) + USE SIGNAL ;
- vssa ( PIN vssa ) ( resetb_pad VSSA ) ( mgmt_vssio_hvclamp_pad\[1\] VSSA ) ( mgmt_vssio_hvclamp_pad\[0\] VSSA ) ( mgmt_vssd_lvclmap_pad VSSA ) ( mgmt_vssd_lvclmap_pad BDY2_B2B ) ( mgmt_vssa_hvclamp_pad VSSA )
( mgmt_vssa_hvclamp_pad SRC_BDY_HVC ) ( mgmt_vddio_hvclamp_pad\[1\] VSSA ) ( mgmt_vddio_hvclamp_pad\[0\] VSSA ) ( mgmt_vdda_hvclamp_pad VSSA ) ( mgmt_vdda_hvclamp_pad SRC_BDY_HVC ) ( mgmt_vccd_lvclamp_pad VSSA ) ( mgmt_vccd_lvclamp_pad BDY2_B2B ) ( gpio_pad VSSA )
( gpio_pad ENABLE_VSWITCH_H ) ( flash_io1_pad VSSA ) ( flash_io1_pad ENABLE_VSWITCH_H ) ( flash_io0_pad VSSA ) ( flash_io0_pad ENABLE_VSWITCH_H ) ( flash_csb_pad VSSA ) ( flash_csb_pad ENABLE_VSWITCH_H ) ( flash_clk_pad VSSA )
( flash_clk_pad ENABLE_VSWITCH_H ) ( clock_pad VSSA ) ( clock_pad ENABLE_VSWITCH_H ) + USE SIGNAL ;
- vssa1 ( PIN vssa1 ) ( user1_vssd_lvclmap_pad VSSA ) ( user1_vssa_hvclamp_pad\[1\] VSSA ) ( user1_vssa_hvclamp_pad\[1\] SRC_BDY_HVC ) ( user1_vssa_hvclamp_pad\[0\] VSSA ) ( user1_vssa_hvclamp_pad\[0\] SRC_BDY_HVC ) ( user1_vdda_hvclamp_pad\[1\] VSSA )
( user1_vdda_hvclamp_pad\[1\] SRC_BDY_HVC ) ( user1_vdda_hvclamp_pad\[0\] VSSA ) ( user1_vdda_hvclamp_pad\[0\] SRC_BDY_HVC ) ( user1_vccd_lvclamp_pad VSSA ) ( user1_corner VSSA ) ( mprj_pads/area1_io_pad\[9\] VSSA ) ( mprj_pads/area1_io_pad\[8\] VSSA ) ( mprj_pads/area1_io_pad\[7\] VSSA )
( mprj_pads/area1_io_pad\[6\] VSSA ) ( mprj_pads/area1_io_pad\[5\] VSSA ) ( mprj_pads/area1_io_pad\[4\] VSSA ) ( mprj_pads/area1_io_pad\[3\] VSSA ) ( mprj_pads/area1_io_pad\[2\] VSSA ) ( mprj_pads/area1_io_pad\[1\] VSSA ) ( mprj_pads/area1_io_pad\[17\] VSSA ) ( mprj_pads/area1_io_pad\[16\] VSSA )
( mprj_pads/area1_io_pad\[15\] VSSA ) ( mprj_pads/area1_io_pad\[14\] VSSA ) ( mprj_pads/area1_io_pad\[13\] VSSA ) ( mprj_pads/area1_io_pad\[12\] VSSA ) ( mprj_pads/area1_io_pad\[11\] VSSA ) ( mprj_pads/area1_io_pad\[10\] VSSA ) ( mprj_pads/area1_io_pad\[0\] VSSA ) + USE SIGNAL ;
- vssa2 ( PIN vssa2 ) ( user2_vssd_lvclmap_pad VSSA ) ( user2_vssa_hvclamp_pad VSSA ) ( user2_vssa_hvclamp_pad SRC_BDY_HVC ) ( user2_vdda_hvclamp_pad VSSA ) ( user2_vdda_hvclamp_pad SRC_BDY_HVC ) ( user2_vccd_lvclamp_pad VSSA )
( user2_corner VSSA ) ( mprj_pads/area2_io_pad\[9\] VSSA ) ( mprj_pads/area2_io_pad\[8\] VSSA ) ( mprj_pads/area2_io_pad\[7\] VSSA ) ( mprj_pads/area2_io_pad\[6\] VSSA ) ( mprj_pads/area2_io_pad\[5\] VSSA ) ( mprj_pads/area2_io_pad\[4\] VSSA ) ( mprj_pads/area2_io_pad\[3\] VSSA )
( mprj_pads/area2_io_pad\[2\] VSSA ) ( mprj_pads/area2_io_pad\[1\] VSSA ) ( mprj_pads/area2_io_pad\[19\] VSSA ) ( mprj_pads/area2_io_pad\[18\] VSSA ) ( mprj_pads/area2_io_pad\[17\] VSSA ) ( mprj_pads/area2_io_pad\[16\] VSSA ) ( mprj_pads/area2_io_pad\[15\] VSSA ) ( mprj_pads/area2_io_pad\[14\] VSSA )
( mprj_pads/area2_io_pad\[13\] VSSA ) ( mprj_pads/area2_io_pad\[12\] VSSA ) ( mprj_pads/area2_io_pad\[11\] VSSA ) ( mprj_pads/area2_io_pad\[10\] VSSA ) ( mprj_pads/area2_io_pad\[0\] VSSA ) + USE SIGNAL ;
- vssd ( PIN vssd ) ( user2_vssd_lvclmap_pad SRC_BDY_LVC2 ) ( user2_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( user1_vssd_lvclmap_pad SRC_BDY_LVC2 ) ( user1_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( resetb_pad VSSD ) ( mgmt_vssio_hvclamp_pad\[1\] VSSD )
( mgmt_vssio_hvclamp_pad\[0\] VSSD ) ( mgmt_vssd_lvclmap_pad VSSD ) ( mgmt_vssd_lvclmap_pad SRC_BDY_LVC2 ) ( mgmt_vssa_hvclamp_pad VSSD ) ( mgmt_vddio_hvclamp_pad\[1\] VSSD ) ( mgmt_vddio_hvclamp_pad\[0\] VSSD ) ( mgmt_vdda_hvclamp_pad VSSD ) ( mgmt_vccd_lvclamp_pad VSSD )
( mgmt_vccd_lvclamp_pad SRC_BDY_LVC2 ) ( gpio_pad VTRIP_SEL ) ( gpio_pad VSSD ) ( gpio_pad SLOW ) ( gpio_pad IB_MODE_SEL ) ( gpio_pad HLD_OVR ) ( gpio_pad ANALOG_SEL ) ( gpio_pad ANALOG_POL )
( gpio_pad ANALOG_EN ) ( flash_io1_pad VTRIP_SEL ) ( flash_io1_pad VSSD ) ( flash_io1_pad SLOW ) ( flash_io1_pad IB_MODE_SEL ) ( flash_io1_pad HLD_OVR ) ( flash_io1_pad ANALOG_SEL ) ( flash_io1_pad ANALOG_POL )
( flash_io1_pad ANALOG_EN ) ( flash_io0_pad VTRIP_SEL ) ( flash_io0_pad VSSD ) ( flash_io0_pad SLOW ) ( flash_io0_pad IB_MODE_SEL ) ( flash_io0_pad HLD_OVR ) ( flash_io0_pad ANALOG_SEL ) ( flash_io0_pad ANALOG_POL )
( flash_io0_pad ANALOG_EN ) ( flash_csb_pad VTRIP_SEL ) ( flash_csb_pad VSSD ) ( flash_csb_pad SLOW ) ( flash_csb_pad IB_MODE_SEL ) ( flash_csb_pad HLD_OVR ) ( flash_csb_pad DM[0] ) ( flash_csb_pad ANALOG_SEL )
( flash_csb_pad ANALOG_POL ) ( flash_csb_pad ANALOG_EN ) ( flash_clk_pad VTRIP_SEL ) ( flash_clk_pad VSSD ) ( flash_clk_pad SLOW ) ( flash_clk_pad IB_MODE_SEL ) ( flash_clk_pad HLD_OVR ) ( flash_clk_pad DM[0] )
( flash_clk_pad ANALOG_SEL ) ( flash_clk_pad ANALOG_POL ) ( flash_clk_pad ANALOG_EN ) ( clock_pad VTRIP_SEL ) ( clock_pad VSSD ) ( clock_pad SLOW ) ( clock_pad OUT ) ( clock_pad IB_MODE_SEL )
( clock_pad HLD_OVR ) ( clock_pad DM[2] ) ( clock_pad DM[1] ) ( clock_pad ANALOG_SEL ) ( clock_pad ANALOG_POL ) ( clock_pad ANALOG_EN ) + USE SIGNAL ;
- vssd1 ( PIN vssd1 ) ( user1_vssd_lvclmap_pad VSSD ) ( user1_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( user1_vssa_hvclamp_pad\[1\] VSSD ) ( user1_vssa_hvclamp_pad\[0\] VSSD ) ( user1_vdda_hvclamp_pad\[1\] VSSD ) ( user1_vdda_hvclamp_pad\[0\] VSSD )
( user1_vccd_lvclamp_pad VSSD ) ( user1_vccd_lvclamp_pad SRC_BDY_LVC1 ) ( user1_corner VSSD ) ( mprj_pads/area1_io_pad\[9\] VSSD ) ( mprj_pads/area1_io_pad\[8\] VSSD ) ( mprj_pads/area1_io_pad\[7\] VSSD ) ( mprj_pads/area1_io_pad\[6\] VSSD ) ( mprj_pads/area1_io_pad\[5\] VSSD )
( mprj_pads/area1_io_pad\[4\] VSSD ) ( mprj_pads/area1_io_pad\[3\] VSSD ) ( mprj_pads/area1_io_pad\[2\] VSSD ) ( mprj_pads/area1_io_pad\[1\] VSSD ) ( mprj_pads/area1_io_pad\[17\] VSSD ) ( mprj_pads/area1_io_pad\[16\] VSSD ) ( mprj_pads/area1_io_pad\[15\] VSSD ) ( mprj_pads/area1_io_pad\[14\] VSSD )
( mprj_pads/area1_io_pad\[13\] VSSD ) ( mprj_pads/area1_io_pad\[12\] VSSD ) ( mprj_pads/area1_io_pad\[11\] VSSD ) ( mprj_pads/area1_io_pad\[10\] VSSD ) ( mprj_pads/area1_io_pad\[0\] VSSD ) + USE SIGNAL ;
- vssd2 ( PIN vssd2 ) ( user2_vssd_lvclmap_pad VSSD ) ( user2_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( user2_vssa_hvclamp_pad VSSD ) ( user2_vdda_hvclamp_pad VSSD ) ( user2_vccd_lvclamp_pad VSSD ) ( user2_vccd_lvclamp_pad SRC_BDY_LVC1 )
( user2_corner VSSD ) ( mprj_pads/area2_io_pad\[9\] VSSD ) ( mprj_pads/area2_io_pad\[8\] VSSD ) ( mprj_pads/area2_io_pad\[7\] VSSD ) ( mprj_pads/area2_io_pad\[6\] VSSD ) ( mprj_pads/area2_io_pad\[5\] VSSD ) ( mprj_pads/area2_io_pad\[4\] VSSD ) ( mprj_pads/area2_io_pad\[3\] VSSD )
( mprj_pads/area2_io_pad\[2\] VSSD ) ( mprj_pads/area2_io_pad\[1\] VSSD ) ( mprj_pads/area2_io_pad\[19\] VSSD ) ( mprj_pads/area2_io_pad\[18\] VSSD ) ( mprj_pads/area2_io_pad\[17\] VSSD ) ( mprj_pads/area2_io_pad\[16\] VSSD ) ( mprj_pads/area2_io_pad\[15\] VSSD ) ( mprj_pads/area2_io_pad\[14\] VSSD )
( mprj_pads/area2_io_pad\[13\] VSSD ) ( mprj_pads/area2_io_pad\[12\] VSSD ) ( mprj_pads/area2_io_pad\[11\] VSSD ) ( mprj_pads/area2_io_pad\[10\] VSSD ) ( mprj_pads/area2_io_pad\[0\] VSSD ) + USE SIGNAL ;
- vssio ( PIN vssio ) ( user2_vssd_lvclmap_pad VSSIO ) ( user2_vssd_lvclmap_pad BDY2_B2B ) ( user2_vssa_hvclamp_pad VSSIO ) ( user2_vdda_hvclamp_pad VSSIO ) ( user2_vccd_lvclamp_pad VSSIO ) ( user2_vccd_lvclamp_pad BDY2_B2B )
( user2_corner VSSIO ) ( user1_vssd_lvclmap_pad VSSIO ) ( user1_vssd_lvclmap_pad BDY2_B2B ) ( user1_vssa_hvclamp_pad\[1\] VSSIO ) ( user1_vssa_hvclamp_pad\[0\] VSSIO ) ( user1_vdda_hvclamp_pad\[1\] VSSIO ) ( user1_vdda_hvclamp_pad\[0\] VSSIO ) ( user1_vccd_lvclamp_pad VSSIO )
( user1_vccd_lvclamp_pad BDY2_B2B ) ( user1_corner VSSIO ) ( resetb_pad VSSIO ) ( resetb_pad PULLUP_H ) ( resetb_pad INP_SEL_H ) ( resetb_pad FILT_IN_H ) ( resetb_pad EN_VDDIO_SIG_H ) ( resetb_pad DISABLE_PULLUP_H )
( mprj_pads/area2_io_pad\[9\] VSSIO ) ( mprj_pads/area2_io_pad\[9\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[8\] VSSIO ) ( mprj_pads/area2_io_pad\[8\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[7\] VSSIO ) ( mprj_pads/area2_io_pad\[7\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[6\] VSSIO ) ( mprj_pads/area2_io_pad\[6\] ENABLE_VSWITCH_H )
( mprj_pads/area2_io_pad\[5\] VSSIO ) ( mprj_pads/area2_io_pad\[5\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[4\] VSSIO ) ( mprj_pads/area2_io_pad\[4\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[3\] VSSIO ) ( mprj_pads/area2_io_pad\[3\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[2\] VSSIO ) ( mprj_pads/area2_io_pad\[2\] ENABLE_VSWITCH_H )
( mprj_pads/area2_io_pad\[1\] VSSIO ) ( mprj_pads/area2_io_pad\[1\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[19\] VSSIO ) ( mprj_pads/area2_io_pad\[19\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[18\] VSSIO ) ( mprj_pads/area2_io_pad\[18\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[17\] VSSIO ) ( mprj_pads/area2_io_pad\[17\] ENABLE_VSWITCH_H )
( mprj_pads/area2_io_pad\[16\] VSSIO ) ( mprj_pads/area2_io_pad\[16\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[15\] VSSIO ) ( mprj_pads/area2_io_pad\[15\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[14\] VSSIO ) ( mprj_pads/area2_io_pad\[14\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[13\] VSSIO ) ( mprj_pads/area2_io_pad\[13\] ENABLE_VSWITCH_H )
( mprj_pads/area2_io_pad\[12\] VSSIO ) ( mprj_pads/area2_io_pad\[12\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[11\] VSSIO ) ( mprj_pads/area2_io_pad\[11\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[10\] VSSIO ) ( mprj_pads/area2_io_pad\[10\] ENABLE_VSWITCH_H ) ( mprj_pads/area2_io_pad\[0\] VSSIO ) ( mprj_pads/area2_io_pad\[0\] ENABLE_VSWITCH_H )
( mprj_pads/area1_io_pad\[9\] VSSIO ) ( mprj_pads/area1_io_pad\[9\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[8\] VSSIO ) ( mprj_pads/area1_io_pad\[8\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[7\] VSSIO ) ( mprj_pads/area1_io_pad\[7\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[6\] VSSIO ) ( mprj_pads/area1_io_pad\[6\] ENABLE_VSWITCH_H )
( mprj_pads/area1_io_pad\[5\] VSSIO ) ( mprj_pads/area1_io_pad\[5\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[4\] VSSIO ) ( mprj_pads/area1_io_pad\[4\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[3\] VSSIO ) ( mprj_pads/area1_io_pad\[3\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[2\] VSSIO ) ( mprj_pads/area1_io_pad\[2\] ENABLE_VSWITCH_H )
( mprj_pads/area1_io_pad\[1\] VSSIO ) ( mprj_pads/area1_io_pad\[1\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[17\] VSSIO ) ( mprj_pads/area1_io_pad\[17\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[16\] VSSIO ) ( mprj_pads/area1_io_pad\[16\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[15\] VSSIO ) ( mprj_pads/area1_io_pad\[15\] ENABLE_VSWITCH_H )
( mprj_pads/area1_io_pad\[14\] VSSIO ) ( mprj_pads/area1_io_pad\[14\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[13\] VSSIO ) ( mprj_pads/area1_io_pad\[13\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[12\] VSSIO ) ( mprj_pads/area1_io_pad\[12\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[11\] VSSIO ) ( mprj_pads/area1_io_pad\[11\] ENABLE_VSWITCH_H )
( mprj_pads/area1_io_pad\[10\] VSSIO ) ( mprj_pads/area1_io_pad\[10\] ENABLE_VSWITCH_H ) ( mprj_pads/area1_io_pad\[0\] VSSIO ) ( mprj_pads/area1_io_pad\[0\] ENABLE_VSWITCH_H ) ( mgmt_vssio_hvclamp_pad\[1\] VSSIO ) ( mgmt_vssio_hvclamp_pad\[1\] SRC_BDY_HVC ) ( mgmt_vssio_hvclamp_pad\[0\] VSSIO ) ( mgmt_vssio_hvclamp_pad\[0\] SRC_BDY_HVC )
( mgmt_vssd_lvclmap_pad VSSIO ) ( mgmt_vssd_lvclmap_pad SRC_BDY_LVC1 ) ( mgmt_vssa_hvclamp_pad VSSIO ) ( mgmt_vddio_hvclamp_pad\[1\] VSSIO ) ( mgmt_vddio_hvclamp_pad\[1\] SRC_BDY_HVC ) ( mgmt_vddio_hvclamp_pad\[0\] VSSIO ) ( mgmt_vddio_hvclamp_pad\[0\] SRC_BDY_HVC ) ( mgmt_vdda_hvclamp_pad VSSIO )
( mgmt_vccd_lvclamp_pad VSSIO ) ( mgmt_vccd_lvclamp_pad SRC_BDY_LVC1 ) ( mgmt_corner\[1\] VSSIO ) ( mgmt_corner\[1\] VSSD ) ( mgmt_corner\[1\] VSSA ) ( mgmt_corner\[0\] VSSIO ) ( mgmt_corner\[0\] VSSD ) ( mgmt_corner\[0\] VSSA )
( gpio_pad VSSIO ) ( flash_io1_pad VSSIO ) ( flash_io0_pad VSSIO ) ( flash_csb_pad VSSIO ) ( flash_clk_pad VSSIO ) ( clock_pad VSSIO ) + USE SIGNAL ;
- analog_a ( user2_vssd_lvclmap_pad AMUXBUS_A ) ( user2_vssa_hvclamp_pad AMUXBUS_A ) ( user2_vdda_hvclamp_pad AMUXBUS_A ) ( user2_vccd_lvclamp_pad AMUXBUS_A ) ( user2_corner AMUXBUS_A ) ( user1_vssd_lvclmap_pad AMUXBUS_A ) ( user1_vssa_hvclamp_pad\[1\] AMUXBUS_A )
( user1_vssa_hvclamp_pad\[0\] AMUXBUS_A ) ( user1_vdda_hvclamp_pad\[1\] AMUXBUS_A ) ( user1_vdda_hvclamp_pad\[0\] AMUXBUS_A ) ( user1_vccd_lvclamp_pad AMUXBUS_A ) ( user1_corner AMUXBUS_A ) ( resetb_pad AMUXBUS_A ) ( mprj_pads/area2_io_pad\[9\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[8\] AMUXBUS_A )
( mprj_pads/area2_io_pad\[7\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[6\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[5\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[4\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[3\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[2\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[1\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[19\] AMUXBUS_A )
( mprj_pads/area2_io_pad\[18\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[17\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[16\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[15\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[14\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[13\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[12\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[11\] AMUXBUS_A )
( mprj_pads/area2_io_pad\[10\] AMUXBUS_A ) ( mprj_pads/area2_io_pad\[0\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[9\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[8\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[7\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[6\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[5\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[4\] AMUXBUS_A )
( mprj_pads/area1_io_pad\[3\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[2\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[1\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[17\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[16\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[15\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[14\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[13\] AMUXBUS_A )
( mprj_pads/area1_io_pad\[12\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[11\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[10\] AMUXBUS_A ) ( mprj_pads/area1_io_pad\[0\] AMUXBUS_A ) ( mgmt_vssio_hvclamp_pad\[1\] AMUXBUS_A ) ( mgmt_vssio_hvclamp_pad\[0\] AMUXBUS_A ) ( mgmt_vssd_lvclmap_pad AMUXBUS_A ) ( mgmt_vssa_hvclamp_pad AMUXBUS_A )
( mgmt_vddio_hvclamp_pad\[1\] AMUXBUS_A ) ( mgmt_vddio_hvclamp_pad\[0\] AMUXBUS_A ) ( mgmt_vdda_hvclamp_pad AMUXBUS_A ) ( mgmt_vccd_lvclamp_pad AMUXBUS_A ) ( mgmt_corner\[1\] AMUXBUS_A ) ( mgmt_corner\[0\] AMUXBUS_A ) ( gpio_pad AMUXBUS_A ) ( flash_io1_pad AMUXBUS_A )
( flash_io0_pad AMUXBUS_A ) ( flash_csb_pad AMUXBUS_A ) ( flash_clk_pad AMUXBUS_A ) ( clock_pad AMUXBUS_A ) + USE SIGNAL ;
- analog_b ( user2_vssd_lvclmap_pad AMUXBUS_B ) ( user2_vssa_hvclamp_pad AMUXBUS_B ) ( user2_vdda_hvclamp_pad AMUXBUS_B ) ( user2_vccd_lvclamp_pad AMUXBUS_B ) ( user2_corner AMUXBUS_B ) ( user1_vssd_lvclmap_pad AMUXBUS_B ) ( user1_vssa_hvclamp_pad\[1\] AMUXBUS_B )
( user1_vssa_hvclamp_pad\[0\] AMUXBUS_B ) ( user1_vdda_hvclamp_pad\[1\] AMUXBUS_B ) ( user1_vdda_hvclamp_pad\[0\] AMUXBUS_B ) ( user1_vccd_lvclamp_pad AMUXBUS_B ) ( user1_corner AMUXBUS_B ) ( resetb_pad AMUXBUS_B ) ( mprj_pads/area2_io_pad\[9\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[8\] AMUXBUS_B )
( mprj_pads/area2_io_pad\[7\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[6\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[5\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[4\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[3\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[2\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[1\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[19\] AMUXBUS_B )
( mprj_pads/area2_io_pad\[18\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[17\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[16\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[15\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[14\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[13\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[12\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[11\] AMUXBUS_B )
( mprj_pads/area2_io_pad\[10\] AMUXBUS_B ) ( mprj_pads/area2_io_pad\[0\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[9\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[8\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[7\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[6\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[5\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[4\] AMUXBUS_B )
( mprj_pads/area1_io_pad\[3\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[2\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[1\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[17\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[16\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[15\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[14\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[13\] AMUXBUS_B )
( mprj_pads/area1_io_pad\[12\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[11\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[10\] AMUXBUS_B ) ( mprj_pads/area1_io_pad\[0\] AMUXBUS_B ) ( mgmt_vssio_hvclamp_pad\[1\] AMUXBUS_B ) ( mgmt_vssio_hvclamp_pad\[0\] AMUXBUS_B ) ( mgmt_vssd_lvclmap_pad AMUXBUS_B ) ( mgmt_vssa_hvclamp_pad AMUXBUS_B )
( mgmt_vddio_hvclamp_pad\[1\] AMUXBUS_B ) ( mgmt_vddio_hvclamp_pad\[0\] AMUXBUS_B ) ( mgmt_vdda_hvclamp_pad AMUXBUS_B ) ( mgmt_vccd_lvclamp_pad AMUXBUS_B ) ( mgmt_corner\[1\] AMUXBUS_B ) ( mgmt_corner\[0\] AMUXBUS_B ) ( gpio_pad AMUXBUS_B ) ( flash_io1_pad AMUXBUS_B )
( flash_io0_pad AMUXBUS_B ) ( flash_csb_pad AMUXBUS_B ) ( flash_clk_pad AMUXBUS_B ) ( clock_pad AMUXBUS_B ) + USE SIGNAL ;
- loop_clock ( clock_pad TIE_LO_ESD ) ( clock_pad ENABLE_INP_H ) + USE SIGNAL ;
- loop_flash_clk ( flash_clk_pad TIE_LO_ESD ) ( flash_clk_pad ENABLE_INP_H ) + USE SIGNAL ;
- loop_flash_csb ( flash_csb_pad TIE_LO_ESD ) ( flash_csb_pad ENABLE_INP_H ) + USE SIGNAL ;
- loop_flash_io0 ( flash_io0_pad TIE_LO_ESD ) ( flash_io0_pad ENABLE_INP_H ) + USE SIGNAL ;
- loop_flash_io1 ( flash_io1_pad TIE_LO_ESD ) ( flash_io1_pad ENABLE_INP_H ) + USE SIGNAL ;
- loop_gpio ( gpio_pad TIE_LO_ESD ) ( gpio_pad ENABLE_INP_H ) + USE SIGNAL ;
- vddio_q ( user2_vssd_lvclmap_pad VDDIO_Q ) ( user2_vssa_hvclamp_pad VDDIO_Q ) ( user2_vdda_hvclamp_pad VDDIO_Q ) ( user2_vccd_lvclamp_pad VDDIO_Q ) ( user2_corner VDDIO_Q ) ( user1_vssd_lvclmap_pad VDDIO_Q ) ( user1_vssa_hvclamp_pad\[1\] VDDIO_Q )
( user1_vssa_hvclamp_pad\[0\] VDDIO_Q ) ( user1_vdda_hvclamp_pad\[1\] VDDIO_Q ) ( user1_vdda_hvclamp_pad\[0\] VDDIO_Q ) ( user1_vccd_lvclamp_pad VDDIO_Q ) ( user1_corner VDDIO_Q ) ( resetb_pad VDDIO_Q ) ( mprj_pads/area2_io_pad\[9\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[8\] VDDIO_Q )
( mprj_pads/area2_io_pad\[7\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[6\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[5\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[4\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[3\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[2\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[1\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[19\] VDDIO_Q )
( mprj_pads/area2_io_pad\[18\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[17\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[16\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[15\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[14\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[13\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[12\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[11\] VDDIO_Q )
( mprj_pads/area2_io_pad\[10\] VDDIO_Q ) ( mprj_pads/area2_io_pad\[0\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[9\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[8\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[7\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[6\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[5\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[4\] VDDIO_Q )
( mprj_pads/area1_io_pad\[3\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[2\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[1\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[17\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[16\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[15\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[14\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[13\] VDDIO_Q )
( mprj_pads/area1_io_pad\[12\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[11\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[10\] VDDIO_Q ) ( mprj_pads/area1_io_pad\[0\] VDDIO_Q ) ( mgmt_vssio_hvclamp_pad\[1\] VDDIO_Q ) ( mgmt_vssio_hvclamp_pad\[0\] VDDIO_Q ) ( mgmt_vssd_lvclmap_pad VDDIO_Q ) ( mgmt_vssa_hvclamp_pad VDDIO_Q )
( mgmt_vddio_hvclamp_pad\[1\] VDDIO_Q ) ( mgmt_vddio_hvclamp_pad\[0\] VDDIO_Q ) ( mgmt_vdda_hvclamp_pad VDDIO_Q ) ( mgmt_vccd_lvclamp_pad VDDIO_Q ) ( mgmt_corner\[1\] VDDIO_Q ) ( mgmt_corner\[0\] VDDIO_Q ) ( gpio_pad VDDIO_Q ) ( flash_io1_pad VDDIO_Q )
( flash_io0_pad VDDIO_Q ) ( flash_csb_pad VDDIO_Q ) ( flash_clk_pad VDDIO_Q ) ( clock_pad VDDIO_Q ) + USE SIGNAL ;
- vssio_q ( user2_vssd_lvclmap_pad VSSIO_Q ) ( user2_vssa_hvclamp_pad VSSIO_Q ) ( user2_vdda_hvclamp_pad VSSIO_Q ) ( user2_vccd_lvclamp_pad VSSIO_Q ) ( user2_corner VSSIO_Q ) ( user1_vssd_lvclmap_pad VSSIO_Q ) ( user1_vssa_hvclamp_pad\[1\] VSSIO_Q )
( user1_vssa_hvclamp_pad\[0\] VSSIO_Q ) ( user1_vdda_hvclamp_pad\[1\] VSSIO_Q ) ( user1_vdda_hvclamp_pad\[0\] VSSIO_Q ) ( user1_vccd_lvclamp_pad VSSIO_Q ) ( user1_corner VSSIO_Q ) ( resetb_pad VSSIO_Q ) ( mprj_pads/area2_io_pad\[9\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[8\] VSSIO_Q )
( mprj_pads/area2_io_pad\[7\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[6\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[5\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[4\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[3\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[2\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[1\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[19\] VSSIO_Q )
( mprj_pads/area2_io_pad\[18\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[17\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[16\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[15\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[14\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[13\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[12\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[11\] VSSIO_Q )
( mprj_pads/area2_io_pad\[10\] VSSIO_Q ) ( mprj_pads/area2_io_pad\[0\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[9\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[8\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[7\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[6\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[5\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[4\] VSSIO_Q )
( mprj_pads/area1_io_pad\[3\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[2\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[1\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[17\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[16\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[15\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[14\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[13\] VSSIO_Q )
( mprj_pads/area1_io_pad\[12\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[11\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[10\] VSSIO_Q ) ( mprj_pads/area1_io_pad\[0\] VSSIO_Q ) ( mgmt_vssio_hvclamp_pad\[1\] VSSIO_Q ) ( mgmt_vssio_hvclamp_pad\[0\] VSSIO_Q ) ( mgmt_vssd_lvclmap_pad VSSIO_Q ) ( mgmt_vssa_hvclamp_pad VSSIO_Q )
( mgmt_vddio_hvclamp_pad\[1\] VSSIO_Q ) ( mgmt_vddio_hvclamp_pad\[0\] VSSIO_Q ) ( mgmt_vdda_hvclamp_pad VSSIO_Q ) ( mgmt_vccd_lvclamp_pad VSSIO_Q ) ( mgmt_corner\[1\] VSSIO_Q ) ( mgmt_corner\[0\] VSSIO_Q ) ( gpio_pad VSSIO_Q ) ( flash_io1_pad VSSIO_Q )
( flash_io0_pad VSSIO_Q ) ( flash_csb_pad VSSIO_Q ) ( flash_clk_pad VSSIO_Q ) ( clock_pad VSSIO_Q ) + USE SIGNAL ;
- xresloop ( resetb_pad TIE_WEAK_HI_H ) ( resetb_pad PAD_A_ESD_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[0\] ( mprj_pads/area1_io_pad\[0\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[0\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[10\] ( mprj_pads/area1_io_pad\[10\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[10\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[11\] ( mprj_pads/area1_io_pad\[11\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[11\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[12\] ( mprj_pads/area1_io_pad\[12\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[12\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[13\] ( mprj_pads/area1_io_pad\[13\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[13\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[14\] ( mprj_pads/area1_io_pad\[14\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[14\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[15\] ( mprj_pads/area1_io_pad\[15\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[15\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[16\] ( mprj_pads/area1_io_pad\[16\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[16\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[17\] ( mprj_pads/area1_io_pad\[17\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[17\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[18\] ( mprj_pads/area2_io_pad\[0\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[0\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[19\] ( mprj_pads/area2_io_pad\[1\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[1\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[1\] ( mprj_pads/area1_io_pad\[1\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[1\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[20\] ( mprj_pads/area2_io_pad\[2\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[2\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[21\] ( mprj_pads/area2_io_pad\[3\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[3\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[22\] ( mprj_pads/area2_io_pad\[4\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[4\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[23\] ( mprj_pads/area2_io_pad\[5\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[5\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[24\] ( mprj_pads/area2_io_pad\[6\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[6\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[25\] ( mprj_pads/area2_io_pad\[7\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[7\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[26\] ( mprj_pads/area2_io_pad\[8\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[8\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[27\] ( mprj_pads/area2_io_pad\[9\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[9\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[28\] ( mprj_pads/area2_io_pad\[10\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[10\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[29\] ( mprj_pads/area2_io_pad\[11\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[11\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[2\] ( mprj_pads/area1_io_pad\[2\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[2\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[30\] ( mprj_pads/area2_io_pad\[12\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[12\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[31\] ( mprj_pads/area2_io_pad\[13\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[13\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[32\] ( mprj_pads/area2_io_pad\[14\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[14\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[33\] ( mprj_pads/area2_io_pad\[15\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[15\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[34\] ( mprj_pads/area2_io_pad\[16\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[16\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[35\] ( mprj_pads/area2_io_pad\[17\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[17\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[36\] ( mprj_pads/area2_io_pad\[18\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[18\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[37\] ( mprj_pads/area2_io_pad\[19\] TIE_LO_ESD ) ( mprj_pads/area2_io_pad\[19\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[3\] ( mprj_pads/area1_io_pad\[3\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[3\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[4\] ( mprj_pads/area1_io_pad\[4\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[4\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[5\] ( mprj_pads/area1_io_pad\[5\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[5\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[6\] ( mprj_pads/area1_io_pad\[6\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[6\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[7\] ( mprj_pads/area1_io_pad\[7\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[7\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[8\] ( mprj_pads/area1_io_pad\[8\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[8\] ENABLE_INP_H ) + USE SIGNAL ;
- mprj_pads/loop1_io\[9\] ( mprj_pads/area1_io_pad\[9\] TIE_LO_ESD ) ( mprj_pads/area1_io_pad\[9\] ENABLE_INP_H ) + USE SIGNAL ;
END NETS
END DESIGN