blob: 5f4891202590dafc81abe6c87853985dfa6a5ca7 [file] [log] [blame]
OpenROAD 0.9.0 d03ebfc244
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Error: cannot open '/.openroad'.
Notice 0: Reading LEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 437 library cells
Notice 0: Finished LEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
Notice 0: Design: ycell
Notice 0: Created 26 pins.
Notice 0: Created 106 components and 757 component-terminals.
Notice 0: Created 121 nets and 333 connections.
Notice 0: Finished DEF file: /project/openlane/morphle_ycell/runs/morphle_ycell/tmp/floorplan/ioPlacer.def
Running tapcell...
Step 1: Cut rows...
[INFO] Macro blocks found: 0
[INFO] #Original rows: 20
[INFO] #Cut rows: 0
Step 2: Insert endcaps...
[INFO] #Endcaps inserted: 40
Step 3: Insert tapcells...
[INFO] #Tapcells inserted: 33
Running tapcell... Done!