blob: adb343bcf7acc04bdb4c6358d7dd6fef1674ea54 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN caravel ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 3588000 5188000 ) ;
ROW ROW_0 unithv 5760 16280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1 unithv 5760 20350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_2 unithv 5760 24420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_3 unithv 5760 28490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_4 unithv 5760 32560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_5 unithv 5760 36630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_6 unithv 5760 40700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_7 unithv 5760 44770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_8 unithv 5760 48840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_9 unithv 5760 52910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_10 unithv 5760 56980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_11 unithv 5760 61050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_12 unithv 5760 65120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_13 unithv 5760 69190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_14 unithv 5760 73260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_15 unithv 5760 77330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_16 unithv 5760 81400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_17 unithv 5760 85470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_18 unithv 5760 89540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_19 unithv 5760 93610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_20 unithv 5760 97680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_21 unithv 5760 101750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_22 unithv 5760 105820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_23 unithv 5760 109890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_24 unithv 5760 113960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_25 unithv 5760 118030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_26 unithv 5760 122100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_27 unithv 5760 126170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_28 unithv 5760 130240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_29 unithv 5760 134310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_30 unithv 5760 138380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_31 unithv 5760 142450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_32 unithv 5760 146520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_33 unithv 5760 150590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_34 unithv 5760 154660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_35 unithv 5760 158730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_36 unithv 5760 162800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_37 unithv 5760 166870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_38 unithv 5760 170940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_39 unithv 5760 175010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_40 unithv 5760 179080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_41 unithv 5760 183150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_42 unithv 5760 187220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_43 unithv 5760 191290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_44 unithv 5760 195360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_45 unithv 5760 199430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_46 unithv 5760 203500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_47 unithv 5760 207570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_48 unithv 5760 211640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_49 unithv 5760 215710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_50 unithv 5760 219780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_51 unithv 5760 223850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_52 unithv 5760 227920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_53 unithv 5760 231990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_54 unithv 5760 236060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_55 unithv 5760 240130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_56 unithv 5760 244200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_57 unithv 5760 248270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_58 unithv 5760 252340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_59 unithv 5760 256410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_60 unithv 5760 260480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_61 unithv 5760 264550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_62 unithv 5760 268620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_63 unithv 5760 272690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_64 unithv 5760 276760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_65 unithv 5760 280830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_66 unithv 5760 284900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_67 unithv 5760 288970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_68 unithv 5760 293040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_69 unithv 5760 297110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_70 unithv 5760 301180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_71 unithv 5760 305250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_72 unithv 5760 309320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_73 unithv 5760 313390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_74 unithv 5760 317460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_75 unithv 5760 321530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_76 unithv 5760 325600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_77 unithv 5760 329670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_78 unithv 5760 333740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_79 unithv 5760 337810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_80 unithv 5760 341880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_81 unithv 5760 345950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_82 unithv 5760 350020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_83 unithv 5760 354090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_84 unithv 5760 358160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_85 unithv 5760 362230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_86 unithv 5760 366300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_87 unithv 5760 370370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_88 unithv 5760 374440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_89 unithv 5760 378510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_90 unithv 5760 382580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_91 unithv 5760 386650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_92 unithv 5760 390720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_93 unithv 5760 394790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_94 unithv 5760 398860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_95 unithv 5760 402930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_96 unithv 5760 407000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_97 unithv 5760 411070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_98 unithv 5760 415140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_99 unithv 5760 419210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_100 unithv 5760 423280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_101 unithv 5760 427350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_102 unithv 5760 431420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_103 unithv 5760 435490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_104 unithv 5760 439560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_105 unithv 5760 443630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_106 unithv 5760 447700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_107 unithv 5760 451770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_108 unithv 5760 455840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_109 unithv 5760 459910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_110 unithv 5760 463980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_111 unithv 5760 468050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_112 unithv 5760 472120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_113 unithv 5760 476190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_114 unithv 5760 480260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_115 unithv 5760 484330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_116 unithv 5760 488400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_117 unithv 5760 492470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_118 unithv 5760 496540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_119 unithv 5760 500610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_120 unithv 5760 504680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_121 unithv 5760 508750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_122 unithv 5760 512820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_123 unithv 5760 516890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_124 unithv 5760 520960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_125 unithv 5760 525030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_126 unithv 5760 529100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_127 unithv 5760 533170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_128 unithv 5760 537240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_129 unithv 5760 541310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_130 unithv 5760 545380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_131 unithv 5760 549450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_132 unithv 5760 553520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_133 unithv 5760 557590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_134 unithv 5760 561660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_135 unithv 5760 565730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_136 unithv 5760 569800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_137 unithv 5760 573870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_138 unithv 5760 577940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_139 unithv 5760 582010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_140 unithv 5760 586080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_141 unithv 5760 590150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_142 unithv 5760 594220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_143 unithv 5760 598290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_144 unithv 5760 602360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_145 unithv 5760 606430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_146 unithv 5760 610500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_147 unithv 5760 614570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_148 unithv 5760 618640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_149 unithv 5760 622710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_150 unithv 5760 626780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_151 unithv 5760 630850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_152 unithv 5760 634920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_153 unithv 5760 638990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_154 unithv 5760 643060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_155 unithv 5760 647130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_156 unithv 5760 651200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_157 unithv 5760 655270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_158 unithv 5760 659340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_159 unithv 5760 663410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_160 unithv 5760 667480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_161 unithv 5760 671550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_162 unithv 5760 675620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_163 unithv 5760 679690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_164 unithv 5760 683760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_165 unithv 5760 687830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_166 unithv 5760 691900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_167 unithv 5760 695970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_168 unithv 5760 700040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_169 unithv 5760 704110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_170 unithv 5760 708180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_171 unithv 5760 712250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_172 unithv 5760 716320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_173 unithv 5760 720390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_174 unithv 5760 724460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_175 unithv 5760 728530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_176 unithv 5760 732600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_177 unithv 5760 736670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_178 unithv 5760 740740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_179 unithv 5760 744810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_180 unithv 5760 748880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_181 unithv 5760 752950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_182 unithv 5760 757020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_183 unithv 5760 761090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_184 unithv 5760 765160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_185 unithv 5760 769230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_186 unithv 5760 773300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_187 unithv 5760 777370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_188 unithv 5760 781440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_189 unithv 5760 785510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_190 unithv 5760 789580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_191 unithv 5760 793650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_192 unithv 5760 797720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_193 unithv 5760 801790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_194 unithv 5760 805860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_195 unithv 5760 809930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_196 unithv 5760 814000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_197 unithv 5760 818070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_198 unithv 5760 822140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_199 unithv 5760 826210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_200 unithv 5760 830280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_201 unithv 5760 834350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_202 unithv 5760 838420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_203 unithv 5760 842490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_204 unithv 5760 846560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_205 unithv 5760 850630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_206 unithv 5760 854700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_207 unithv 5760 858770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_208 unithv 5760 862840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_209 unithv 5760 866910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_210 unithv 5760 870980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_211 unithv 5760 875050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_212 unithv 5760 879120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_213 unithv 5760 883190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_214 unithv 5760 887260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_215 unithv 5760 891330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_216 unithv 5760 895400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_217 unithv 5760 899470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_218 unithv 5760 903540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_219 unithv 5760 907610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_220 unithv 5760 911680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_221 unithv 5760 915750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_222 unithv 5760 919820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_223 unithv 5760 923890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_224 unithv 5760 927960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_225 unithv 5760 932030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_226 unithv 5760 936100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_227 unithv 5760 940170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_228 unithv 5760 944240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_229 unithv 5760 948310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_230 unithv 5760 952380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_231 unithv 5760 956450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_232 unithv 5760 960520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_233 unithv 5760 964590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_234 unithv 5760 968660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_235 unithv 5760 972730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_236 unithv 5760 976800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_237 unithv 5760 980870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_238 unithv 5760 984940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_239 unithv 5760 989010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_240 unithv 5760 993080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_241 unithv 5760 997150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_242 unithv 5760 1001220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_243 unithv 5760 1005290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_244 unithv 5760 1009360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_245 unithv 5760 1013430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_246 unithv 5760 1017500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_247 unithv 5760 1021570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_248 unithv 5760 1025640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_249 unithv 5760 1029710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_250 unithv 5760 1033780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_251 unithv 5760 1037850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_252 unithv 5760 1041920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_253 unithv 5760 1045990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_254 unithv 5760 1050060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_255 unithv 5760 1054130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_256 unithv 5760 1058200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_257 unithv 5760 1062270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_258 unithv 5760 1066340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_259 unithv 5760 1070410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_260 unithv 5760 1074480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_261 unithv 5760 1078550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_262 unithv 5760 1082620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_263 unithv 5760 1086690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_264 unithv 5760 1090760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_265 unithv 5760 1094830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_266 unithv 5760 1098900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_267 unithv 5760 1102970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_268 unithv 5760 1107040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_269 unithv 5760 1111110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_270 unithv 5760 1115180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_271 unithv 5760 1119250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_272 unithv 5760 1123320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_273 unithv 5760 1127390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_274 unithv 5760 1131460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_275 unithv 5760 1135530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_276 unithv 5760 1139600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_277 unithv 5760 1143670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_278 unithv 5760 1147740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_279 unithv 5760 1151810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_280 unithv 5760 1155880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_281 unithv 5760 1159950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_282 unithv 5760 1164020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_283 unithv 5760 1168090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_284 unithv 5760 1172160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_285 unithv 5760 1176230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_286 unithv 5760 1180300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_287 unithv 5760 1184370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_288 unithv 5760 1188440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_289 unithv 5760 1192510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_290 unithv 5760 1196580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_291 unithv 5760 1200650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_292 unithv 5760 1204720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_293 unithv 5760 1208790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_294 unithv 5760 1212860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_295 unithv 5760 1216930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_296 unithv 5760 1221000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_297 unithv 5760 1225070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_298 unithv 5760 1229140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_299 unithv 5760 1233210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_300 unithv 5760 1237280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_301 unithv 5760 1241350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_302 unithv 5760 1245420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_303 unithv 5760 1249490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_304 unithv 5760 1253560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_305 unithv 5760 1257630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_306 unithv 5760 1261700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_307 unithv 5760 1265770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_308 unithv 5760 1269840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_309 unithv 5760 1273910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_310 unithv 5760 1277980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_311 unithv 5760 1282050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_312 unithv 5760 1286120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_313 unithv 5760 1290190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_314 unithv 5760 1294260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_315 unithv 5760 1298330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_316 unithv 5760 1302400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_317 unithv 5760 1306470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_318 unithv 5760 1310540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_319 unithv 5760 1314610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_320 unithv 5760 1318680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_321 unithv 5760 1322750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_322 unithv 5760 1326820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_323 unithv 5760 1330890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_324 unithv 5760 1334960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_325 unithv 5760 1339030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_326 unithv 5760 1343100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_327 unithv 5760 1347170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_328 unithv 5760 1351240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_329 unithv 5760 1355310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_330 unithv 5760 1359380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_331 unithv 5760 1363450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_332 unithv 5760 1367520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_333 unithv 5760 1371590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_334 unithv 5760 1375660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_335 unithv 5760 1379730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_336 unithv 5760 1383800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_337 unithv 5760 1387870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_338 unithv 5760 1391940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_339 unithv 5760 1396010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_340 unithv 5760 1400080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_341 unithv 5760 1404150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_342 unithv 5760 1408220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_343 unithv 5760 1412290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_344 unithv 5760 1416360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_345 unithv 5760 1420430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_346 unithv 5760 1424500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_347 unithv 5760 1428570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_348 unithv 5760 1432640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_349 unithv 5760 1436710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_350 unithv 5760 1440780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_351 unithv 5760 1444850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_352 unithv 5760 1448920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_353 unithv 5760 1452990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_354 unithv 5760 1457060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_355 unithv 5760 1461130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_356 unithv 5760 1465200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_357 unithv 5760 1469270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_358 unithv 5760 1473340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_359 unithv 5760 1477410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_360 unithv 5760 1481480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_361 unithv 5760 1485550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_362 unithv 5760 1489620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_363 unithv 5760 1493690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_364 unithv 5760 1497760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_365 unithv 5760 1501830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_366 unithv 5760 1505900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_367 unithv 5760 1509970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_368 unithv 5760 1514040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_369 unithv 5760 1518110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_370 unithv 5760 1522180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_371 unithv 5760 1526250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_372 unithv 5760 1530320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_373 unithv 5760 1534390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_374 unithv 5760 1538460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_375 unithv 5760 1542530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_376 unithv 5760 1546600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_377 unithv 5760 1550670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_378 unithv 5760 1554740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_379 unithv 5760 1558810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_380 unithv 5760 1562880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_381 unithv 5760 1566950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_382 unithv 5760 1571020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_383 unithv 5760 1575090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_384 unithv 5760 1579160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_385 unithv 5760 1583230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_386 unithv 5760 1587300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_387 unithv 5760 1591370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_388 unithv 5760 1595440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_389 unithv 5760 1599510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_390 unithv 5760 1603580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_391 unithv 5760 1607650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_392 unithv 5760 1611720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_393 unithv 5760 1615790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_394 unithv 5760 1619860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_395 unithv 5760 1623930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_396 unithv 5760 1628000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_397 unithv 5760 1632070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_398 unithv 5760 1636140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_399 unithv 5760 1640210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_400 unithv 5760 1644280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_401 unithv 5760 1648350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_402 unithv 5760 1652420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_403 unithv 5760 1656490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_404 unithv 5760 1660560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_405 unithv 5760 1664630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_406 unithv 5760 1668700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_407 unithv 5760 1672770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_408 unithv 5760 1676840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_409 unithv 5760 1680910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_410 unithv 5760 1684980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_411 unithv 5760 1689050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_412 unithv 5760 1693120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_413 unithv 5760 1697190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_414 unithv 5760 1701260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_415 unithv 5760 1705330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_416 unithv 5760 1709400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_417 unithv 5760 1713470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_418 unithv 5760 1717540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_419 unithv 5760 1721610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_420 unithv 5760 1725680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_421 unithv 5760 1729750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_422 unithv 5760 1733820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_423 unithv 5760 1737890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_424 unithv 5760 1741960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_425 unithv 5760 1746030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_426 unithv 5760 1750100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_427 unithv 5760 1754170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_428 unithv 5760 1758240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_429 unithv 5760 1762310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_430 unithv 5760 1766380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_431 unithv 5760 1770450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_432 unithv 5760 1774520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_433 unithv 5760 1778590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_434 unithv 5760 1782660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_435 unithv 5760 1786730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_436 unithv 5760 1790800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_437 unithv 5760 1794870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_438 unithv 5760 1798940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_439 unithv 5760 1803010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_440 unithv 5760 1807080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_441 unithv 5760 1811150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_442 unithv 5760 1815220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_443 unithv 5760 1819290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_444 unithv 5760 1823360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_445 unithv 5760 1827430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_446 unithv 5760 1831500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_447 unithv 5760 1835570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_448 unithv 5760 1839640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_449 unithv 5760 1843710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_450 unithv 5760 1847780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_451 unithv 5760 1851850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_452 unithv 5760 1855920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_453 unithv 5760 1859990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_454 unithv 5760 1864060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_455 unithv 5760 1868130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_456 unithv 5760 1872200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_457 unithv 5760 1876270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_458 unithv 5760 1880340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_459 unithv 5760 1884410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_460 unithv 5760 1888480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_461 unithv 5760 1892550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_462 unithv 5760 1896620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_463 unithv 5760 1900690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_464 unithv 5760 1904760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_465 unithv 5760 1908830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_466 unithv 5760 1912900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_467 unithv 5760 1916970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_468 unithv 5760 1921040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_469 unithv 5760 1925110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_470 unithv 5760 1929180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_471 unithv 5760 1933250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_472 unithv 5760 1937320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_473 unithv 5760 1941390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_474 unithv 5760 1945460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_475 unithv 5760 1949530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_476 unithv 5760 1953600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_477 unithv 5760 1957670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_478 unithv 5760 1961740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_479 unithv 5760 1965810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_480 unithv 5760 1969880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_481 unithv 5760 1973950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_482 unithv 5760 1978020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_483 unithv 5760 1982090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_484 unithv 5760 1986160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_485 unithv 5760 1990230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_486 unithv 5760 1994300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_487 unithv 5760 1998370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_488 unithv 5760 2002440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_489 unithv 5760 2006510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_490 unithv 5760 2010580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_491 unithv 5760 2014650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_492 unithv 5760 2018720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_493 unithv 5760 2022790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_494 unithv 5760 2026860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_495 unithv 5760 2030930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_496 unithv 5760 2035000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_497 unithv 5760 2039070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_498 unithv 5760 2043140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_499 unithv 5760 2047210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_500 unithv 5760 2051280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_501 unithv 5760 2055350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_502 unithv 5760 2059420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_503 unithv 5760 2063490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_504 unithv 5760 2067560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_505 unithv 5760 2071630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_506 unithv 5760 2075700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_507 unithv 5760 2079770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_508 unithv 5760 2083840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_509 unithv 5760 2087910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_510 unithv 5760 2091980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_511 unithv 5760 2096050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_512 unithv 5760 2100120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_513 unithv 5760 2104190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_514 unithv 5760 2108260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_515 unithv 5760 2112330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_516 unithv 5760 2116400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_517 unithv 5760 2120470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_518 unithv 5760 2124540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_519 unithv 5760 2128610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_520 unithv 5760 2132680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_521 unithv 5760 2136750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_522 unithv 5760 2140820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_523 unithv 5760 2144890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_524 unithv 5760 2148960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_525 unithv 5760 2153030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_526 unithv 5760 2157100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_527 unithv 5760 2161170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_528 unithv 5760 2165240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_529 unithv 5760 2169310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_530 unithv 5760 2173380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_531 unithv 5760 2177450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_532 unithv 5760 2181520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_533 unithv 5760 2185590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_534 unithv 5760 2189660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_535 unithv 5760 2193730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_536 unithv 5760 2197800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_537 unithv 5760 2201870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_538 unithv 5760 2205940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_539 unithv 5760 2210010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_540 unithv 5760 2214080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_541 unithv 5760 2218150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_542 unithv 5760 2222220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_543 unithv 5760 2226290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_544 unithv 5760 2230360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_545 unithv 5760 2234430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_546 unithv 5760 2238500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_547 unithv 5760 2242570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_548 unithv 5760 2246640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_549 unithv 5760 2250710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_550 unithv 5760 2254780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_551 unithv 5760 2258850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_552 unithv 5760 2262920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_553 unithv 5760 2266990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_554 unithv 5760 2271060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_555 unithv 5760 2275130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_556 unithv 5760 2279200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_557 unithv 5760 2283270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_558 unithv 5760 2287340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_559 unithv 5760 2291410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_560 unithv 5760 2295480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_561 unithv 5760 2299550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_562 unithv 5760 2303620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_563 unithv 5760 2307690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_564 unithv 5760 2311760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_565 unithv 5760 2315830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_566 unithv 5760 2319900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_567 unithv 5760 2323970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_568 unithv 5760 2328040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_569 unithv 5760 2332110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_570 unithv 5760 2336180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_571 unithv 5760 2340250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_572 unithv 5760 2344320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_573 unithv 5760 2348390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_574 unithv 5760 2352460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_575 unithv 5760 2356530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_576 unithv 5760 2360600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_577 unithv 5760 2364670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_578 unithv 5760 2368740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_579 unithv 5760 2372810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_580 unithv 5760 2376880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_581 unithv 5760 2380950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_582 unithv 5760 2385020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_583 unithv 5760 2389090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_584 unithv 5760 2393160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_585 unithv 5760 2397230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_586 unithv 5760 2401300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_587 unithv 5760 2405370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_588 unithv 5760 2409440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_589 unithv 5760 2413510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_590 unithv 5760 2417580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_591 unithv 5760 2421650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_592 unithv 5760 2425720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_593 unithv 5760 2429790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_594 unithv 5760 2433860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_595 unithv 5760 2437930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_596 unithv 5760 2442000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_597 unithv 5760 2446070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_598 unithv 5760 2450140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_599 unithv 5760 2454210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_600 unithv 5760 2458280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_601 unithv 5760 2462350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_602 unithv 5760 2466420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_603 unithv 5760 2470490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_604 unithv 5760 2474560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_605 unithv 5760 2478630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_606 unithv 5760 2482700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_607 unithv 5760 2486770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_608 unithv 5760 2490840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_609 unithv 5760 2494910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_610 unithv 5760 2498980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_611 unithv 5760 2503050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_612 unithv 5760 2507120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_613 unithv 5760 2511190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_614 unithv 5760 2515260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_615 unithv 5760 2519330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_616 unithv 5760 2523400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_617 unithv 5760 2527470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_618 unithv 5760 2531540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_619 unithv 5760 2535610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_620 unithv 5760 2539680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_621 unithv 5760 2543750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_622 unithv 5760 2547820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_623 unithv 5760 2551890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_624 unithv 5760 2555960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_625 unithv 5760 2560030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_626 unithv 5760 2564100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_627 unithv 5760 2568170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_628 unithv 5760 2572240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_629 unithv 5760 2576310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_630 unithv 5760 2580380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_631 unithv 5760 2584450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_632 unithv 5760 2588520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_633 unithv 5760 2592590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_634 unithv 5760 2596660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_635 unithv 5760 2600730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_636 unithv 5760 2604800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_637 unithv 5760 2608870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_638 unithv 5760 2612940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_639 unithv 5760 2617010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_640 unithv 5760 2621080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_641 unithv 5760 2625150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_642 unithv 5760 2629220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_643 unithv 5760 2633290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_644 unithv 5760 2637360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_645 unithv 5760 2641430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_646 unithv 5760 2645500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_647 unithv 5760 2649570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_648 unithv 5760 2653640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_649 unithv 5760 2657710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_650 unithv 5760 2661780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_651 unithv 5760 2665850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_652 unithv 5760 2669920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_653 unithv 5760 2673990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_654 unithv 5760 2678060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_655 unithv 5760 2682130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_656 unithv 5760 2686200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_657 unithv 5760 2690270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_658 unithv 5760 2694340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_659 unithv 5760 2698410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_660 unithv 5760 2702480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_661 unithv 5760 2706550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_662 unithv 5760 2710620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_663 unithv 5760 2714690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_664 unithv 5760 2718760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_665 unithv 5760 2722830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_666 unithv 5760 2726900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_667 unithv 5760 2730970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_668 unithv 5760 2735040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_669 unithv 5760 2739110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_670 unithv 5760 2743180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_671 unithv 5760 2747250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_672 unithv 5760 2751320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_673 unithv 5760 2755390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_674 unithv 5760 2759460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_675 unithv 5760 2763530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_676 unithv 5760 2767600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_677 unithv 5760 2771670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_678 unithv 5760 2775740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_679 unithv 5760 2779810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_680 unithv 5760 2783880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_681 unithv 5760 2787950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_682 unithv 5760 2792020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_683 unithv 5760 2796090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_684 unithv 5760 2800160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_685 unithv 5760 2804230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_686 unithv 5760 2808300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_687 unithv 5760 2812370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_688 unithv 5760 2816440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_689 unithv 5760 2820510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_690 unithv 5760 2824580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_691 unithv 5760 2828650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_692 unithv 5760 2832720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_693 unithv 5760 2836790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_694 unithv 5760 2840860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_695 unithv 5760 2844930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_696 unithv 5760 2849000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_697 unithv 5760 2853070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_698 unithv 5760 2857140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_699 unithv 5760 2861210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_700 unithv 5760 2865280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_701 unithv 5760 2869350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_702 unithv 5760 2873420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_703 unithv 5760 2877490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_704 unithv 5760 2881560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_705 unithv 5760 2885630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_706 unithv 5760 2889700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_707 unithv 5760 2893770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_708 unithv 5760 2897840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_709 unithv 5760 2901910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_710 unithv 5760 2905980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_711 unithv 5760 2910050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_712 unithv 5760 2914120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_713 unithv 5760 2918190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_714 unithv 5760 2922260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_715 unithv 5760 2926330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_716 unithv 5760 2930400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_717 unithv 5760 2934470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_718 unithv 5760 2938540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_719 unithv 5760 2942610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_720 unithv 5760 2946680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_721 unithv 5760 2950750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_722 unithv 5760 2954820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_723 unithv 5760 2958890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_724 unithv 5760 2962960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_725 unithv 5760 2967030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_726 unithv 5760 2971100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_727 unithv 5760 2975170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_728 unithv 5760 2979240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_729 unithv 5760 2983310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_730 unithv 5760 2987380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_731 unithv 5760 2991450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_732 unithv 5760 2995520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_733 unithv 5760 2999590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_734 unithv 5760 3003660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_735 unithv 5760 3007730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_736 unithv 5760 3011800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_737 unithv 5760 3015870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_738 unithv 5760 3019940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_739 unithv 5760 3024010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_740 unithv 5760 3028080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_741 unithv 5760 3032150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_742 unithv 5760 3036220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_743 unithv 5760 3040290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_744 unithv 5760 3044360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_745 unithv 5760 3048430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_746 unithv 5760 3052500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_747 unithv 5760 3056570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_748 unithv 5760 3060640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_749 unithv 5760 3064710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_750 unithv 5760 3068780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_751 unithv 5760 3072850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_752 unithv 5760 3076920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_753 unithv 5760 3080990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_754 unithv 5760 3085060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_755 unithv 5760 3089130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_756 unithv 5760 3093200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_757 unithv 5760 3097270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_758 unithv 5760 3101340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_759 unithv 5760 3105410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_760 unithv 5760 3109480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_761 unithv 5760 3113550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_762 unithv 5760 3117620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_763 unithv 5760 3121690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_764 unithv 5760 3125760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_765 unithv 5760 3129830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_766 unithv 5760 3133900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_767 unithv 5760 3137970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_768 unithv 5760 3142040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_769 unithv 5760 3146110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_770 unithv 5760 3150180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_771 unithv 5760 3154250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_772 unithv 5760 3158320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_773 unithv 5760 3162390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_774 unithv 5760 3166460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_775 unithv 5760 3170530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_776 unithv 5760 3174600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_777 unithv 5760 3178670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_778 unithv 5760 3182740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_779 unithv 5760 3186810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_780 unithv 5760 3190880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_781 unithv 5760 3194950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_782 unithv 5760 3199020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_783 unithv 5760 3203090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_784 unithv 5760 3207160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_785 unithv 5760 3211230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_786 unithv 5760 3215300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_787 unithv 5760 3219370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_788 unithv 5760 3223440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_789 unithv 5760 3227510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_790 unithv 5760 3231580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_791 unithv 5760 3235650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_792 unithv 5760 3239720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_793 unithv 5760 3243790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_794 unithv 5760 3247860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_795 unithv 5760 3251930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_796 unithv 5760 3256000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_797 unithv 5760 3260070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_798 unithv 5760 3264140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_799 unithv 5760 3268210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_800 unithv 5760 3272280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_801 unithv 5760 3276350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_802 unithv 5760 3280420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_803 unithv 5760 3284490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_804 unithv 5760 3288560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_805 unithv 5760 3292630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_806 unithv 5760 3296700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_807 unithv 5760 3300770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_808 unithv 5760 3304840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_809 unithv 5760 3308910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_810 unithv 5760 3312980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_811 unithv 5760 3317050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_812 unithv 5760 3321120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_813 unithv 5760 3325190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_814 unithv 5760 3329260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_815 unithv 5760 3333330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_816 unithv 5760 3337400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_817 unithv 5760 3341470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_818 unithv 5760 3345540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_819 unithv 5760 3349610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_820 unithv 5760 3353680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_821 unithv 5760 3357750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_822 unithv 5760 3361820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_823 unithv 5760 3365890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_824 unithv 5760 3369960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_825 unithv 5760 3374030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_826 unithv 5760 3378100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_827 unithv 5760 3382170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_828 unithv 5760 3386240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_829 unithv 5760 3390310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_830 unithv 5760 3394380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_831 unithv 5760 3398450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_832 unithv 5760 3402520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_833 unithv 5760 3406590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_834 unithv 5760 3410660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_835 unithv 5760 3414730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_836 unithv 5760 3418800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_837 unithv 5760 3422870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_838 unithv 5760 3426940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_839 unithv 5760 3431010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_840 unithv 5760 3435080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_841 unithv 5760 3439150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_842 unithv 5760 3443220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_843 unithv 5760 3447290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_844 unithv 5760 3451360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_845 unithv 5760 3455430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_846 unithv 5760 3459500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_847 unithv 5760 3463570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_848 unithv 5760 3467640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_849 unithv 5760 3471710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_850 unithv 5760 3475780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_851 unithv 5760 3479850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_852 unithv 5760 3483920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_853 unithv 5760 3487990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_854 unithv 5760 3492060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_855 unithv 5760 3496130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_856 unithv 5760 3500200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_857 unithv 5760 3504270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_858 unithv 5760 3508340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_859 unithv 5760 3512410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_860 unithv 5760 3516480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_861 unithv 5760 3520550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_862 unithv 5760 3524620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_863 unithv 5760 3528690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_864 unithv 5760 3532760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_865 unithv 5760 3536830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_866 unithv 5760 3540900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_867 unithv 5760 3544970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_868 unithv 5760 3549040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_869 unithv 5760 3553110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_870 unithv 5760 3557180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_871 unithv 5760 3561250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_872 unithv 5760 3565320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_873 unithv 5760 3569390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_874 unithv 5760 3573460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_875 unithv 5760 3577530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_876 unithv 5760 3581600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_877 unithv 5760 3585670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_878 unithv 5760 3589740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_879 unithv 5760 3593810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_880 unithv 5760 3597880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_881 unithv 5760 3601950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_882 unithv 5760 3606020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_883 unithv 5760 3610090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_884 unithv 5760 3614160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_885 unithv 5760 3618230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_886 unithv 5760 3622300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_887 unithv 5760 3626370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_888 unithv 5760 3630440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_889 unithv 5760 3634510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_890 unithv 5760 3638580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_891 unithv 5760 3642650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_892 unithv 5760 3646720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_893 unithv 5760 3650790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_894 unithv 5760 3654860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_895 unithv 5760 3658930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_896 unithv 5760 3663000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_897 unithv 5760 3667070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_898 unithv 5760 3671140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_899 unithv 5760 3675210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_900 unithv 5760 3679280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_901 unithv 5760 3683350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_902 unithv 5760 3687420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_903 unithv 5760 3691490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_904 unithv 5760 3695560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_905 unithv 5760 3699630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_906 unithv 5760 3703700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_907 unithv 5760 3707770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_908 unithv 5760 3711840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_909 unithv 5760 3715910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_910 unithv 5760 3719980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_911 unithv 5760 3724050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_912 unithv 5760 3728120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_913 unithv 5760 3732190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_914 unithv 5760 3736260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_915 unithv 5760 3740330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_916 unithv 5760 3744400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_917 unithv 5760 3748470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_918 unithv 5760 3752540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_919 unithv 5760 3756610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_920 unithv 5760 3760680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_921 unithv 5760 3764750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_922 unithv 5760 3768820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_923 unithv 5760 3772890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_924 unithv 5760 3776960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_925 unithv 5760 3781030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_926 unithv 5760 3785100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_927 unithv 5760 3789170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_928 unithv 5760 3793240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_929 unithv 5760 3797310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_930 unithv 5760 3801380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_931 unithv 5760 3805450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_932 unithv 5760 3809520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_933 unithv 5760 3813590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_934 unithv 5760 3817660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_935 unithv 5760 3821730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_936 unithv 5760 3825800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_937 unithv 5760 3829870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_938 unithv 5760 3833940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_939 unithv 5760 3838010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_940 unithv 5760 3842080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_941 unithv 5760 3846150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_942 unithv 5760 3850220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_943 unithv 5760 3854290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_944 unithv 5760 3858360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_945 unithv 5760 3862430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_946 unithv 5760 3866500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_947 unithv 5760 3870570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_948 unithv 5760 3874640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_949 unithv 5760 3878710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_950 unithv 5760 3882780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_951 unithv 5760 3886850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_952 unithv 5760 3890920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_953 unithv 5760 3894990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_954 unithv 5760 3899060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_955 unithv 5760 3903130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_956 unithv 5760 3907200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_957 unithv 5760 3911270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_958 unithv 5760 3915340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_959 unithv 5760 3919410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_960 unithv 5760 3923480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_961 unithv 5760 3927550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_962 unithv 5760 3931620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_963 unithv 5760 3935690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_964 unithv 5760 3939760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_965 unithv 5760 3943830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_966 unithv 5760 3947900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_967 unithv 5760 3951970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_968 unithv 5760 3956040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_969 unithv 5760 3960110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_970 unithv 5760 3964180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_971 unithv 5760 3968250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_972 unithv 5760 3972320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_973 unithv 5760 3976390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_974 unithv 5760 3980460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_975 unithv 5760 3984530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_976 unithv 5760 3988600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_977 unithv 5760 3992670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_978 unithv 5760 3996740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_979 unithv 5760 4000810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_980 unithv 5760 4004880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_981 unithv 5760 4008950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_982 unithv 5760 4013020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_983 unithv 5760 4017090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_984 unithv 5760 4021160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_985 unithv 5760 4025230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_986 unithv 5760 4029300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_987 unithv 5760 4033370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_988 unithv 5760 4037440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_989 unithv 5760 4041510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_990 unithv 5760 4045580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_991 unithv 5760 4049650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_992 unithv 5760 4053720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_993 unithv 5760 4057790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_994 unithv 5760 4061860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_995 unithv 5760 4065930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_996 unithv 5760 4070000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_997 unithv 5760 4074070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_998 unithv 5760 4078140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_999 unithv 5760 4082210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1000 unithv 5760 4086280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1001 unithv 5760 4090350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1002 unithv 5760 4094420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1003 unithv 5760 4098490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1004 unithv 5760 4102560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1005 unithv 5760 4106630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1006 unithv 5760 4110700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1007 unithv 5760 4114770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1008 unithv 5760 4118840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1009 unithv 5760 4122910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1010 unithv 5760 4126980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1011 unithv 5760 4131050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1012 unithv 5760 4135120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1013 unithv 5760 4139190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1014 unithv 5760 4143260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1015 unithv 5760 4147330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1016 unithv 5760 4151400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1017 unithv 5760 4155470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1018 unithv 5760 4159540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1019 unithv 5760 4163610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1020 unithv 5760 4167680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1021 unithv 5760 4171750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1022 unithv 5760 4175820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1023 unithv 5760 4179890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1024 unithv 5760 4183960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1025 unithv 5760 4188030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1026 unithv 5760 4192100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1027 unithv 5760 4196170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1028 unithv 5760 4200240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1029 unithv 5760 4204310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1030 unithv 5760 4208380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1031 unithv 5760 4212450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1032 unithv 5760 4216520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1033 unithv 5760 4220590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1034 unithv 5760 4224660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1035 unithv 5760 4228730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1036 unithv 5760 4232800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1037 unithv 5760 4236870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1038 unithv 5760 4240940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1039 unithv 5760 4245010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1040 unithv 5760 4249080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1041 unithv 5760 4253150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1042 unithv 5760 4257220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1043 unithv 5760 4261290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1044 unithv 5760 4265360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1045 unithv 5760 4269430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1046 unithv 5760 4273500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1047 unithv 5760 4277570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1048 unithv 5760 4281640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1049 unithv 5760 4285710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1050 unithv 5760 4289780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1051 unithv 5760 4293850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1052 unithv 5760 4297920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1053 unithv 5760 4301990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1054 unithv 5760 4306060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1055 unithv 5760 4310130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1056 unithv 5760 4314200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1057 unithv 5760 4318270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1058 unithv 5760 4322340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1059 unithv 5760 4326410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1060 unithv 5760 4330480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1061 unithv 5760 4334550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1062 unithv 5760 4338620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1063 unithv 5760 4342690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1064 unithv 5760 4346760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1065 unithv 5760 4350830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1066 unithv 5760 4354900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1067 unithv 5760 4358970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1068 unithv 5760 4363040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1069 unithv 5760 4367110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1070 unithv 5760 4371180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1071 unithv 5760 4375250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1072 unithv 5760 4379320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1073 unithv 5760 4383390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1074 unithv 5760 4387460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1075 unithv 5760 4391530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1076 unithv 5760 4395600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1077 unithv 5760 4399670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1078 unithv 5760 4403740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1079 unithv 5760 4407810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1080 unithv 5760 4411880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1081 unithv 5760 4415950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1082 unithv 5760 4420020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1083 unithv 5760 4424090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1084 unithv 5760 4428160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1085 unithv 5760 4432230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1086 unithv 5760 4436300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1087 unithv 5760 4440370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1088 unithv 5760 4444440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1089 unithv 5760 4448510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1090 unithv 5760 4452580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1091 unithv 5760 4456650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1092 unithv 5760 4460720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1093 unithv 5760 4464790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1094 unithv 5760 4468860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1095 unithv 5760 4472930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1096 unithv 5760 4477000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1097 unithv 5760 4481070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1098 unithv 5760 4485140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1099 unithv 5760 4489210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1100 unithv 5760 4493280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1101 unithv 5760 4497350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1102 unithv 5760 4501420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1103 unithv 5760 4505490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1104 unithv 5760 4509560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1105 unithv 5760 4513630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1106 unithv 5760 4517700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1107 unithv 5760 4521770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1108 unithv 5760 4525840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1109 unithv 5760 4529910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1110 unithv 5760 4533980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1111 unithv 5760 4538050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1112 unithv 5760 4542120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1113 unithv 5760 4546190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1114 unithv 5760 4550260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1115 unithv 5760 4554330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1116 unithv 5760 4558400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1117 unithv 5760 4562470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1118 unithv 5760 4566540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1119 unithv 5760 4570610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1120 unithv 5760 4574680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1121 unithv 5760 4578750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1122 unithv 5760 4582820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1123 unithv 5760 4586890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1124 unithv 5760 4590960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1125 unithv 5760 4595030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1126 unithv 5760 4599100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1127 unithv 5760 4603170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1128 unithv 5760 4607240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1129 unithv 5760 4611310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1130 unithv 5760 4615380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1131 unithv 5760 4619450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1132 unithv 5760 4623520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1133 unithv 5760 4627590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1134 unithv 5760 4631660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1135 unithv 5760 4635730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1136 unithv 5760 4639800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1137 unithv 5760 4643870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1138 unithv 5760 4647940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1139 unithv 5760 4652010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1140 unithv 5760 4656080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1141 unithv 5760 4660150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1142 unithv 5760 4664220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1143 unithv 5760 4668290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1144 unithv 5760 4672360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1145 unithv 5760 4676430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1146 unithv 5760 4680500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1147 unithv 5760 4684570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1148 unithv 5760 4688640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1149 unithv 5760 4692710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1150 unithv 5760 4696780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1151 unithv 5760 4700850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1152 unithv 5760 4704920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1153 unithv 5760 4708990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1154 unithv 5760 4713060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1155 unithv 5760 4717130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1156 unithv 5760 4721200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1157 unithv 5760 4725270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1158 unithv 5760 4729340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1159 unithv 5760 4733410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1160 unithv 5760 4737480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1161 unithv 5760 4741550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1162 unithv 5760 4745620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1163 unithv 5760 4749690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1164 unithv 5760 4753760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1165 unithv 5760 4757830 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1166 unithv 5760 4761900 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1167 unithv 5760 4765970 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1168 unithv 5760 4770040 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1169 unithv 5760 4774110 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1170 unithv 5760 4778180 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1171 unithv 5760 4782250 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1172 unithv 5760 4786320 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1173 unithv 5760 4790390 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1174 unithv 5760 4794460 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1175 unithv 5760 4798530 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1176 unithv 5760 4802600 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1177 unithv 5760 4806670 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1178 unithv 5760 4810740 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1179 unithv 5760 4814810 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1180 unithv 5760 4818880 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1181 unithv 5760 4822950 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1182 unithv 5760 4827020 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1183 unithv 5760 4831090 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1184 unithv 5760 4835160 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1185 unithv 5760 4839230 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1186 unithv 5760 4843300 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1187 unithv 5760 4847370 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1188 unithv 5760 4851440 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1189 unithv 5760 4855510 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1190 unithv 5760 4859580 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1191 unithv 5760 4863650 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1192 unithv 5760 4867720 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1193 unithv 5760 4871790 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1194 unithv 5760 4875860 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1195 unithv 5760 4879930 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1196 unithv 5760 4884000 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1197 unithv 5760 4888070 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1198 unithv 5760 4892140 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1199 unithv 5760 4896210 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1200 unithv 5760 4900280 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1201 unithv 5760 4904350 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1202 unithv 5760 4908420 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1203 unithv 5760 4912490 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1204 unithv 5760 4916560 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1205 unithv 5760 4920630 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1206 unithv 5760 4924700 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1207 unithv 5760 4928770 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1208 unithv 5760 4932840 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1209 unithv 5760 4936910 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1210 unithv 5760 4940980 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1211 unithv 5760 4945050 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1212 unithv 5760 4949120 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1213 unithv 5760 4953190 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1214 unithv 5760 4957260 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1215 unithv 5760 4961330 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1216 unithv 5760 4965400 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1217 unithv 5760 4969470 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1218 unithv 5760 4973540 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1219 unithv 5760 4977610 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1220 unithv 5760 4981680 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1221 unithv 5760 4985750 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1222 unithv 5760 4989820 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1223 unithv 5760 4993890 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1224 unithv 5760 4997960 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1225 unithv 5760 5002030 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1226 unithv 5760 5006100 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1227 unithv 5760 5010170 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1228 unithv 5760 5014240 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1229 unithv 5760 5018310 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1230 unithv 5760 5022380 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1231 unithv 5760 5026450 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1232 unithv 5760 5030520 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1233 unithv 5760 5034590 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1234 unithv 5760 5038660 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1235 unithv 5760 5042730 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1236 unithv 5760 5046800 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1237 unithv 5760 5050870 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1238 unithv 5760 5054940 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1239 unithv 5760 5059010 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1240 unithv 5760 5063080 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1241 unithv 5760 5067150 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1242 unithv 5760 5071220 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1243 unithv 5760 5075290 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1244 unithv 5760 5079360 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1245 unithv 5760 5083430 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1246 unithv 5760 5087500 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1247 unithv 5760 5091570 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1248 unithv 5760 5095640 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1249 unithv 5760 5099710 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1250 unithv 5760 5103780 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1251 unithv 5760 5107850 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1252 unithv 5760 5111920 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1253 unithv 5760 5115990 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1254 unithv 5760 5120060 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1255 unithv 5760 5124130 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1256 unithv 5760 5128200 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1257 unithv 5760 5132270 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1258 unithv 5760 5136340 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1259 unithv 5760 5140410 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1260 unithv 5760 5144480 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1261 unithv 5760 5148550 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1262 unithv 5760 5152620 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1263 unithv 5760 5156690 N DO 7451 BY 1 STEP 480 0
;
ROW ROW_1264 unithv 5760 5160760 FS DO 7451 BY 1 STEP 480 0
;
ROW ROW_1265 unithv 5760 5164830 N DO 7451 BY 1 STEP 480 0
;
TRACKS X 240 DO 7475 STEP 480 LAYER li1 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER li1 ;
TRACKS X 185 DO 9697 STEP 370 LAYER met1 ;
TRACKS Y 185 DO 14022 STEP 370 LAYER met1 ;
TRACKS X 240 DO 7475 STEP 480 LAYER met2 ;
TRACKS Y 240 DO 10808 STEP 480 LAYER met2 ;
TRACKS X 370 DO 4849 STEP 740 LAYER met3 ;
TRACKS Y 370 DO 7011 STEP 740 LAYER met3 ;
TRACKS X 480 DO 3738 STEP 960 LAYER met4 ;
TRACKS Y 480 DO 5404 STEP 960 LAYER met4 ;
TRACKS X 1665 DO 1077 STEP 3330 LAYER met5 ;
TRACKS Y 1665 DO 1558 STEP 3330 LAYER met5 ;
VIAS 2 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
END VIAS
COMPONENTS 47 ;
- obs_li1 obs + PLACED ( 0 0 ) N ;
- gpio_control_bidir\[0\] gpio_control_block + FIXED ( 3373015 605000 ) FN ;
- gpio_control_bidir\[1\] gpio_control_block + FIXED ( 3373015 831000 ) FN ;
- gpio_control_in\[10\] gpio_control_block + FIXED ( 3373015 3070000 ) FN ;
- gpio_control_in\[11\] gpio_control_block + FIXED ( 3373015 3295000 ) FN ;
- gpio_control_in\[12\] gpio_control_block + FIXED ( 3373015 3521000 ) FN ;
- gpio_control_in\[13\] gpio_control_block + FIXED ( 3373015 3746000 ) FN ;
- gpio_control_in\[14\] gpio_control_block + FIXED ( 3373015 4638000 ) FN ;
- gpio_control_in\[15\] gpio_control_block + FIXED ( 2746000 4979065 ) E ;
- gpio_control_in\[16\] gpio_control_block + FIXED ( 2489000 4979065 ) E ;
- gpio_control_in\[17\] gpio_control_block + FIXED ( 2104000 4979065 ) E ;
- gpio_control_in\[18\] gpio_control_block + FIXED ( 1767000 4979065 ) E ;
- gpio_control_in\[19\] gpio_control_block + FIXED ( 1515000 4979065 ) E ;
- gpio_control_in\[20\] gpio_control_block + FIXED ( 1257000 4979065 ) E ;
- gpio_control_in\[21\] gpio_control_block + FIXED ( 1000000 4979065 ) E ;
- gpio_control_in\[22\] gpio_control_block + FIXED ( 743000 4979065 ) E ;
- gpio_control_in\[23\] gpio_control_block + FIXED ( 486000 4979065 ) E ;
- gpio_control_in\[24\] gpio_control_block + FIXED ( 42835 4449000 ) N ;
- gpio_control_in\[25\] gpio_control_block + FIXED ( 42835 4027000 ) N ;
- gpio_control_in\[26\] gpio_control_block + FIXED ( 42835 3811000 ) N ;
- gpio_control_in\[27\] gpio_control_block + FIXED ( 42835 3595000 ) N ;
- gpio_control_in\[28\] gpio_control_block + FIXED ( 42835 3379000 ) N ;
- gpio_control_in\[29\] gpio_control_block + FIXED ( 42835 3163000 ) N ;
- gpio_control_in\[2\] gpio_control_block + FIXED ( 3373015 1056000 ) FN ;
- gpio_control_in\[30\] gpio_control_block + FIXED ( 42835 2947000 ) N ;
- gpio_control_in\[31\] gpio_control_block + FIXED ( 42835 2731000 ) N ;
- gpio_control_in\[32\] gpio_control_block + FIXED ( 42835 2093000 ) N ;
- gpio_control_in\[33\] gpio_control_block + FIXED ( 42835 1877000 ) N ;
- gpio_control_in\[34\] gpio_control_block + FIXED ( 42835 1661000 ) N ;
- gpio_control_in\[35\] gpio_control_block + FIXED ( 42835 1445000 ) N ;
- gpio_control_in\[36\] gpio_control_block + FIXED ( 42835 1229000 ) N ;
- gpio_control_in\[37\] gpio_control_block + FIXED ( 42835 1013000 ) N ;
- gpio_control_in\[3\] gpio_control_block + FIXED ( 3373015 1282000 ) FN ;
- gpio_control_in\[4\] gpio_control_block + FIXED ( 3373015 1507000 ) FN ;
- gpio_control_in\[5\] gpio_control_block + FIXED ( 3373015 1732000 ) FN ;
- gpio_control_in\[6\] gpio_control_block + FIXED ( 3373015 1958000 ) FN ;
- gpio_control_in\[7\] gpio_control_block + FIXED ( 3373015 2399000 ) FN ;
- gpio_control_in\[8\] gpio_control_block + FIXED ( 3373015 2619000 ) FN ;
- gpio_control_in\[9\] gpio_control_block + FIXED ( 3373015 2844000 ) FN ;
- mgmt_buffers mgmt_protect + FIXED ( 1440500 1198735 ) N ;
- mprj user_project_wrapper + FIXED ( 326385 1382010 ) N ;
- padframe chip_io + FIXED ( 0 0 ) N ;
- por simple_por + FIXED ( 3270880 520985 ) N ;
- rstb_level sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped + FIXED ( 773765 257020 ) N ;
- soc mgmt_core + FIXED ( 1022750 268500 ) N ;
- storage storage + FIXED ( 260155 306960 ) N ;
- user_id_value user_id_programming + FIXED ( 3283125 404630 ) N ;
END COMPONENTS
NETS 1928 ;
- vddio ( padframe vddio ) ( padframe mprj_io_hldh_n[9] ) ( padframe mprj_io_hldh_n[8] ) ( padframe mprj_io_hldh_n[7] )
( padframe mprj_io_hldh_n[6] ) ( padframe mprj_io_hldh_n[5] ) ( padframe mprj_io_hldh_n[4] ) ( padframe mprj_io_hldh_n[3] ) ( padframe mprj_io_hldh_n[37] )
( padframe mprj_io_hldh_n[36] ) ( padframe mprj_io_hldh_n[35] ) ( padframe mprj_io_hldh_n[34] ) ( padframe mprj_io_hldh_n[33] ) ( padframe mprj_io_hldh_n[32] )
( padframe mprj_io_hldh_n[31] ) ( padframe mprj_io_hldh_n[30] ) ( padframe mprj_io_hldh_n[2] ) ( padframe mprj_io_hldh_n[29] ) ( padframe mprj_io_hldh_n[28] )
( padframe mprj_io_hldh_n[27] ) ( padframe mprj_io_hldh_n[26] ) ( padframe mprj_io_hldh_n[25] ) ( padframe mprj_io_hldh_n[24] ) ( padframe mprj_io_hldh_n[23] )
( padframe mprj_io_hldh_n[22] ) ( padframe mprj_io_hldh_n[21] ) ( padframe mprj_io_hldh_n[20] ) ( padframe mprj_io_hldh_n[1] ) ( padframe mprj_io_hldh_n[19] )
( padframe mprj_io_hldh_n[18] ) ( padframe mprj_io_hldh_n[17] ) ( padframe mprj_io_hldh_n[16] ) ( padframe mprj_io_hldh_n[15] ) ( padframe mprj_io_hldh_n[14] )
( padframe mprj_io_hldh_n[13] ) ( padframe mprj_io_hldh_n[12] ) ( padframe mprj_io_hldh_n[11] ) ( padframe mprj_io_hldh_n[10] ) ( padframe mprj_io_hldh_n[0] )
+ ROUTED met3 ( 180960 624930 0 ) ( 180960 626410 )
NEW met2 ( 209520 2656230 ) ( 209520 2658080 0 )
NEW met3 ( 209520 2656230 ) ( 209760 2656230 )
NEW met3 ( 211920 4811110 ) ( 214560 4811110 )
NEW met2 ( 210960 3953985 0 ) ( 210960 3954930 )
NEW met3 ( 210960 3954930 ) ( 212640 3954930 )
NEW met3 ( 209760 3954930 ) ( 210960 3954930 )
NEW met2 ( 210960 4802970 0 ) ( 210960 4805005 )
NEW met1 ( 210960 4805005 ) ( 211920 4805005 )
NEW met2 ( 211920 4805005 ) ( 211920 4811110 )
NEW met2 ( 413040 4970395 ) ( 413040 4977610 0 )
NEW met2 ( 374640 4978535 ) ( 374640 4986490 )
NEW met1 ( 374640 4978535 ) ( 412560 4978535 )
NEW met2 ( 412560 4977610 ) ( 412560 4978535 )
NEW met2 ( 412560 4977610 ) ( 413040 4977610 0 )
NEW met2 ( 1194960 4968175 ) ( 1194960 4972245 )
NEW met2 ( 1183920 4972245 ) ( 1183920 4977610 0 )
NEW met2 ( 1396560 4967990 ) ( 1396560 4968175 )
NEW met2 ( 2395920 4967805 ) ( 2395920 4977610 0 )
NEW met1 ( 2395920 4967805 ) ( 2447760 4967805 )
NEW met2 ( 2447760 4967805 ) ( 2447760 5012205 )
NEW met2 ( 2652960 4976685 ) ( 2652960 4977610 0 )
NEW met1 ( 2593200 4976685 ) ( 2652960 4976685 )
NEW met2 ( 2653200 4968175 ) ( 2653200 4976130 )
NEW met2 ( 2652960 4976130 ) ( 2653200 4976130 )
NEW met2 ( 2652960 4976130 ) ( 2652960 4976685 )
NEW met2 ( 2593200 4976685 ) ( 2593200 5011835 )
NEW met3 ( 158880 626410 ) ( 180960 626410 )
NEW met3 ( 214560 4811110 ) ( 309360 4811110 )
NEW met1 ( 2510640 5011835 ) ( 2510640 5012205 )
NEW met1 ( 2447760 5012205 ) ( 2510640 5012205 )
NEW met1 ( 2510640 5011835 ) ( 2593200 5011835 )
NEW met2 ( 3377520 999000 0 ) ( 3377520 1000110 )
NEW met3 ( 3377520 1000110 ) ( 3377760 1000110 )
NEW met2 ( 3375600 999000 ) ( 3377520 999000 0 )
NEW met4 ( 3374880 1183815 ) ( 3376800 1183815 )
NEW met2 ( 3375120 1450030 ) ( 3377040 1450030 0 )
NEW met3 ( 3377520 1450770 ) ( 3377760 1450770 )
NEW met2 ( 3377520 1450030 0 ) ( 3377520 1450770 )
NEW met2 ( 3377040 1450030 0 ) ( 3377520 1450030 0 )
NEW met2 ( 3376080 1674990 ) ( 3377040 1674990 0 )
NEW met2 ( 3376080 1647610 ) ( 3376080 1674990 )
NEW met3 ( 3376080 1647610 ) ( 3377760 1647610 )
NEW met3 ( 3377520 1675730 ) ( 3377760 1675730 )
NEW met2 ( 3377520 1674990 0 ) ( 3377520 1675730 )
NEW met2 ( 3377040 1674990 0 ) ( 3377520 1674990 0 )
NEW met3 ( 3375600 1872570 ) ( 3377760 1872570 )
NEW met1 ( 3368880 2808115 ) ( 3375600 2808115 )
NEW met2 ( 3377520 3012910 0 ) ( 3377520 3014205 )
NEW met1 ( 3374160 3014205 ) ( 3377520 3014205 )
NEW met1 ( 3368880 3014205 ) ( 3374160 3014205 )
NEW met1 ( 3362160 3254705 ) ( 3374160 3254705 )
NEW met2 ( 3377520 3462275 ) ( 3377520 3463940 0 )
NEW met1 ( 3362160 3462275 ) ( 3377520 3462275 )
NEW met2 ( 3377520 3688345 ) ( 3377520 3688900 0 )
NEW met1 ( 3362640 3688345 ) ( 3377520 3688345 )
NEW met2 ( 3369840 4837750 ) ( 3369840 4903425 )
NEW met3 ( 3369840 4837750 ) ( 3377760 4837750 )
NEW met1 ( 3154320 4903425 ) ( 3369840 4903425 )
NEW met4 ( 158880 626410 ) ( 158880 885410 )
NEW met3 ( 158880 885410 ) ( 213840 885410 )
NEW met1 ( 309360 4997035 ) ( 373680 4997035 )
NEW met2 ( 373680 4986490 ) ( 373680 4997035 )
NEW met2 ( 309360 4811110 ) ( 309360 4997035 )
NEW met3 ( 373680 4986490 ) ( 374640 4986490 )
NEW met2 ( 1137840 4970950 ) ( 1137840 4972245 )
NEW met1 ( 1137840 4972245 ) ( 1194960 4972245 )
NEW met1 ( 1194960 4968175 ) ( 1396560 4968175 )
NEW met2 ( 2347440 4967805 ) ( 2347440 4967990 )
NEW met3 ( 1950960 4967990 ) ( 2347440 4967990 )
NEW met1 ( 2347440 4967805 ) ( 2395920 4967805 )
NEW met2 ( 3162000 4971875 ) ( 3162000 4977610 0 )
NEW met1 ( 3154320 4971875 ) ( 3162000 4971875 )
NEW met1 ( 2653200 4968175 ) ( 3154320 4968175 )
NEW met2 ( 3154320 4903425 ) ( 3154320 4971875 )
NEW met3 ( 3377760 550190 ) ( 3378000 550190 )
NEW met2 ( 3378000 547970 0 ) ( 3378000 550190 )
NEW met3 ( 3375600 966070 ) ( 3377760 966070 )
NEW met2 ( 3375600 966070 ) ( 3375600 999000 )
NEW met4 ( 3377760 1450770 ) ( 3377760 1647610 )
NEW met4 ( 3377760 1675730 ) ( 3377760 1872570 )
NEW met2 ( 3375600 1901060 ) ( 3377040 1901060 0 )
NEW met2 ( 3375600 1872570 ) ( 3375600 1901060 )
NEW met2 ( 3375600 2787015 ) ( 3377040 2787015 0 )
NEW met2 ( 3375600 2787015 ) ( 3375600 2808115 )
NEW met2 ( 3368880 2808115 ) ( 3368880 3014205 )
NEW met2 ( 3362160 3254705 ) ( 3362160 3462275 )
NEW met1 ( 3362640 3513335 ) ( 3374160 3513335 )
NEW met2 ( 3374160 3462275 ) ( 3374160 3513335 )
NEW met2 ( 3377520 4805190 ) ( 3377520 4805930 0 )
NEW met3 ( 3377520 4805190 ) ( 3377760 4805190 )
NEW met2 ( 209040 939985 0 ) ( 209040 941650 )
NEW met3 ( 208800 941650 ) ( 209040 941650 )
NEW met1 ( 210960 939245 ) ( 213840 939245 )
NEW met2 ( 210960 939245 ) ( 210960 939985 0 )
NEW met2 ( 209040 939985 0 ) ( 210960 939985 0 )
NEW met2 ( 213840 885410 ) ( 213840 939245 )
NEW met2 ( 209040 1155880 0 ) ( 209040 1158470 )
NEW met3 ( 208800 1158470 ) ( 209040 1158470 )
NEW met2 ( 209040 1588040 0 ) ( 209040 1589890 )
NEW met3 ( 208800 1589890 ) ( 209040 1589890 )
NEW met3 ( 208800 1569170 ) ( 215520 1569170 )
NEW met4 ( 208800 1569170 ) ( 208800 1589890 )
NEW met2 ( 209040 1803010 ) ( 209040 1804120 0 )
NEW met3 ( 208800 1803010 ) ( 209040 1803010 )
NEW met3 ( 209040 1803010 ) ( 213600 1803010 )
NEW met2 ( 210480 2019090 ) ( 210480 2019985 0 )
NEW met3 ( 210480 2019090 ) ( 213600 2019090 )
NEW met4 ( 207840 2026305 ) ( 209760 2026305 )
NEW met4 ( 207840 2019090 ) ( 207840 2026305 )
NEW met3 ( 207840 2019090 ) ( 210480 2019090 )
NEW met4 ( 209760 3811185 ) ( 212640 3811185 )
NEW met4 ( 209760 3811185 ) ( 209760 3954930 )
NEW met3 ( 1138080 1366410 ) ( 1138080 1367150 )
NEW met2 ( 1950960 4960590 ) ( 1950960 4977610 0 )
NEW met3 ( 2750880 1366410 ) ( 2750880 1367150 )
NEW met3 ( 2952480 1366410 ) ( 2952480 1367150 )
NEW met2 ( 3377520 774040 0 ) ( 3377520 775150 )
NEW met3 ( 3377520 775150 ) ( 3377760 775150 )
NEW met4 ( 3377760 775150 ) ( 3377760 966070 )
NEW met3 ( 3373920 1411550 ) ( 3375120 1411550 )
NEW met2 ( 3375120 1411550 ) ( 3375120 1450030 )
NEW met2 ( 3375600 3238015 ) ( 3377040 3238015 0 )
NEW met1 ( 3374160 3238055 ) ( 3375600 3238055 )
NEW met2 ( 3375600 3238015 ) ( 3375600 3238055 )
NEW met2 ( 3374160 3238055 ) ( 3374160 3254705 )
NEW met4 ( 208800 941650 ) ( 208800 1158470 )
NEW met4 ( 208800 1589890 ) ( 208800 1803010 )
NEW met4 ( 209760 2026305 ) ( 209760 2656230 )
NEW met2 ( 209520 2873985 0 ) ( 209520 2875270 )
NEW met3 ( 209520 2875270 ) ( 209760 2875270 )
NEW met4 ( 209760 2656230 ) ( 209760 2875270 )
NEW met2 ( 209520 3089870 0 ) ( 209520 3091350 )
NEW met3 ( 209520 3091350 ) ( 209760 3091350 )
NEW met4 ( 209760 2875270 ) ( 209760 3091350 )
NEW met2 ( 209520 3305210 ) ( 209520 3305950 0 )
NEW met3 ( 209520 3305210 ) ( 209760 3305210 )
NEW met4 ( 207840 3308355 ) ( 209760 3308355 )
NEW met4 ( 209760 3305210 ) ( 209760 3308355 )
NEW met4 ( 209760 3091350 ) ( 209760 3305210 )
NEW met2 ( 210480 3520550 ) ( 210480 3522030 0 )
NEW met3 ( 210480 3520550 ) ( 210720 3520550 )
NEW met2 ( 210000 3736630 ) ( 210000 3738110 0 )
NEW met3 ( 209760 3736630 ) ( 210000 3736630 )
NEW met4 ( 209760 3737925 ) ( 212640 3737925 )
NEW met4 ( 209760 3736630 ) ( 209760 3737925 )
NEW met4 ( 212640 3737925 ) ( 212640 3811185 )
NEW met4 ( 3377760 550190 ) ( 3377760 775150 )
NEW met2 ( 3373680 4161390 ) ( 3374160 4161390 )
NEW met2 ( 670080 4976685 ) ( 670080 4977610 0 )
NEW met1 ( 670080 4976685 ) ( 721680 4976685 )
NEW met2 ( 721680 4976685 ) ( 721680 5011465 )
NEW met2 ( 669840 4970395 ) ( 669840 4976130 )
NEW met2 ( 669840 4976130 ) ( 670080 4976130 )
NEW met2 ( 670080 4976130 ) ( 670080 4976685 )
NEW met1 ( 413040 4970395 ) ( 669840 4970395 )
NEW met2 ( 926160 4979090 ) ( 927120 4979090 0 )
NEW met3 ( 892560 4979090 ) ( 926160 4979090 )
NEW met2 ( 892560 4979090 ) ( 892560 5011465 )
NEW met2 ( 927120 4970950 ) ( 927120 4979090 0 )
NEW met1 ( 721680 5011465 ) ( 892560 5011465 )
NEW met3 ( 927120 4970950 ) ( 1137840 4970950 )
NEW met2 ( 1441920 4977610 0 ) ( 1442160 4977610 )
NEW met3 ( 2851680 1366410 ) ( 2851680 1367150 )
NEW met3 ( 2750880 1367150 ) ( 2851680 1367150 )
NEW met3 ( 2851680 1366410 ) ( 2952480 1366410 )
NEW met3 ( 3053280 1366410 ) ( 3053280 1367150 )
NEW met3 ( 3053280 1366410 ) ( 3153120 1366410 )
NEW met3 ( 3153120 1365670 ) ( 3153120 1366410 )
NEW met3 ( 2952480 1367150 ) ( 3053280 1367150 )
NEW met4 ( 1474080 4960590 ) ( 1474080 4967990 )
NEW met3 ( 1396560 4967990 ) ( 1474080 4967990 )
NEW met2 ( 1442160 4967990 ) ( 1442160 4977610 )
NEW met3 ( 1474080 4960590 ) ( 1950960 4960590 )
NEW met4 ( 213600 1803010 ) ( 213600 2019090 )
NEW met3 ( 214560 4435930 ) ( 214560 4436670 )
NEW met3 ( 214560 4436670 ) ( 215760 4436670 )
NEW met2 ( 215760 4436670 ) ( 215760 4535830 )
NEW met3 ( 214560 4535830 ) ( 215760 4535830 )
NEW met4 ( 214560 4535830 ) ( 214560 4811110 )
NEW met2 ( 210000 1370110 ) ( 210000 1371960 0 )
NEW met3 ( 208800 1370110 ) ( 210000 1370110 )
NEW met3 ( 210000 1370110 ) ( 215520 1370110 )
NEW met3 ( 301920 1366040 ) ( 301920 1366410 )
NEW met3 ( 231600 1366410 ) ( 301920 1366410 )
NEW met2 ( 231600 1366410 ) ( 231600 1370110 )
NEW met3 ( 215520 1370110 ) ( 231600 1370110 )
NEW met4 ( 208800 1158470 ) ( 208800 1370110 )
NEW met4 ( 215520 1370110 ) ( 215520 1569170 )
NEW met4 ( 206880 3374955 ) ( 207840 3374955 )
NEW met4 ( 207840 3308355 ) ( 207840 3374955 )
NEW met4 ( 209760 3556810 ) ( 210960 3556810 )
NEW met4 ( 210960 3556070 ) ( 210960 3556810 )
NEW met4 ( 210720 3556070 ) ( 210960 3556070 )
NEW met4 ( 209760 3556810 ) ( 209760 3736630 )
NEW met4 ( 210720 3520550 ) ( 210720 3556070 )
NEW met2 ( 431280 1366410 ) ( 432240 1366410 )
NEW met3 ( 432240 1366410 ) ( 503520 1366410 )
NEW met3 ( 503520 1366410 ) ( 503520 1367150 )
NEW met2 ( 1036560 1366965 ) ( 1036560 1367150 )
NEW met1 ( 1036560 1366965 ) ( 1042320 1366965 )
NEW met2 ( 1042320 1366410 ) ( 1042320 1366965 )
NEW met3 ( 1042320 1366410 ) ( 1138080 1366410 )
NEW met2 ( 1511760 1367150 ) ( 1511760 1368630 )
NEW met2 ( 2649360 1367150 ) ( 2650320 1367150 )
NEW met2 ( 2650320 1366410 ) ( 2650320 1367150 )
NEW met3 ( 2650320 1366410 ) ( 2750880 1366410 )
NEW met2 ( 3225840 1365670 ) ( 3225840 1366965 )
NEW met1 ( 3225840 1366965 ) ( 3326160 1366965 )
NEW met2 ( 3326160 1366965 ) ( 3326160 1367890 )
NEW met3 ( 3153120 1365670 ) ( 3225840 1365670 )
NEW met3 ( 3373200 1105190 ) ( 3376800 1105190 )
NEW met2 ( 3373200 1008250 ) ( 3373200 1105190 )
NEW met3 ( 3373200 1008250 ) ( 3377760 1008250 )
NEW met4 ( 3376800 1105190 ) ( 3376800 1183815 )
NEW met4 ( 3377760 1000110 ) ( 3377760 1008250 )
NEW met2 ( 3376560 1225070 ) ( 3377040 1225070 0 )
NEW met3 ( 3376560 1225070 ) ( 3376800 1225070 )
NEW met3 ( 3374880 1225070 ) ( 3376560 1225070 )
NEW met4 ( 3374880 1183815 ) ( 3374880 1225070 )
NEW met2 ( 3377040 3911455 ) ( 3377040 3914015 0 )
NEW met1 ( 3362640 3911455 ) ( 3377040 3911455 )
NEW met2 ( 3362640 3513335 ) ( 3362640 3911455 )
NEW met1 ( 3372240 4032075 ) ( 3373200 4032075 )
NEW met2 ( 3372240 4032075 ) ( 3372240 4132715 )
NEW met1 ( 3372240 4132715 ) ( 3373680 4132715 )
NEW met2 ( 3373200 3911455 ) ( 3373200 4032075 )
NEW met2 ( 3373680 4132715 ) ( 3373680 4161390 )
NEW met1 ( 3374160 4233725 ) ( 3375600 4233725 )
NEW met2 ( 3375600 4233725 ) ( 3375600 4334365 )
NEW met1 ( 3374640 4334365 ) ( 3375600 4334365 )
NEW met2 ( 3374160 4161390 ) ( 3374160 4233725 )
NEW met3 ( 302880 1366040 ) ( 302880 1366410 )
NEW met3 ( 302880 1366410 ) ( 330960 1366410 )
NEW met2 ( 330960 1366225 ) ( 330960 1366410 )
NEW met1 ( 330960 1366225 ) ( 402960 1366225 )
NEW met2 ( 402960 1366225 ) ( 402960 1366410 )
NEW met3 ( 301920 1366040 ) ( 302880 1366040 )
NEW met3 ( 402960 1366410 ) ( 431280 1366410 )
NEW met2 ( 504720 1366965 ) ( 504720 1367150 )
NEW met1 ( 504720 1366965 ) ( 604080 1366965 )
NEW met2 ( 604080 1366965 ) ( 604080 1367150 )
NEW met3 ( 503520 1367150 ) ( 504720 1367150 )
NEW met3 ( 733920 1367150 ) ( 733920 1367890 )
NEW met3 ( 604080 1367150 ) ( 733920 1367150 )
NEW met2 ( 907920 1365670 ) ( 907920 1367150 )
NEW met3 ( 907920 1367150 ) ( 1036560 1367150 )
NEW met3 ( 1514400 1367150 ) ( 1514400 1368630 )
NEW met3 ( 1511760 1367150 ) ( 1514400 1367150 )
NEW met3 ( 3373920 1363450 ) ( 3374160 1363450 )
NEW met2 ( 3374160 1310910 ) ( 3374160 1363450 )
NEW met3 ( 3374160 1310910 ) ( 3376800 1310910 )
NEW met3 ( 3326160 1367890 ) ( 3373920 1367890 )
NEW met4 ( 3373920 1363450 ) ( 3373920 1411550 )
NEW met4 ( 3376800 1225070 ) ( 3376800 1310910 )
NEW met2 ( 3373680 3135750 ) ( 3374160 3135750 )
NEW met2 ( 3373680 3135750 ) ( 3373680 3154250 )
NEW met2 ( 3373680 3154250 ) ( 3374160 3154250 )
NEW met2 ( 3374160 3154250 ) ( 3374160 3225475 )
NEW met1 ( 3374160 3225475 ) ( 3375600 3225475 )
NEW met2 ( 3374160 3014205 ) ( 3374160 3135750 )
NEW met2 ( 3375600 3225475 ) ( 3375600 3238015 )
NEW met2 ( 3378000 4360080 0 ) ( 3378000 4361930 )
NEW met3 ( 3377760 4361930 ) ( 3378000 4361930 )
NEW met1 ( 3374640 4359525 ) ( 3378000 4359525 )
NEW met2 ( 3378000 4359525 ) ( 3378000 4360080 0 )
NEW met2 ( 3374640 4334365 ) ( 3374640 4359525 )
NEW met4 ( 3377760 4361930 ) ( 3377760 4837750 )
NEW met4 ( 206880 3458205 ) ( 210720 3458205 )
NEW met4 ( 206880 3374955 ) ( 206880 3458205 )
NEW met4 ( 210720 3458205 ) ( 210720 3520550 )
NEW met4 ( 212640 4017645 ) ( 213600 4017645 )
NEW met4 ( 213600 4017645 ) ( 213600 4020975 )
NEW met4 ( 213600 4020975 ) ( 214560 4020975 )
NEW met4 ( 212640 3954930 ) ( 212640 4017645 )
NEW met4 ( 211680 4320675 ) ( 212640 4320675 )
NEW met4 ( 211680 4320675 ) ( 211680 4332330 )
NEW met3 ( 211680 4332330 ) ( 214560 4332330 )
NEW met4 ( 214560 4332330 ) ( 214560 4435930 )
NEW met3 ( 842400 1365670 ) ( 842400 1367890 )
NEW met3 ( 733920 1367890 ) ( 842400 1367890 )
NEW met3 ( 842400 1365670 ) ( 907920 1365670 )
NEW met2 ( 1410960 1368630 ) ( 1410960 1368815 )
NEW met1 ( 1410960 1368815 ) ( 1497360 1368815 )
NEW met2 ( 1497360 1368630 ) ( 1497360 1368815 )
NEW met3 ( 1497360 1368630 ) ( 1511760 1368630 )
NEW met2 ( 1598640 1368630 ) ( 1598640 1368815 )
NEW met1 ( 1598640 1368815 ) ( 1698960 1368815 )
NEW met2 ( 1698960 1367890 ) ( 1698960 1368815 )
NEW met3 ( 1514400 1368630 ) ( 1598640 1368630 )
NEW met2 ( 2015760 1367705 ) ( 2015760 1367890 )
NEW met1 ( 2015760 1367705 ) ( 2052240 1367705 )
NEW met2 ( 2052240 1366410 ) ( 2052240 1367705 )
NEW met3 ( 1699680 1367150 ) ( 1699680 1367890 )
NEW met3 ( 1699680 1367150 ) ( 1742160 1367150 )
NEW met2 ( 1742160 1367150 ) ( 1743120 1367150 )
NEW met3 ( 1698960 1367890 ) ( 1699680 1367890 )
NEW met3 ( 1951200 1366410 ) ( 1951200 1367890 )
NEW met3 ( 1951200 1367890 ) ( 2015760 1367890 )
NEW met2 ( 2145360 1366410 ) ( 2145360 1367150 )
NEW met2 ( 2145360 1367150 ) ( 2146320 1367150 )
NEW met3 ( 2052240 1366410 ) ( 2145360 1366410 )
NEW met3 ( 214560 4154730 ) ( 216480 4154730 )
NEW met4 ( 214560 4020975 ) ( 214560 4154730 )
NEW met3 ( 1231200 1367150 ) ( 1231200 1367890 )
NEW met3 ( 1231200 1367890 ) ( 1239600 1367890 )
NEW met2 ( 1239600 1366410 ) ( 1239600 1367890 )
NEW met3 ( 1138080 1367150 ) ( 1231200 1367150 )
NEW met3 ( 1836000 1365670 ) ( 1836000 1367150 )
NEW met3 ( 1836000 1365670 ) ( 1843680 1365670 )
NEW met3 ( 1843680 1365670 ) ( 1843680 1366410 )
NEW met3 ( 1743120 1367150 ) ( 1836000 1367150 )
NEW met3 ( 1843680 1366410 ) ( 1951200 1366410 )
NEW met2 ( 2189040 1367150 ) ( 2189040 1367335 )
NEW met1 ( 2189040 1367335 ) ( 2217840 1367335 )
NEW met2 ( 2217840 1367335 ) ( 2217840 1368630 )
NEW met3 ( 2146320 1367150 ) ( 2189040 1367150 )
NEW met2 ( 1281840 1366410 ) ( 1281840 1366595 )
NEW met1 ( 1281840 1366595 ) ( 1382160 1366595 )
NEW met2 ( 1382160 1366595 ) ( 1382160 1368630 )
NEW met3 ( 1239600 1366410 ) ( 1281840 1366410 )
NEW met3 ( 1382160 1368630 ) ( 1410960 1368630 )
NEW met2 ( 2303280 1367150 ) ( 2303280 1368630 )
NEW met3 ( 2217840 1368630 ) ( 2303280 1368630 )
NEW met3 ( 2541600 1367150 ) ( 2541600 1367890 )
NEW met3 ( 2541600 1367890 ) ( 2549280 1367890 )
NEW met3 ( 2549280 1367150 ) ( 2549280 1367890 )
NEW met3 ( 2303280 1367150 ) ( 2541600 1367150 )
NEW met3 ( 2549280 1367150 ) ( 2649360 1367150 )
NEW met3 ( 212640 4290150 ) ( 212640 4290890 )
NEW met3 ( 212640 4290150 ) ( 216480 4290150 )
NEW met4 ( 212640 4290890 ) ( 212640 4320675 )
NEW met4 ( 216480 4154730 ) ( 216480 4290150 )
NEW met2 ( 209520 2656230 ) via2_FR
NEW met3 ( 209760 2656230 ) M3M4_PR_M
NEW met3 ( 214560 4811110 ) M3M4_PR_M
NEW met2 ( 211920 4811110 ) via2_FR
NEW met1 ( 2447760 5012205 ) M1M2_PR
NEW met1 ( 2593200 5011835 ) M1M2_PR
NEW met2 ( 213840 885410 ) via2_FR
NEW met2 ( 210960 3954930 ) via2_FR
NEW met3 ( 212640 3954930 ) M3M4_PR_M
NEW met3 ( 209760 3954930 ) M3M4_PR_M
NEW met1 ( 210960 4805005 ) M1M2_PR
NEW met1 ( 211920 4805005 ) M1M2_PR
NEW met1 ( 413040 4970395 ) M1M2_PR
NEW met2 ( 374640 4986490 ) via2_FR
NEW met1 ( 374640 4978535 ) M1M2_PR
NEW met1 ( 412560 4978535 ) M1M2_PR
NEW met1 ( 1194960 4972245 ) M1M2_PR
NEW met1 ( 1194960 4968175 ) M1M2_PR
NEW met1 ( 1183920 4972245 ) M1M2_PR
NEW met1 ( 1396560 4968175 ) M1M2_PR
NEW met2 ( 1396560 4967990 ) via2_FR
NEW met1 ( 2395920 4967805 ) M1M2_PR
NEW met1 ( 2447760 4967805 ) M1M2_PR
NEW met1 ( 2652960 4976685 ) M1M2_PR
NEW met1 ( 2593200 4976685 ) M1M2_PR
NEW met1 ( 2653200 4968175 ) M1M2_PR
NEW met3 ( 158880 626410 ) M3M4_PR_M
NEW met2 ( 309360 4811110 ) via2_FR
NEW met1 ( 3154320 4903425 ) M1M2_PR
NEW met2 ( 3377520 1000110 ) via2_FR
NEW met3 ( 3377760 1000110 ) M3M4_PR_M
NEW met3 ( 3377760 1450770 ) M3M4_PR_M
NEW met2 ( 3377520 1450770 ) via2_FR
NEW met2 ( 3376080 1647610 ) via2_FR
NEW met3 ( 3377760 1647610 ) M3M4_PR_M
NEW met3 ( 3377760 1675730 ) M3M4_PR_M
NEW met2 ( 3377520 1675730 ) via2_FR
NEW met2 ( 3375600 1872570 ) via2_FR
NEW met3 ( 3377760 1872570 ) M3M4_PR_M
NEW met1 ( 3368880 2808115 ) M1M2_PR
NEW met1 ( 3375600 2808115 ) M1M2_PR
NEW met1 ( 3377520 3014205 ) M1M2_PR
NEW met1 ( 3374160 3014205 ) M1M2_PR
NEW met1 ( 3368880 3014205 ) M1M2_PR
NEW met1 ( 3374160 3254705 ) M1M2_PR
NEW met1 ( 3362160 3254705 ) M1M2_PR
NEW met1 ( 3377520 3462275 ) M1M2_PR
NEW met1 ( 3362160 3462275 ) M1M2_PR
NEW met1 ( 3374160 3462275 ) M1M2_PR
NEW met1 ( 3377520 3688345 ) M1M2_PR
NEW met1 ( 3362640 3688345 ) M1M2_PR
NEW met1 ( 3369840 4903425 ) M1M2_PR
NEW met2 ( 3369840 4837750 ) via2_FR
NEW met3 ( 3377760 4837750 ) M3M4_PR_M
NEW met3 ( 158880 885410 ) M3M4_PR_M
NEW met1 ( 309360 4997035 ) M1M2_PR
NEW met1 ( 373680 4997035 ) M1M2_PR
NEW met2 ( 373680 4986490 ) via2_FR
NEW met2 ( 1137840 4970950 ) via2_FR
NEW met1 ( 1137840 4972245 ) M1M2_PR
NEW met2 ( 1950960 4960590 ) via2_FR
NEW met2 ( 1950960 4967990 ) via2_FR
NEW met1 ( 2347440 4967805 ) M1M2_PR
NEW met2 ( 2347440 4967990 ) via2_FR
NEW met1 ( 3162000 4971875 ) M1M2_PR
NEW met1 ( 3154320 4971875 ) M1M2_PR
NEW met1 ( 3154320 4968175 ) M1M2_PR
NEW met3 ( 3377760 550190 ) M3M4_PR_M
NEW met2 ( 3378000 550190 ) via2_FR
NEW met2 ( 3375600 966070 ) via2_FR
NEW met3 ( 3377760 966070 ) M3M4_PR_M
NEW met1 ( 3362640 3513335 ) M1M2_PR
NEW met1 ( 3374160 3513335 ) M1M2_PR
NEW met2 ( 3377520 4805190 ) via2_FR
NEW met3 ( 3377760 4805190 ) M3M4_PR_M
NEW met2 ( 209040 941650 ) via2_FR
NEW met3 ( 208800 941650 ) M3M4_PR_M
NEW met1 ( 213840 939245 ) M1M2_PR
NEW met1 ( 210960 939245 ) M1M2_PR
NEW met2 ( 209040 1158470 ) via2_FR
NEW met3 ( 208800 1158470 ) M3M4_PR_M
NEW met2 ( 209040 1589890 ) via2_FR
NEW met3 ( 208800 1589890 ) M3M4_PR_M
NEW met3 ( 215520 1569170 ) M3M4_PR_M
NEW met3 ( 208800 1569170 ) M3M4_PR_M
NEW met2 ( 209040 1803010 ) via2_FR
NEW met3 ( 208800 1803010 ) M3M4_PR_M
NEW met3 ( 213600 1803010 ) M3M4_PR_M
NEW met2 ( 210480 2019090 ) via2_FR
NEW met3 ( 213600 2019090 ) M3M4_PR_M
NEW met3 ( 207840 2019090 ) M3M4_PR_M
NEW met2 ( 3377520 775150 ) via2_FR
NEW met3 ( 3377760 775150 ) M3M4_PR_M
NEW met3 ( 3373920 1411550 ) M3M4_PR_M
NEW met2 ( 3375120 1411550 ) via2_FR
NEW met1 ( 3374160 3238055 ) M1M2_PR
NEW met1 ( 3375600 3238055 ) M1M2_PR
NEW met2 ( 209520 2875270 ) via2_FR
NEW met3 ( 209760 2875270 ) M3M4_PR_M
NEW met2 ( 209520 3091350 ) via2_FR
NEW met3 ( 209760 3091350 ) M3M4_PR_M
NEW met2 ( 209520 3305210 ) via2_FR
NEW met3 ( 209760 3305210 ) M3M4_PR_M
NEW met2 ( 210480 3520550 ) via2_FR
NEW met3 ( 210720 3520550 ) M3M4_PR_M
NEW met2 ( 210000 3736630 ) via2_FR
NEW met3 ( 209760 3736630 ) M3M4_PR_M
NEW met1 ( 670080 4976685 ) M1M2_PR
NEW met1 ( 721680 4976685 ) M1M2_PR
NEW met1 ( 721680 5011465 ) M1M2_PR
NEW met1 ( 669840 4970395 ) M1M2_PR
NEW met2 ( 926160 4979090 ) via2_FR
NEW met2 ( 892560 4979090 ) via2_FR
NEW met1 ( 892560 5011465 ) M1M2_PR
NEW met2 ( 927120 4970950 ) via2_FR
NEW met3 ( 1474080 4967990 ) M3M4_PR_M
NEW met3 ( 1474080 4960590 ) M3M4_PR_M
NEW met2 ( 1442160 4967990 ) via2_FR
NEW met3 ( 214560 4435930 ) M3M4_PR_M
NEW met2 ( 215760 4436670 ) via2_FR
NEW met2 ( 215760 4535830 ) via2_FR
NEW met3 ( 214560 4535830 ) M3M4_PR_M
NEW met2 ( 210000 1370110 ) via2_FR
NEW met3 ( 208800 1370110 ) M3M4_PR_M
NEW met3 ( 215520 1370110 ) M3M4_PR_M
NEW met2 ( 231600 1366410 ) via2_FR
NEW met2 ( 231600 1370110 ) via2_FR
NEW met2 ( 431280 1366410 ) via2_FR
NEW met2 ( 432240 1366410 ) via2_FR
NEW met2 ( 1036560 1367150 ) via2_FR
NEW met1 ( 1036560 1366965 ) M1M2_PR
NEW met1 ( 1042320 1366965 ) M1M2_PR
NEW met2 ( 1042320 1366410 ) via2_FR
NEW met2 ( 1511760 1368630 ) via2_FR
NEW met2 ( 1511760 1367150 ) via2_FR
NEW met2 ( 2649360 1367150 ) via2_FR
NEW met2 ( 2650320 1366410 ) via2_FR
NEW met2 ( 3225840 1365670 ) via2_FR
NEW met1 ( 3225840 1366965 ) M1M2_PR
NEW met1 ( 3326160 1366965 ) M1M2_PR
NEW met2 ( 3326160 1367890 ) via2_FR
NEW met3 ( 3376800 1105190 ) M3M4_PR_M
NEW met2 ( 3373200 1105190 ) via2_FR
NEW met2 ( 3373200 1008250 ) via2_FR
NEW met3 ( 3377760 1008250 ) M3M4_PR_M
NEW met2 ( 3376560 1225070 ) via2_FR
NEW met3 ( 3376800 1225070 ) M3M4_PR_M
NEW met3 ( 3374880 1225070 ) M3M4_PR_M
NEW met1 ( 3377040 3911455 ) M1M2_PR
NEW met1 ( 3362640 3911455 ) M1M2_PR
NEW met1 ( 3373200 3911455 ) M1M2_PR
NEW met1 ( 3373200 4032075 ) M1M2_PR
NEW met1 ( 3372240 4032075 ) M1M2_PR
NEW met1 ( 3372240 4132715 ) M1M2_PR
NEW met1 ( 3373680 4132715 ) M1M2_PR
NEW met1 ( 3374160 4233725 ) M1M2_PR
NEW met1 ( 3375600 4233725 ) M1M2_PR
NEW met1 ( 3375600 4334365 ) M1M2_PR
NEW met1 ( 3374640 4334365 ) M1M2_PR
NEW met2 ( 330960 1366410 ) via2_FR
NEW met1 ( 330960 1366225 ) M1M2_PR
NEW met1 ( 402960 1366225 ) M1M2_PR
NEW met2 ( 402960 1366410 ) via2_FR
NEW met2 ( 504720 1367150 ) via2_FR
NEW met1 ( 504720 1366965 ) M1M2_PR
NEW met1 ( 604080 1366965 ) M1M2_PR
NEW met2 ( 604080 1367150 ) via2_FR
NEW met2 ( 907920 1365670 ) via2_FR
NEW met2 ( 907920 1367150 ) via2_FR
NEW met3 ( 3373920 1363450 ) M3M4_PR_M
NEW met2 ( 3374160 1363450 ) via2_FR
NEW met2 ( 3374160 1310910 ) via2_FR
NEW met3 ( 3376800 1310910 ) M3M4_PR_M
NEW met3 ( 3373920 1367890 ) M3M4_PR_M
NEW met1 ( 3374160 3225475 ) M1M2_PR
NEW met1 ( 3375600 3225475 ) M1M2_PR
NEW met2 ( 3378000 4361930 ) via2_FR
NEW met3 ( 3377760 4361930 ) M3M4_PR_M
NEW met1 ( 3374640 4359525 ) M1M2_PR
NEW met1 ( 3378000 4359525 ) M1M2_PR
NEW met3 ( 211680 4332330 ) M3M4_PR_M
NEW met3 ( 214560 4332330 ) M3M4_PR_M
NEW met2 ( 1410960 1368630 ) via2_FR
NEW met1 ( 1410960 1368815 ) M1M2_PR
NEW met1 ( 1497360 1368815 ) M1M2_PR
NEW met2 ( 1497360 1368630 ) via2_FR
NEW met2 ( 1598640 1368630 ) via2_FR
NEW met1 ( 1598640 1368815 ) M1M2_PR
NEW met1 ( 1698960 1368815 ) M1M2_PR
NEW met2 ( 1698960 1367890 ) via2_FR
NEW met2 ( 2015760 1367890 ) via2_FR
NEW met1 ( 2015760 1367705 ) M1M2_PR
NEW met1 ( 2052240 1367705 ) M1M2_PR
NEW met2 ( 2052240 1366410 ) via2_FR
NEW met2 ( 1742160 1367150 ) via2_FR
NEW met2 ( 1743120 1367150 ) via2_FR
NEW met2 ( 2145360 1366410 ) via2_FR
NEW met2 ( 2146320 1367150 ) via2_FR
NEW met3 ( 214560 4154730 ) M3M4_PR_M
NEW met3 ( 216480 4154730 ) M3M4_PR_M
NEW met2 ( 1239600 1367890 ) via2_FR
NEW met2 ( 1239600 1366410 ) via2_FR
NEW met2 ( 2189040 1367150 ) via2_FR
NEW met1 ( 2189040 1367335 ) M1M2_PR
NEW met1 ( 2217840 1367335 ) M1M2_PR
NEW met2 ( 2217840 1368630 ) via2_FR
NEW met2 ( 1281840 1366410 ) via2_FR
NEW met1 ( 1281840 1366595 ) M1M2_PR
NEW met1 ( 1382160 1366595 ) M1M2_PR
NEW met2 ( 1382160 1368630 ) via2_FR
NEW met2 ( 2303280 1368630 ) via2_FR
NEW met2 ( 2303280 1367150 ) via2_FR
NEW met3 ( 212640 4290890 ) M3M4_PR_M
NEW met3 ( 216480 4290150 ) M3M4_PR_M
NEW met3 ( 209520 2656230 ) RECT ( -380 -150 0 150 )
NEW met3 ( 374640 4986490 ) RECT ( 0 -150 320 150 )
NEW met1 ( 1183920 4972245 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3377520 1000110 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377760 1450770 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377760 1675730 ) RECT ( 0 -150 380 150 )
NEW met1 ( 3374160 3462275 ) RECT ( -595 -70 0 70 )
NEW met2 ( 3362640 3688345 ) RECT ( -70 -485 70 0 )
NEW met2 ( 1950960 4967990 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3154320 4968175 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3377760 550190 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3377520 4805190 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3377760 4805190 ) RECT ( -150 -800 150 0 )
NEW met3 ( 209040 941650 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1158470 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1589890 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 1803010 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377520 775150 ) RECT ( -380 -150 0 150 )
NEW met2 ( 3375600 3238015 ) RECT ( -70 -445 70 0 )
NEW met3 ( 209520 2875270 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209520 3091350 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209520 3305210 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210480 3520550 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210000 3736630 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1442160 4967990 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3376560 1225070 ) RECT ( -380 -150 0 150 )
NEW met1 ( 3373200 3911455 ) RECT ( -595 -70 0 70 )
NEW met3 ( 3373920 1363450 ) RECT ( -380 -150 0 150 )
NEW met4 ( 3373920 1367890 ) RECT ( -150 -800 150 0 )
NEW met3 ( 3378000 4361930 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- caravel_clk ( storage mgmt_clk ) ( soc core_clk ) ( mgmt_buffers caravel_clk )
+ ROUTED met1 ( 734640 417545 ) ( 743760 417545 )
NEW met2 ( 734640 405150 ) ( 734640 417545 )
NEW met3 ( 704160 405150 0 ) ( 734640 405150 )
NEW met2 ( 743760 417545 ) ( 743760 1144965 )
NEW met2 ( 1594320 1117770 0 ) ( 1594320 1144965 )
NEW met2 ( 1443600 1144965 ) ( 1443600 1199170 0 )
NEW met1 ( 743760 1144965 ) ( 1594320 1144965 )
NEW met1 ( 743760 417545 ) M1M2_PR
NEW met1 ( 734640 417545 ) M1M2_PR
NEW met2 ( 734640 405150 ) via2_FR
NEW met1 ( 743760 1144965 ) M1M2_PR
NEW met1 ( 1594320 1144965 ) M1M2_PR
NEW met1 ( 1443600 1144965 ) M1M2_PR
NEW met1 ( 1443600 1144965 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- caravel_clk2 ( soc user_clk ) ( mgmt_buffers caravel_clk2 )
+ ROUTED met4 ( 1437600 1197690 ) ( 1437600 1310910 )
NEW met2 ( 2574960 1117770 0 ) ( 2574960 1310910 )
NEW met2 ( 1445040 1197690 ) ( 1445040 1198430 )
NEW met2 ( 1445040 1198430 ) ( 1445280 1198430 )
NEW met2 ( 1445280 1198430 ) ( 1445280 1199170 0 )
NEW met3 ( 1437600 1197690 ) ( 1445040 1197690 )
NEW met3 ( 1437600 1310910 ) ( 2574960 1310910 )
NEW met3 ( 1437600 1197690 ) M3M4_PR_M
NEW met3 ( 1437600 1310910 ) M3M4_PR_M
NEW met2 ( 2574960 1310910 ) via2_FR
NEW met2 ( 1445040 1197690 ) via2_FR
+ USE SIGNAL ;
- caravel_rstn ( soc core_rstn ) ( mgmt_buffers caravel_rstn )
+ ROUTED met2 ( 2031600 1171050 ) ( 2031600 1196025 )
NEW met2 ( 2149920 1117770 0 ) ( 2149920 1119250 )
NEW met2 ( 2149680 1119250 ) ( 2149920 1119250 )
NEW met2 ( 2149680 1119250 ) ( 2149680 1169755 )
NEW met3 ( 1442400 1201390 ) ( 1442400 1204350 0 )
NEW met3 ( 1442160 1201390 ) ( 1442400 1201390 )
NEW met2 ( 1442160 1196025 ) ( 1442160 1201390 )
NEW met2 ( 2058960 1169755 ) ( 2058960 1171050 )
NEW met3 ( 2031600 1171050 ) ( 2058960 1171050 )
NEW met1 ( 2058960 1169755 ) ( 2149680 1169755 )
NEW met1 ( 1442160 1196025 ) ( 2031600 1196025 )
NEW met2 ( 2031600 1171050 ) via2_FR
NEW met1 ( 2031600 1196025 ) M1M2_PR
NEW met1 ( 2149680 1169755 ) M1M2_PR
NEW met2 ( 1442160 1201390 ) via2_FR
NEW met1 ( 1442160 1196025 ) M1M2_PR
NEW met1 ( 2058960 1169755 ) M1M2_PR
NEW met2 ( 2058960 1171050 ) via2_FR
+ USE SIGNAL ;
- clock_core ( soc clock ) ( padframe clock_core )
+ ROUTED met4 ( 949920 203870 ) ( 949920 209050 )
NEW met3 ( 938160 209050 ) ( 949920 209050 )
NEW met2 ( 936720 209050 0 ) ( 938160 209050 )
NEW met2 ( 1024560 203870 ) ( 1024560 268990 )
NEW met2 ( 1024560 268990 ) ( 1025760 268990 0 )
NEW met3 ( 949920 203870 ) ( 1024560 203870 )
NEW met3 ( 949920 203870 ) M3M4_PR_M
NEW met3 ( 949920 209050 ) M3M4_PR_M
NEW met2 ( 938160 209050 ) via2_FR
NEW met2 ( 1024560 203870 ) via2_FR
+ USE SIGNAL ;
- flash_clk_core ( soc flash_clk ) ( padframe flash_clk_core )
+ ROUTED met4 ( 1800480 216450 ) ( 1800480 225330 )
NEW met3 ( 1800480 216450 ) ( 1808880 216450 )
NEW met2 ( 1808880 210530 0 ) ( 1808880 216450 )
NEW met3 ( 1027440 225330 ) ( 1800480 225330 )
NEW met2 ( 1027440 225330 ) ( 1027440 268990 0 )
NEW met2 ( 1027440 225330 ) via2_FR
NEW met3 ( 1800480 225330 ) M3M4_PR_M
NEW met3 ( 1800480 216450 ) M3M4_PR_M
NEW met2 ( 1808880 216450 ) via2_FR
+ USE SIGNAL ;
- flash_clk_ieb_core ( soc flash_clk_ieb ) ( padframe flash_clk_ieb_core )
+ ROUTED met2 ( 1785840 210530 ) ( 1785840 215710 )
NEW met2 ( 1785840 210530 ) ( 1787280 210530 0 )
NEW met4 ( 1785120 215710 ) ( 1785120 226070 )
NEW met3 ( 1030800 226070 ) ( 1785120 226070 )
NEW met3 ( 1785120 215710 ) ( 1785840 215710 )
NEW met1 ( 1025040 269545 ) ( 1030800 269545 )
NEW met2 ( 1025040 269545 ) ( 1025040 273430 )
NEW met3 ( 1024800 273430 ) ( 1025040 273430 )
NEW met3 ( 1024800 273430 ) ( 1024800 274170 0 )
NEW met2 ( 1030800 226070 ) ( 1030800 269545 )
NEW met2 ( 1030800 226070 ) via2_FR
NEW met2 ( 1785840 215710 ) via2_FR
NEW met3 ( 1785120 226070 ) M3M4_PR_M
NEW met3 ( 1785120 215710 ) M3M4_PR_M
NEW met1 ( 1030800 269545 ) M1M2_PR
NEW met1 ( 1025040 269545 ) M1M2_PR
NEW met2 ( 1025040 273430 ) via2_FR
+ USE SIGNAL ;
- flash_clk_oeb_core ( soc flash_clk_oeb ) ( padframe flash_clk_oeb_core )
+ ROUTED met4 ( 1814880 216450 ) ( 1814880 224590 )
NEW met3 ( 1814880 216450 ) ( 1824720 216450 )
NEW met2 ( 1824720 210530 0 ) ( 1824720 216450 )
NEW met3 ( 1028880 224590 ) ( 1814880 224590 )
NEW met2 ( 1028880 268990 ) ( 1029360 268990 0 )
NEW met2 ( 1028880 224590 ) ( 1028880 268990 )
NEW met2 ( 1028880 224590 ) via2_FR
NEW met3 ( 1814880 224590 ) M3M4_PR_M
NEW met3 ( 1814880 216450 ) M3M4_PR_M
NEW met2 ( 1824720 216450 ) via2_FR
+ USE SIGNAL ;
- flash_csb_core ( soc flash_csb ) ( padframe flash_csb_core )
+ ROUTED met4 ( 1526880 216450 ) ( 1526880 226810 )
NEW met3 ( 1526880 216450 ) ( 1533840 216450 )
NEW met2 ( 1533840 210530 ) ( 1533840 216450 )
NEW met2 ( 1533840 210530 ) ( 1535040 210530 0 )
NEW met3 ( 1025520 226810 ) ( 1526880 226810 )
NEW met1 ( 1024080 259555 ) ( 1025520 259555 )
NEW met2 ( 1024080 259555 ) ( 1024080 275650 )
NEW met3 ( 1023840 275650 ) ( 1024080 275650 )
NEW met3 ( 1023840 275650 ) ( 1023840 277130 0 )
NEW met2 ( 1025520 226810 ) ( 1025520 259555 )
NEW met2 ( 1025520 226810 ) via2_FR
NEW met3 ( 1526880 226810 ) M3M4_PR_M
NEW met3 ( 1526880 216450 ) M3M4_PR_M
NEW met2 ( 1533840 216450 ) via2_FR
NEW met1 ( 1025520 259555 ) M1M2_PR
NEW met1 ( 1024080 259555 ) M1M2_PR
NEW met2 ( 1024080 275650 ) via2_FR
+ USE SIGNAL ;
- flash_csb_ieb_core ( soc flash_csb_ieb ) ( padframe flash_csb_ieb_core )
+ ROUTED met4 ( 1512480 216450 ) ( 1512480 227550 )
NEW met3 ( 1512480 216450 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1512720 216450 )
NEW met2 ( 1512720 210530 ) ( 1513440 210530 0 )
NEW met3 ( 1031280 227550 ) ( 1512480 227550 )
NEW met2 ( 1031280 227550 ) ( 1031280 268990 0 )
NEW met2 ( 1031280 227550 ) via2_FR
NEW met3 ( 1512480 227550 ) M3M4_PR_M
NEW met3 ( 1512480 216450 ) M3M4_PR_M
NEW met2 ( 1512720 216450 ) via2_FR
NEW met3 ( 1512480 216450 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- flash_csb_oeb_core ( soc flash_csb_oeb ) ( padframe flash_csb_oeb_core )
+ ROUTED met2 ( 1550640 210530 0 ) ( 1550640 211825 )
NEW met1 ( 1033200 211825 ) ( 1550640 211825 )
NEW met2 ( 1033200 211825 ) ( 1033200 268990 0 )
NEW met1 ( 1033200 211825 ) M1M2_PR
NEW met1 ( 1550640 211825 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_di_core ( soc flash_io0_di ) ( padframe flash_io0_di_core )
+ ROUTED met2 ( 2026320 210530 ) ( 2027760 210530 0 )
NEW met2 ( 2026320 210530 ) ( 2026320 210715 )
NEW met1 ( 1006800 210715 ) ( 2026320 210715 )
NEW met3 ( 1006800 277870 ) ( 1022880 277870 )
NEW met3 ( 1022880 277870 ) ( 1022880 279720 0 )
NEW met2 ( 1006800 210715 ) ( 1006800 277870 )
NEW met1 ( 1006800 210715 ) M1M2_PR
NEW met1 ( 2026320 210715 ) M1M2_PR
NEW met2 ( 1006800 277870 ) via2_FR
+ USE SIGNAL ;
- flash_io0_do_core ( soc flash_io0_do ) ( padframe flash_io0_do_core )
+ ROUTED met2 ( 2081520 210530 ) ( 2082960 210530 0 )
NEW met3 ( 2044560 210530 ) ( 2081520 210530 )
NEW met2 ( 2044560 210530 ) ( 2044560 225515 )
NEW met1 ( 1035120 225515 ) ( 2044560 225515 )
NEW met2 ( 1034880 268990 0 ) ( 1035120 268990 )
NEW met2 ( 1035120 225515 ) ( 1035120 268990 )
NEW met1 ( 1035120 225515 ) M1M2_PR
NEW met2 ( 2081520 210530 ) via2_FR
NEW met2 ( 2044560 210530 ) via2_FR
NEW met1 ( 2044560 225515 ) M1M2_PR
+ USE SIGNAL ;
- flash_io0_ieb_core ( soc flash_io0_ieb ) ( padframe flash_io0_ieb_core )
+ ROUTED met2 ( 2015760 209605 ) ( 2015760 225885 )
NEW met1 ( 2015760 209605 ) ( 2025840 209605 )
NEW met1 ( 2025840 209235 ) ( 2025840 209605 )
NEW met1 ( 2025840 209235 ) ( 2045520 209235 )
NEW met1 ( 2045520 209235 ) ( 2045520 209270 )
NEW met1 ( 2045520 209270 ) ( 2046960 209270 0 )
NEW met1 ( 1026000 225885 ) ( 2015760 225885 )
NEW met1 ( 1023600 260295 ) ( 1026000 260295 )
NEW met2 ( 1023600 260295 ) ( 1023600 280830 )
NEW met3 ( 1023600 280830 ) ( 1023840 280830 )
NEW met3 ( 1023840 280830 ) ( 1023840 282310 0 )
NEW met2 ( 1026000 225885 ) ( 1026000 260295 )
NEW met1 ( 1026000 225885 ) M1M2_PR
NEW met1 ( 2015760 225885 ) M1M2_PR
NEW met1 ( 2015760 209605 ) M1M2_PR
NEW met1 ( 1026000 260295 ) M1M2_PR
NEW met1 ( 1023600 260295 ) M1M2_PR
NEW met2 ( 1023600 280830 ) via2_FR
+ USE SIGNAL ;
- flash_io0_oeb_core ( soc flash_io0_oeb ) ( padframe flash_io0_oeb_core )
+ ROUTED met2 ( 2031600 209050 ) ( 2031600 225145 )
NEW met3 ( 2031600 209050 ) ( 2054160 209050 )
NEW met2 ( 2054160 209050 ) ( 2055600 209050 0 )
NEW met1 ( 1037040 225145 ) ( 2031600 225145 )
NEW met2 ( 1036800 267510 ) ( 1037040 267510 )
NEW met2 ( 1036800 267510 ) ( 1036800 268990 0 )
NEW met2 ( 1037040 225145 ) ( 1037040 267510 )
NEW met1 ( 2031600 225145 ) M1M2_PR
NEW met2 ( 2031600 209050 ) via2_FR
NEW met2 ( 2054160 209050 ) via2_FR
NEW met1 ( 1037040 225145 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_di_core ( soc flash_io1_di ) ( padframe flash_io1_di_core )
+ ROUTED met2 ( 2300400 210345 ) ( 2300400 210530 )
NEW met2 ( 2300400 210530 ) ( 2301840 210530 0 )
NEW met1 ( 1038480 210345 ) ( 2300400 210345 )
NEW met2 ( 1038480 210345 ) ( 1038480 268990 0 )
NEW met1 ( 1038480 210345 ) M1M2_PR
NEW met1 ( 2300400 210345 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_do_core ( soc flash_io1_do ) ( padframe flash_io1_do_core )
+ ROUTED met4 ( 2355360 210530 ) ( 2355360 266030 )
NEW met3 ( 2355360 210530 ) ( 2355600 210530 )
NEW met2 ( 2355600 210530 ) ( 2357040 210530 0 )
NEW met3 ( 1024800 283790 ) ( 1024800 285270 0 )
NEW met4 ( 1024800 266030 ) ( 1024800 283790 )
NEW met3 ( 1024800 266030 ) ( 2355360 266030 )
NEW met3 ( 2355360 266030 ) M3M4_PR_M
NEW met3 ( 2355360 210530 ) M3M4_PR_M
NEW met2 ( 2355600 210530 ) via2_FR
NEW met3 ( 1024800 283790 ) M3M4_PR_M
NEW met3 ( 1024800 266030 ) M3M4_PR_M
NEW met3 ( 2355360 210530 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- flash_io1_ieb_core ( soc flash_io1_ieb ) ( padframe flash_io1_ieb_core )
+ ROUTED met2 ( 2232720 187035 ) ( 2232720 224775 )
NEW met2 ( 2296080 187035 ) ( 2296080 209975 )
NEW met1 ( 2296080 209975 ) ( 2318640 209975 )
NEW met2 ( 2318640 209790 ) ( 2318640 209975 )
NEW met2 ( 2318640 209790 ) ( 2320080 209790 0 )
NEW met1 ( 2232720 187035 ) ( 2296080 187035 )
NEW met1 ( 1040400 224775 ) ( 2232720 224775 )
NEW met2 ( 1040400 224775 ) ( 1040400 268990 0 )
NEW met1 ( 2232720 224775 ) M1M2_PR
NEW met1 ( 2232720 187035 ) M1M2_PR
NEW met1 ( 2296080 187035 ) M1M2_PR
NEW met1 ( 2296080 209975 ) M1M2_PR
NEW met1 ( 2318640 209975 ) M1M2_PR
NEW met1 ( 1040400 224775 ) M1M2_PR
+ USE SIGNAL ;
- flash_io1_oeb_core ( soc flash_io1_oeb ) ( padframe flash_io1_oeb_core )
+ ROUTED met2 ( 2328240 209050 ) ( 2328240 223850 )
NEW met2 ( 2328240 209050 ) ( 2329680 209050 0 )
NEW met3 ( 1031760 223850 ) ( 2328240 223850 )
NEW met1 ( 1022640 266955 ) ( 1031760 266955 )
NEW met2 ( 1022640 266955 ) ( 1022640 286010 )
NEW met3 ( 1022640 286010 ) ( 1022880 286010 )
NEW met3 ( 1022880 286010 ) ( 1022880 287860 0 )
NEW met2 ( 1031760 223850 ) ( 1031760 266955 )
NEW met2 ( 1031760 223850 ) via2_FR
NEW met2 ( 2328240 223850 ) via2_FR
NEW met1 ( 1031760 266955 ) M1M2_PR
NEW met1 ( 1022640 266955 ) M1M2_PR
NEW met2 ( 1022640 286010 ) via2_FR
+ USE SIGNAL ;
- gpio_in_core ( soc gpio_in_pad ) ( padframe gpio_in_core )
+ ROUTED met1 ( 2574480 208495 ) ( 2574480 208865 )
NEW met2 ( 2574480 208865 ) ( 2574480 209050 )
NEW met2 ( 2574480 209050 ) ( 2575680 209050 0 )
NEW met1 ( 1042320 208495 ) ( 2574480 208495 )
NEW met2 ( 1042320 208495 ) ( 1042320 268990 0 )
NEW met1 ( 1042320 208495 ) M1M2_PR
NEW met1 ( 2574480 208865 ) M1M2_PR
+ USE SIGNAL ;
- gpio_inenb_core ( soc gpio_inenb_pad ) ( padframe gpio_inenb_core )
+ ROUTED met2 ( 2608080 210530 ) ( 2608080 223110 )
NEW met2 ( 2608080 210530 ) ( 2609280 210530 0 )
NEW met3 ( 1044240 223110 ) ( 2608080 223110 )
NEW met2 ( 1044240 223110 ) ( 1044240 268990 0 )
NEW met2 ( 1044240 223110 ) via2_FR
NEW met2 ( 2608080 223110 ) via2_FR
+ USE SIGNAL ;
- gpio_mode0_core ( soc gpio_mode0_pad ) ( padframe gpio_mode0_core )
+ ROUTED met2 ( 2601840 210530 ) ( 2603280 210530 0 )
NEW met2 ( 2601840 210530 ) ( 2601840 215895 )
NEW met1 ( 2601840 215895 ) ( 2601840 216265 )
NEW met2 ( 2541360 216265 ) ( 2541360 224035 )
NEW met1 ( 1026480 224035 ) ( 2541360 224035 )
NEW met1 ( 2541360 216265 ) ( 2601840 216265 )
NEW met1 ( 1022160 265475 ) ( 1026480 265475 )
NEW met2 ( 1022160 265475 ) ( 1022160 290450 )
NEW met3 ( 1022160 290450 ) ( 1022880 290450 0 )
NEW met2 ( 1026480 224035 ) ( 1026480 265475 )
NEW met1 ( 1026480 224035 ) M1M2_PR
NEW met1 ( 2601840 215895 ) M1M2_PR
NEW met1 ( 2541360 224035 ) M1M2_PR
NEW met1 ( 2541360 216265 ) M1M2_PR
NEW met1 ( 1026480 265475 ) M1M2_PR
NEW met1 ( 1022160 265475 ) M1M2_PR
NEW met2 ( 1022160 290450 ) via2_FR
+ USE SIGNAL ;
- gpio_mode1_core ( soc gpio_mode1_pad ) ( padframe gpio_mode1_core )
+ ROUTED met4 ( 2592480 201465 ) ( 2592480 209050 )
NEW met3 ( 2592480 209050 ) ( 2592720 209050 )
NEW met2 ( 2592720 209050 ) ( 2594160 209050 0 )
NEW met2 ( 2500080 201835 ) ( 2500080 224405 )
NEW met1 ( 2500080 201835 ) ( 2505840 201835 )
NEW met1 ( 2505840 201465 ) ( 2505840 201835 )
NEW met1 ( 2505840 201465 ) ( 2562960 201465 )
NEW met2 ( 2562960 201465 ) ( 2562960 203870 )
NEW met3 ( 2562960 203870 ) ( 2591520 203870 )
NEW met4 ( 2591520 201465 ) ( 2591520 203870 )
NEW met1 ( 1046160 224405 ) ( 2500080 224405 )
NEW met4 ( 2591520 201465 ) ( 2592480 201465 )
NEW met2 ( 1045920 268990 0 ) ( 1046160 268990 )
NEW met2 ( 1046160 224405 ) ( 1046160 268990 )
NEW met1 ( 1046160 224405 ) M1M2_PR
NEW met3 ( 2592480 209050 ) M3M4_PR_M
NEW met2 ( 2592720 209050 ) via2_FR
NEW met1 ( 2500080 224405 ) M1M2_PR
NEW met1 ( 2500080 201835 ) M1M2_PR
NEW met1 ( 2562960 201465 ) M1M2_PR
NEW met2 ( 2562960 203870 ) via2_FR
NEW met3 ( 2591520 203870 ) M3M4_PR_M
NEW met3 ( 2592480 209050 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- gpio_out_core ( soc gpio_out_pad ) ( padframe gpio_out_core )
+ ROUTED met2 ( 2629680 210530 ) ( 2630880 210530 0 )
NEW met3 ( 2606160 210530 ) ( 2629680 210530 )
NEW met2 ( 2606160 210530 ) ( 2606160 223295 )
NEW met1 ( 1027920 223295 ) ( 2606160 223295 )
NEW met1 ( 1023120 266215 ) ( 1027920 266215 )
NEW met2 ( 1023120 266215 ) ( 1023120 291930 )
NEW met3 ( 1022880 291930 ) ( 1023120 291930 )
NEW met3 ( 1022880 291930 ) ( 1022880 293410 0 )
NEW met2 ( 1027920 223295 ) ( 1027920 266215 )
NEW met1 ( 1027920 223295 ) M1M2_PR
NEW met2 ( 2629680 210530 ) via2_FR
NEW met2 ( 2606160 210530 ) via2_FR
NEW met1 ( 2606160 223295 ) M1M2_PR
NEW met1 ( 1027920 266215 ) M1M2_PR
NEW met1 ( 1023120 266215 ) M1M2_PR
NEW met2 ( 1023120 291930 ) via2_FR
+ USE SIGNAL ;
- gpio_outenb_core ( soc gpio_outenb_pad ) ( padframe gpio_outenb_core )
+ ROUTED met2 ( 2646480 210530 0 ) ( 2646480 215710 )
NEW met3 ( 2619600 215710 ) ( 2646480 215710 )
NEW met2 ( 2619600 215710 ) ( 2619600 223665 )
NEW met1 ( 1048080 223665 ) ( 2619600 223665 )
NEW met2 ( 1047840 267510 ) ( 1048080 267510 )
NEW met2 ( 1047840 267510 ) ( 1047840 268990 0 )
NEW met2 ( 1048080 223665 ) ( 1048080 267510 )
NEW met2 ( 2646480 215710 ) via2_FR
NEW met2 ( 2619600 215710 ) via2_FR
NEW met1 ( 2619600 223665 ) M1M2_PR
NEW met1 ( 1048080 223665 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[0\] ( gpio_control_bidir\[1\] serial_data_in ) ( gpio_control_bidir\[0\] serial_data_out )
+ ROUTED met3 ( 3371040 906130 ) ( 3373920 906130 0 )
NEW met3 ( 3371040 684130 ) ( 3373920 684130 0 )
NEW met4 ( 3371040 684130 ) ( 3371040 906130 )
NEW met3 ( 3371040 906130 ) M3M4_PR_M
NEW met3 ( 3371040 684130 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[10\] ( gpio_control_in\[11\] serial_data_in ) ( gpio_control_in\[10\] serial_data_out )
+ ROUTED met1 ( 3363600 3370145 ) ( 3372240 3370145 )
NEW met2 ( 3372240 3370145 ) ( 3372240 3370330 )
NEW met3 ( 3372240 3370330 ) ( 3373920 3370330 0 )
NEW met1 ( 3363600 3152585 ) ( 3369360 3152585 )
NEW met2 ( 3369360 3149070 ) ( 3369360 3152585 )
NEW met3 ( 3369360 3149070 ) ( 3373920 3149070 0 )
NEW met2 ( 3363600 3152585 ) ( 3363600 3370145 )
NEW met1 ( 3363600 3370145 ) M1M2_PR
NEW met1 ( 3372240 3370145 ) M1M2_PR
NEW met2 ( 3372240 3370330 ) via2_FR
NEW met1 ( 3363600 3152585 ) M1M2_PR
NEW met1 ( 3369360 3152585 ) M1M2_PR
NEW met2 ( 3369360 3149070 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[11\] ( gpio_control_in\[12\] serial_data_in ) ( gpio_control_in\[11\] serial_data_out )
+ ROUTED met1 ( 3359760 3374215 ) ( 3372240 3374215 )
NEW met2 ( 3372240 3374030 ) ( 3372240 3374215 )
NEW met3 ( 3372240 3374030 ) ( 3373920 3374030 0 )
NEW met1 ( 3359760 3595105 ) ( 3374160 3595105 )
NEW met2 ( 3374160 3595105 ) ( 3374160 3595290 )
NEW met3 ( 3373920 3595290 ) ( 3374160 3595290 )
NEW met3 ( 3373920 3595290 ) ( 3373920 3596030 0 )
NEW met2 ( 3359760 3374215 ) ( 3359760 3595105 )
NEW met1 ( 3359760 3374215 ) M1M2_PR
NEW met1 ( 3372240 3374215 ) M1M2_PR
NEW met2 ( 3372240 3374030 ) via2_FR
NEW met1 ( 3359760 3595105 ) M1M2_PR
NEW met1 ( 3374160 3595105 ) M1M2_PR
NEW met2 ( 3374160 3595290 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[12\] ( gpio_control_in\[13\] serial_data_in ) ( gpio_control_in\[12\] serial_data_out )
+ ROUTED met1 ( 3363120 3601395 ) ( 3374160 3601395 )
NEW met2 ( 3374160 3601210 ) ( 3374160 3601395 )
NEW met3 ( 3373920 3601210 ) ( 3374160 3601210 )
NEW met3 ( 3373920 3600470 0 ) ( 3373920 3601210 )
NEW met1 ( 3363120 3820065 ) ( 3373680 3820065 )
NEW met2 ( 3373680 3820065 ) ( 3373680 3820250 )
NEW met3 ( 3373680 3820250 ) ( 3373920 3820250 )
NEW met3 ( 3373920 3820250 ) ( 3373920 3820990 0 )
NEW met2 ( 3363120 3601395 ) ( 3363120 3820065 )
NEW met1 ( 3363120 3601395 ) M1M2_PR
NEW met1 ( 3374160 3601395 ) M1M2_PR
NEW met2 ( 3374160 3601210 ) via2_FR
NEW met1 ( 3363120 3820065 ) M1M2_PR
NEW met1 ( 3373680 3820065 ) M1M2_PR
NEW met2 ( 3373680 3820250 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[13\] ( gpio_control_in\[14\] serial_data_in ) ( gpio_control_in\[13\] serial_data_out )
+ ROUTED met1 ( 3361680 4712875 ) ( 3372240 4712875 )
NEW met2 ( 3372240 4712875 ) ( 3372240 4713060 )
NEW met3 ( 3372240 4713060 ) ( 3373920 4713060 0 )
NEW met1 ( 3361680 3826355 ) ( 3373680 3826355 )
NEW met2 ( 3373680 3826170 ) ( 3373680 3826355 )
NEW met3 ( 3373680 3826170 ) ( 3373920 3826170 )
NEW met3 ( 3373920 3825430 0 ) ( 3373920 3826170 )
NEW met2 ( 3361680 3826355 ) ( 3361680 4712875 )
NEW met1 ( 3361680 4712875 ) M1M2_PR
NEW met1 ( 3372240 4712875 ) M1M2_PR
NEW met2 ( 3372240 4713060 ) via2_FR
NEW met1 ( 3361680 3826355 ) M1M2_PR
NEW met1 ( 3373680 3826355 ) M1M2_PR
NEW met2 ( 3373680 3826170 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[14\] ( gpio_control_in\[15\] serial_data_in ) ( gpio_control_in\[14\] serial_data_out )
+ ROUTED met1 ( 2824080 5011835 ) ( 2843760 5011835 )
NEW met2 ( 2824080 5011650 ) ( 2824080 5011835 )
NEW met3 ( 2820960 5011650 0 ) ( 2824080 5011650 )
NEW met2 ( 2843760 4917485 ) ( 2843760 5011835 )
NEW met2 ( 3262320 4709545 ) ( 3262320 4917485 )
NEW met1 ( 2843760 4917485 ) ( 3262320 4917485 )
NEW met2 ( 3373200 4709545 ) ( 3373200 4715650 )
NEW met3 ( 3373200 4715650 ) ( 3373920 4715650 )
NEW met3 ( 3373920 4715650 ) ( 3373920 4717130 0 )
NEW met1 ( 3262320 4709545 ) ( 3373200 4709545 )
NEW met1 ( 2843760 5011835 ) M1M2_PR
NEW met1 ( 2824080 5011835 ) M1M2_PR
NEW met2 ( 2824080 5011650 ) via2_FR
NEW met1 ( 2843760 4917485 ) M1M2_PR
NEW met1 ( 3262320 4709545 ) M1M2_PR
NEW met1 ( 3262320 4917485 ) M1M2_PR
NEW met1 ( 3373200 4709545 ) M1M2_PR
NEW met2 ( 3373200 4715650 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[15\] ( gpio_control_in\[16\] serial_data_in ) ( gpio_control_in\[15\] serial_data_out )
+ ROUTED met2 ( 2824080 4979830 ) ( 2824080 4980015 )
NEW met3 ( 2824080 4979830 ) ( 2825280 4979830 0 )
NEW met2 ( 2567280 4979830 ) ( 2567280 4980015 )
NEW met3 ( 2564160 4979830 0 ) ( 2567280 4979830 )
NEW met1 ( 2567280 4980015 ) ( 2824080 4980015 )
NEW met1 ( 2824080 4980015 ) M1M2_PR
NEW met2 ( 2824080 4979830 ) via2_FR
NEW met1 ( 2567280 4980015 ) M1M2_PR
NEW met2 ( 2567280 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[16\] ( gpio_control_in\[17\] serial_data_in ) ( gpio_control_in\[16\] serial_data_out )
+ ROUTED met2 ( 2182320 4980570 ) ( 2182320 4980755 )
NEW met3 ( 2179200 4980570 0 ) ( 2182320 4980570 )
NEW met2 ( 2567280 4980570 ) ( 2567280 4980755 )
NEW met3 ( 2567280 4980570 ) ( 2568480 4980570 0 )
NEW met1 ( 2182320 4980755 ) ( 2567280 4980755 )
NEW met1 ( 2182320 4980755 ) M1M2_PR
NEW met2 ( 2182320 4980570 ) via2_FR
NEW met1 ( 2567280 4980755 ) M1M2_PR
NEW met2 ( 2567280 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[17\] ( gpio_control_in\[18\] serial_data_in ) ( gpio_control_in\[17\] serial_data_out )
+ ROUTED met2 ( 2182320 4979830 ) ( 2182320 4980015 )
NEW met3 ( 2182320 4979830 ) ( 2183040 4979830 0 )
NEW met3 ( 1842240 4978350 ) ( 1842240 4979090 0 )
NEW met2 ( 1844400 4978350 ) ( 1844400 4979275 )
NEW met1 ( 1844400 4979275 ) ( 1889520 4979275 )
NEW met1 ( 1889520 4979275 ) ( 1889520 4980015 )
NEW met3 ( 1842240 4978350 ) ( 1844400 4978350 )
NEW met1 ( 1889520 4980015 ) ( 2182320 4980015 )
NEW met1 ( 2182320 4980015 ) M1M2_PR
NEW met2 ( 2182320 4979830 ) via2_FR
NEW met2 ( 1844400 4978350 ) via2_FR
NEW met1 ( 1844400 4979275 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[18\] ( gpio_control_in\[19\] serial_data_in ) ( gpio_control_in\[18\] serial_data_out )
+ ROUTED met2 ( 1592400 4988710 ) ( 1592400 4988895 )
NEW met3 ( 1590240 4988710 0 ) ( 1592400 4988710 )
NEW met2 ( 1845360 4988710 ) ( 1845360 4988895 )
NEW met3 ( 1845360 4988710 ) ( 1846080 4988710 0 )
NEW met1 ( 1592400 4988895 ) ( 1845360 4988895 )
NEW met1 ( 1592400 4988895 ) M1M2_PR
NEW met2 ( 1592400 4988710 ) via2_FR
NEW met1 ( 1845360 4988895 ) M1M2_PR
NEW met2 ( 1845360 4988710 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[19\] ( gpio_control_in\[20\] serial_data_in ) ( gpio_control_in\[19\] serial_data_out )
+ ROUTED met2 ( 1335120 4980385 ) ( 1335120 4980570 )
NEW met3 ( 1332000 4980570 0 ) ( 1335120 4980570 )
NEW met2 ( 1593360 4980385 ) ( 1593360 4980570 )
NEW met3 ( 1593360 4980570 ) ( 1594080 4980570 0 )
NEW met1 ( 1335120 4980385 ) ( 1593360 4980385 )
NEW met1 ( 1335120 4980385 ) M1M2_PR
NEW met2 ( 1335120 4980570 ) via2_FR
NEW met1 ( 1593360 4980385 ) M1M2_PR
NEW met2 ( 1593360 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[1\] ( gpio_control_in\[2\] serial_data_in ) ( gpio_control_bidir\[1\] serial_data_out )
+ ROUTED met3 ( 3371040 910200 ) ( 3373920 910200 0 )
NEW met3 ( 3371040 1131090 ) ( 3373920 1131090 0 )
NEW met4 ( 3371040 910200 ) ( 3371040 1131090 )
NEW met3 ( 3371040 910200 ) M3M4_PR_M
NEW met3 ( 3371040 1131090 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[20\] ( gpio_control_in\[21\] serial_data_in ) ( gpio_control_in\[20\] serial_data_out )
+ ROUTED met3 ( 1075200 4978350 ) ( 1075200 4979090 0 )
NEW met2 ( 1094640 4978350 ) ( 1094640 4980385 )
NEW met3 ( 1075200 4978350 ) ( 1094640 4978350 )
NEW met2 ( 1334640 4979830 ) ( 1334640 4980385 )
NEW met3 ( 1334640 4979830 ) ( 1336320 4979830 0 )
NEW met1 ( 1094640 4980385 ) ( 1334640 4980385 )
NEW met2 ( 1094640 4978350 ) via2_FR
NEW met1 ( 1094640 4980385 ) M1M2_PR
NEW met1 ( 1334640 4980385 ) M1M2_PR
NEW met2 ( 1334640 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[21\] ( gpio_control_in\[22\] serial_data_in ) ( gpio_control_in\[21\] serial_data_out )
+ ROUTED met2 ( 1078320 4980385 ) ( 1078320 4980570 )
NEW met3 ( 1078320 4980570 ) ( 1079040 4980570 0 )
NEW met2 ( 820560 4980385 ) ( 820560 4980570 )
NEW met3 ( 818400 4980570 0 ) ( 820560 4980570 )
NEW met1 ( 820560 4980385 ) ( 1078320 4980385 )
NEW met1 ( 1078320 4980385 ) M1M2_PR
NEW met2 ( 1078320 4980570 ) via2_FR
NEW met1 ( 820560 4980385 ) M1M2_PR
NEW met2 ( 820560 4980570 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[22\] ( gpio_control_in\[23\] serial_data_in ) ( gpio_control_in\[22\] serial_data_out )
+ ROUTED met2 ( 576240 4978350 ) ( 576240 4980015 )
NEW met3 ( 561120 4978350 ) ( 576240 4978350 )
NEW met3 ( 561120 4978350 ) ( 561120 4979090 0 )
NEW met2 ( 821040 4979830 ) ( 821040 4980015 )
NEW met3 ( 821040 4979830 ) ( 822240 4979830 0 )
NEW met1 ( 576240 4980015 ) ( 821040 4980015 )
NEW met1 ( 576240 4980015 ) M1M2_PR
NEW met2 ( 576240 4978350 ) via2_FR
NEW met1 ( 821040 4980015 ) M1M2_PR
NEW met2 ( 821040 4979830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[23\] ( gpio_control_in\[24\] serial_data_in ) ( gpio_control_in\[23\] serial_data_out )
+ ROUTED met3 ( 211680 4523990 0 ) ( 213360 4523990 )
NEW met2 ( 213360 4523990 ) ( 213360 4524175 )
NEW met1 ( 213360 4524175 ) ( 223440 4524175 )
NEW met2 ( 223440 4524175 ) ( 223440 4918225 )
NEW met1 ( 567120 4978905 ) ( 590640 4978905 )
NEW met2 ( 567120 4978905 ) ( 567120 4979090 )
NEW met3 ( 564960 4979090 0 ) ( 567120 4979090 )
NEW met2 ( 590640 4918225 ) ( 590640 4978905 )
NEW met1 ( 223440 4918225 ) ( 590640 4918225 )
NEW met2 ( 213360 4523990 ) via2_FR
NEW met1 ( 213360 4524175 ) M1M2_PR
NEW met1 ( 223440 4524175 ) M1M2_PR
NEW met1 ( 223440 4918225 ) M1M2_PR
NEW met1 ( 590640 4978905 ) M1M2_PR
NEW met1 ( 567120 4978905 ) M1M2_PR
NEW met2 ( 567120 4979090 ) via2_FR
NEW met1 ( 590640 4918225 ) M1M2_PR
+ USE SIGNAL ;
- gpio_serial_link\[24\] ( gpio_control_in\[25\] serial_data_in ) ( gpio_control_in\[24\] serial_data_out )
+ ROUTED met3 ( 201840 4526950 ) ( 202080 4526950 )
NEW met3 ( 202080 4526950 ) ( 202080 4528430 0 )
NEW met3 ( 201840 4103670 ) ( 202080 4103670 )
NEW met3 ( 202080 4102190 0 ) ( 202080 4103670 )
NEW met2 ( 201840 4103670 ) ( 201840 4526950 )
NEW met2 ( 201840 4526950 ) via2_FR
NEW met2 ( 201840 4103670 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[25\] ( gpio_control_in\[26\] serial_data_in ) ( gpio_control_in\[25\] serial_data_out )
+ ROUTED met3 ( 211680 3886110 0 ) ( 216240 3886110 )
NEW met3 ( 211680 4105890 0 ) ( 216240 4105890 )
NEW met2 ( 216240 3886110 ) ( 216240 4105890 )
NEW met2 ( 216240 3886110 ) via2_FR
NEW met2 ( 216240 4105890 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[26\] ( gpio_control_in\[27\] serial_data_in ) ( gpio_control_in\[26\] serial_data_out )
+ ROUTED met3 ( 211680 3889810 ) ( 217200 3889810 )
NEW met3 ( 211680 3889810 ) ( 211680 3890180 0 )
NEW met3 ( 211680 3670030 0 ) ( 217200 3670030 )
NEW met2 ( 217200 3670030 ) ( 217200 3889810 )
NEW met2 ( 217200 3889810 ) via2_FR
NEW met2 ( 217200 3670030 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[27\] ( gpio_control_in\[28\] serial_data_in ) ( gpio_control_in\[27\] serial_data_out )
+ ROUTED met3 ( 211680 3453950 0 ) ( 216240 3453950 )
NEW met3 ( 211680 3674470 0 ) ( 216240 3674470 )
NEW met2 ( 216240 3453950 ) ( 216240 3674470 )
NEW met2 ( 216240 3453950 ) via2_FR
NEW met2 ( 216240 3674470 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[28\] ( gpio_control_in\[29\] serial_data_in ) ( gpio_control_in\[28\] serial_data_out )
+ ROUTED met3 ( 211680 3237870 0 ) ( 217200 3237870 )
NEW met3 ( 211680 3458390 0 ) ( 217200 3458390 )
NEW met2 ( 217200 3237870 ) ( 217200 3458390 )
NEW met2 ( 217200 3237870 ) via2_FR
NEW met2 ( 217200 3458390 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[29\] ( gpio_control_in\[30\] serial_data_in ) ( gpio_control_in\[29\] serial_data_out )
+ ROUTED met3 ( 211680 3022160 0 ) ( 211680 3023270 )
NEW met3 ( 211680 3023270 ) ( 216240 3023270 )
NEW met3 ( 211680 3242310 0 ) ( 216240 3242310 )
NEW met2 ( 216240 3023270 ) ( 216240 3242310 )
NEW met2 ( 216240 3023270 ) via2_FR
NEW met2 ( 216240 3242310 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[2\] ( gpio_control_in\[3\] serial_data_in ) ( gpio_control_in\[2\] serial_data_out )
+ ROUTED met3 ( 3379680 1354570 ) ( 3379680 1357160 0 )
NEW met3 ( 3379680 1135160 0 ) ( 3379680 1136270 )
NEW met4 ( 3379680 1136270 ) ( 3379680 1354570 )
NEW met3 ( 3379680 1354570 ) M3M4_PR_M
NEW met3 ( 3379680 1136270 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[30\] ( gpio_control_in\[31\] serial_data_in ) ( gpio_control_in\[30\] serial_data_out )
+ ROUTED met3 ( 211680 2806080 0 ) ( 211680 2807930 )
NEW met3 ( 211680 2807930 ) ( 217680 2807930 )
NEW met3 ( 211680 3026230 0 ) ( 217680 3026230 )
NEW met2 ( 217680 2807930 ) ( 217680 3026230 )
NEW met2 ( 217680 2807930 ) via2_FR
NEW met2 ( 217680 3026230 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[31\] ( gpio_control_in\[32\] serial_data_in ) ( gpio_control_in\[31\] serial_data_out )
+ ROUTED met1 ( 216240 2677875 ) ( 216240 2678985 )
NEW met3 ( 211680 2810150 0 ) ( 216240 2810150 )
NEW met2 ( 216240 2678985 ) ( 216240 2810150 )
NEW met3 ( 211680 2167830 0 ) ( 216240 2167830 )
NEW met2 ( 216240 2167830 ) ( 216240 2677875 )
NEW met1 ( 216240 2677875 ) M1M2_PR
NEW met1 ( 216240 2678985 ) M1M2_PR
NEW met2 ( 216240 2810150 ) via2_FR
NEW met2 ( 216240 2167830 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[32\] ( gpio_control_in\[33\] serial_data_in ) ( gpio_control_in\[32\] serial_data_out )
+ ROUTED met3 ( 211680 1952120 0 ) ( 211680 1954710 )
NEW met3 ( 211680 1954710 ) ( 216720 1954710 )
NEW met2 ( 216240 2145630 ) ( 217200 2145630 )
NEW met2 ( 217200 2145630 ) ( 217200 2172270 )
NEW met3 ( 211680 2172270 0 ) ( 217200 2172270 )
NEW met2 ( 216240 2095310 ) ( 216720 2095310 )
NEW met2 ( 216240 2095310 ) ( 216240 2145630 )
NEW met2 ( 216720 1954710 ) ( 216720 2095310 )
NEW met2 ( 216720 1954710 ) via2_FR
NEW met2 ( 217200 2172270 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[33\] ( gpio_control_in\[34\] serial_data_in ) ( gpio_control_in\[33\] serial_data_out )
+ ROUTED met3 ( 211680 1956190 0 ) ( 216240 1956190 )
NEW met3 ( 211680 1736040 0 ) ( 211680 1738630 )
NEW met3 ( 211680 1738630 ) ( 216240 1738630 )
NEW met2 ( 216240 1738630 ) ( 216240 1956190 )
NEW met2 ( 216240 1956190 ) via2_FR
NEW met2 ( 216240 1738630 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[34\] ( gpio_control_in\[35\] serial_data_in ) ( gpio_control_in\[34\] serial_data_out )
+ ROUTED met3 ( 211680 1520330 0 ) ( 216240 1520330 )
NEW met2 ( 216240 1646870 ) ( 216720 1646870 )
NEW met2 ( 216720 1646870 ) ( 216720 1740110 )
NEW met3 ( 211680 1740110 0 ) ( 216720 1740110 )
NEW met2 ( 216240 1520330 ) ( 216240 1646870 )
NEW met2 ( 216240 1520330 ) via2_FR
NEW met2 ( 216720 1740110 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[35\] ( gpio_control_in\[36\] serial_data_in ) ( gpio_control_in\[35\] serial_data_out )
+ ROUTED met1 ( 216240 1388055 ) ( 216240 1389165 )
NEW met3 ( 211680 1304250 0 ) ( 216240 1304250 )
NEW met2 ( 216240 1304250 ) ( 216240 1388055 )
NEW met2 ( 216240 1444850 ) ( 217200 1444850 )
NEW met2 ( 217200 1444850 ) ( 217200 1524030 )
NEW met3 ( 211680 1524030 0 ) ( 217200 1524030 )
NEW met2 ( 216240 1389165 ) ( 216240 1444850 )
NEW met1 ( 216240 1388055 ) M1M2_PR
NEW met1 ( 216240 1389165 ) M1M2_PR
NEW met2 ( 216240 1304250 ) via2_FR
NEW met2 ( 217200 1524030 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[36\] ( gpio_control_in\[37\] serial_data_in ) ( gpio_control_in\[36\] serial_data_out )
+ ROUTED met2 ( 218160 1137750 ) ( 219120 1137750 )
NEW met3 ( 211680 1088170 0 ) ( 218160 1088170 )
NEW met2 ( 218160 1088170 ) ( 218160 1137750 )
NEW met3 ( 211680 1307950 0 ) ( 219120 1307950 )
NEW met2 ( 219120 1137750 ) ( 219120 1307950 )
NEW met2 ( 218160 1088170 ) via2_FR
NEW met2 ( 219120 1307950 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[3\] ( gpio_control_in\[4\] serial_data_in ) ( gpio_control_in\[3\] serial_data_out )
+ ROUTED met3 ( 3371040 1582120 ) ( 3373920 1582120 0 )
NEW met3 ( 3371040 1361230 ) ( 3373920 1361230 0 )
NEW met4 ( 3371040 1361230 ) ( 3371040 1582120 )
NEW met3 ( 3371040 1582120 ) M3M4_PR_M
NEW met3 ( 3371040 1361230 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[4\] ( gpio_control_in\[5\] serial_data_in ) ( gpio_control_in\[4\] serial_data_out )
+ ROUTED met3 ( 3371040 1586190 ) ( 3373920 1586190 0 )
NEW met3 ( 3371040 1807080 ) ( 3373920 1807080 0 )
NEW met4 ( 3371040 1586190 ) ( 3371040 1807080 )
NEW met3 ( 3371040 1586190 ) M3M4_PR_M
NEW met3 ( 3371040 1807080 ) M3M4_PR_M
+ USE SIGNAL ;
- gpio_serial_link\[5\] ( gpio_control_in\[6\] serial_data_in ) ( gpio_control_in\[5\] serial_data_out )
+ ROUTED met3 ( 3369360 1811150 ) ( 3373920 1811150 0 )
NEW met3 ( 3369360 2033150 ) ( 3373920 2033150 0 )
NEW met2 ( 3369360 1811150 ) ( 3369360 2033150 )
NEW met2 ( 3369360 1811150 ) via2_FR
NEW met2 ( 3369360 2033150 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[6\] ( gpio_control_in\[7\] serial_data_in ) ( gpio_control_in\[6\] serial_data_out )
+ ROUTED met3 ( 3383520 2038330 ) ( 3383760 2038330 )
NEW met3 ( 3383520 2037220 0 ) ( 3383520 2038330 )
NEW met3 ( 3383520 2472710 ) ( 3383760 2472710 )
NEW met3 ( 3383520 2472710 ) ( 3383520 2474190 0 )
NEW met2 ( 3383760 2038330 ) ( 3383760 2472710 )
NEW met2 ( 3383760 2038330 ) via2_FR
NEW met2 ( 3383760 2472710 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[7\] ( gpio_control_in\[8\] serial_data_in ) ( gpio_control_in\[7\] serial_data_out )
+ ROUTED met3 ( 3383520 2479370 ) ( 3383760 2479370 )
NEW met3 ( 3383520 2477890 0 ) ( 3383520 2479370 )
NEW met3 ( 3383520 2693230 ) ( 3383760 2693230 )
NEW met3 ( 3383520 2693230 ) ( 3383520 2693970 0 )
NEW met2 ( 3383760 2479370 ) ( 3383760 2693230 )
NEW met2 ( 3383760 2479370 ) via2_FR
NEW met2 ( 3383760 2693230 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[8\] ( gpio_control_in\[9\] serial_data_in ) ( gpio_control_in\[8\] serial_data_out )
+ ROUTED met1 ( 3361200 2793685 ) ( 3364080 2793685 )
NEW met2 ( 3364080 2793685 ) ( 3364080 2894325 )
NEW met1 ( 3362160 2894325 ) ( 3364080 2894325 )
NEW met1 ( 3361200 2699335 ) ( 3373680 2699335 )
NEW met2 ( 3373680 2699150 ) ( 3373680 2699335 )
NEW met3 ( 3373680 2699150 ) ( 3373920 2699150 )
NEW met3 ( 3373920 2698410 0 ) ( 3373920 2699150 )
NEW met2 ( 3361200 2699335 ) ( 3361200 2793685 )
NEW met1 ( 3362160 2918005 ) ( 3373680 2918005 )
NEW met2 ( 3373680 2918005 ) ( 3373680 2918190 )
NEW met3 ( 3373680 2918190 ) ( 3373920 2918190 )
NEW met3 ( 3373920 2918190 ) ( 3373920 2918930 0 )
NEW met2 ( 3362160 2894325 ) ( 3362160 2918005 )
NEW met1 ( 3361200 2793685 ) M1M2_PR
NEW met1 ( 3364080 2793685 ) M1M2_PR
NEW met1 ( 3364080 2894325 ) M1M2_PR
NEW met1 ( 3362160 2894325 ) M1M2_PR
NEW met1 ( 3361200 2699335 ) M1M2_PR
NEW met1 ( 3373680 2699335 ) M1M2_PR
NEW met2 ( 3373680 2699150 ) via2_FR
NEW met1 ( 3362160 2918005 ) M1M2_PR
NEW met1 ( 3373680 2918005 ) M1M2_PR
NEW met2 ( 3373680 2918190 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link\[9\] ( gpio_control_in\[9\] serial_data_out ) ( gpio_control_in\[10\] serial_data_in )
+ ROUTED met1 ( 3358800 2924295 ) ( 3373200 2924295 )
NEW met2 ( 3373200 2924110 ) ( 3373200 2924295 )
NEW met3 ( 3373200 2924110 ) ( 3373920 2924110 )
NEW met3 ( 3373920 2923370 0 ) ( 3373920 2924110 )
NEW met1 ( 3358800 3139265 ) ( 3369360 3139265 )
NEW met2 ( 3369360 3139265 ) ( 3369360 3145370 )
NEW met3 ( 3369360 3145370 ) ( 3373920 3145370 0 )
NEW met2 ( 3358800 2924295 ) ( 3358800 3139265 )
NEW met1 ( 3358800 2924295 ) M1M2_PR
NEW met1 ( 3373200 2924295 ) M1M2_PR
NEW met2 ( 3373200 2924110 ) via2_FR
NEW met1 ( 3358800 3139265 ) M1M2_PR
NEW met1 ( 3369360 3139265 ) M1M2_PR
NEW met2 ( 3369360 3145370 ) via2_FR
+ USE SIGNAL ;
- gpio_serial_link_shifted\[0\] ( soc mprj_io_loader_data ) ( gpio_control_bidir\[0\] serial_data_in )
+ ROUTED met2 ( 3203760 600510 ) ( 3203760 676915 )
NEW met3 ( 3172320 600510 0 ) ( 3203760 600510 )
NEW met2 ( 3373680 676915 ) ( 3373680 677470 )
NEW met3 ( 3373680 677470 ) ( 3373920 677470 )
NEW met3 ( 3373920 677470 ) ( 3373920 680060 0 )
NEW met1 ( 3203760 676915 ) ( 3373680 676915 )
NEW met2 ( 3203760 600510 ) via2_FR
NEW met1 ( 3203760 676915 ) M1M2_PR
NEW met1 ( 3373680 676915 ) M1M2_PR
NEW met2 ( 3373680 677470 ) via2_FR
+ USE SIGNAL ;
- jtag_out ( soc jtag_out ) ( gpio_control_bidir\[0\] mgmt_gpio_out )
+ ROUTED met2 ( 3210960 605690 ) ( 3210960 605875 )
NEW met3 ( 3172320 605690 ) ( 3172320 606060 0 )
NEW met3 ( 3172320 605690 ) ( 3210960 605690 )
NEW met2 ( 3374160 605875 ) ( 3374160 610870 )
NEW met3 ( 3373920 610870 ) ( 3374160 610870 )
NEW met3 ( 3373920 610870 ) ( 3373920 612720 0 )
NEW met1 ( 3210960 605875 ) ( 3374160 605875 )
NEW met2 ( 3210960 605690 ) via2_FR
NEW met1 ( 3210960 605875 ) M1M2_PR
NEW met1 ( 3374160 605875 ) M1M2_PR
NEW met2 ( 3374160 610870 ) via2_FR
+ USE SIGNAL ;
- jtag_outenb ( soc jtag_outenb ) ( gpio_control_bidir\[0\] mgmt_gpio_oeb )
+ ROUTED met2 ( 3207120 603470 ) ( 3207120 605505 )
NEW met3 ( 3172320 603470 0 ) ( 3207120 603470 )
NEW met2 ( 3373200 605505 ) ( 3373200 607910 )
NEW met3 ( 3373200 607910 ) ( 3373920 607910 )
NEW met3 ( 3373920 607910 ) ( 3373920 608650 0 )
NEW met1 ( 3207120 605505 ) ( 3373200 605505 )
NEW met2 ( 3207120 603470 ) via2_FR
NEW met1 ( 3207120 605505 ) M1M2_PR
NEW met1 ( 3373200 605505 ) M1M2_PR
NEW met2 ( 3373200 607910 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[0\] ( soc la_input[0] ) ( mgmt_buffers la_data_in_mprj[0] )
+ ROUTED met4 ( 2148000 1117770 ) ( 2148000 1119250 )
NEW met3 ( 2148000 1119250 ) ( 2148240 1119250 )
NEW met2 ( 2148240 1117770 0 ) ( 2148240 1119250 )
NEW met2 ( 1513680 1171050 ) ( 1513680 1197690 )
NEW met2 ( 1513440 1197690 ) ( 1513680 1197690 )
NEW met2 ( 1513440 1197690 ) ( 1513440 1199170 0 )
NEW met3 ( 1513680 1171050 ) ( 1998480 1171050 )
NEW met3 ( 1998480 1117770 ) ( 1998480 1119250 )
NEW met2 ( 1998480 1119250 ) ( 1998480 1171050 )
NEW met3 ( 1998480 1117770 ) ( 2148000 1117770 )
NEW met2 ( 1998480 1171050 ) via2_FR
NEW met3 ( 2148000 1117770 ) M3M4_PR_M
NEW met3 ( 2148000 1119250 ) M3M4_PR_M
NEW met2 ( 2148240 1119250 ) via2_FR
NEW met2 ( 1513680 1171050 ) via2_FR
NEW met2 ( 1998480 1119250 ) via2_FR
NEW met3 ( 2148000 1119250 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[100\] ( soc la_input[100] ) ( mgmt_buffers la_data_in_mprj[100] )
+ ROUTED met2 ( 1995120 1167350 ) ( 1995120 1183075 )
NEW met2 ( 2151840 1117770 0 ) ( 2151840 1119250 )
NEW met2 ( 2151600 1119250 ) ( 2151840 1119250 )
NEW met2 ( 2151600 1119250 ) ( 2151600 1183445 )
NEW met2 ( 1515120 1167350 ) ( 1515120 1199170 0 )
NEW met3 ( 1515120 1167350 ) ( 1995120 1167350 )
NEW met1 ( 2070480 1183075 ) ( 2070480 1183445 )
NEW met1 ( 1995120 1183075 ) ( 2070480 1183075 )
NEW met1 ( 2070480 1183445 ) ( 2151600 1183445 )
NEW met2 ( 1995120 1167350 ) via2_FR
NEW met1 ( 1995120 1183075 ) M1M2_PR
NEW met1 ( 2151600 1183445 ) M1M2_PR
NEW met2 ( 1515120 1167350 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[101\] ( soc la_input[101] ) ( mgmt_buffers la_data_in_mprj[101] )
+ ROUTED met2 ( 2146320 1117770 0 ) ( 2146320 1125170 )
NEW met2 ( 2146320 1125170 ) ( 2148720 1125170 )
NEW met2 ( 2148720 1125170 ) ( 2148720 1128870 )
NEW met2 ( 2148240 1128870 ) ( 2148720 1128870 )
NEW met2 ( 2148240 1128870 ) ( 2148240 1135345 )
NEW met2 ( 1517040 1135530 ) ( 1517040 1199170 0 )
NEW met2 ( 2117040 1135345 ) ( 2117040 1135530 )
NEW met3 ( 1517040 1135530 ) ( 2117040 1135530 )
NEW met1 ( 2117040 1135345 ) ( 2148240 1135345 )
NEW met1 ( 2148240 1135345 ) M1M2_PR
NEW met2 ( 1517040 1135530 ) via2_FR
NEW met1 ( 2117040 1135345 ) M1M2_PR
NEW met2 ( 2117040 1135530 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[102\] ( soc la_input[102] ) ( mgmt_buffers la_data_in_mprj[102] )
+ ROUTED met2 ( 2153520 1117770 0 ) ( 2153520 1136825 )
NEW met2 ( 1518960 1137010 ) ( 1518960 1199170 0 )
NEW met2 ( 2117040 1136825 ) ( 2117040 1137010 )
NEW met3 ( 1518960 1137010 ) ( 2117040 1137010 )
NEW met1 ( 2117040 1136825 ) ( 2153520 1136825 )
NEW met1 ( 2153520 1136825 ) M1M2_PR
NEW met2 ( 1518960 1137010 ) via2_FR
NEW met1 ( 2117040 1136825 ) M1M2_PR
NEW met2 ( 2117040 1137010 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[103\] ( soc la_input[103] ) ( mgmt_buffers la_data_in_mprj[103] )
+ ROUTED met2 ( 1520880 1131275 ) ( 1520880 1199170 0 )
NEW met2 ( 2144400 1117770 0 ) ( 2144400 1131645 )
NEW met1 ( 2141520 1131645 ) ( 2144400 1131645 )
NEW met1 ( 2141520 1131645 ) ( 2141520 1132385 )
NEW met1 ( 2115120 1132385 ) ( 2141520 1132385 )
NEW met2 ( 2115120 1132385 ) ( 2115120 1135715 )
NEW met1 ( 2050320 1135715 ) ( 2115120 1135715 )
NEW met2 ( 2050320 1131275 ) ( 2050320 1135715 )
NEW met1 ( 1520880 1131275 ) ( 2050320 1131275 )
NEW met1 ( 1520880 1131275 ) M1M2_PR
NEW met1 ( 2144400 1131645 ) M1M2_PR
NEW met1 ( 2115120 1132385 ) M1M2_PR
NEW met1 ( 2115120 1135715 ) M1M2_PR
NEW met1 ( 2050320 1135715 ) M1M2_PR
NEW met1 ( 2050320 1131275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[104\] ( soc la_input[104] ) ( mgmt_buffers la_data_in_mprj[104] )
+ ROUTED met2 ( 2155440 1117770 0 ) ( 2155440 1126465 )
NEW met2 ( 1522560 1197690 ) ( 1522800 1197690 )
NEW met2 ( 1522560 1197690 ) ( 1522560 1199170 0 )
NEW met2 ( 1522800 1134790 ) ( 1522800 1197690 )
NEW met2 ( 2118000 1126465 ) ( 2118000 1133310 )
NEW met1 ( 2118000 1126465 ) ( 2155440 1126465 )
NEW met4 ( 2096160 1133310 ) ( 2096160 1134790 )
NEW met3 ( 1522800 1134790 ) ( 2096160 1134790 )
NEW met3 ( 2096160 1133310 ) ( 2118000 1133310 )
NEW met1 ( 2155440 1126465 ) M1M2_PR
NEW met2 ( 1522800 1134790 ) via2_FR
NEW met1 ( 2118000 1126465 ) M1M2_PR
NEW met2 ( 2118000 1133310 ) via2_FR
NEW met3 ( 2096160 1134790 ) M3M4_PR_M
NEW met3 ( 2096160 1133310 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[105\] ( soc la_input[105] ) ( mgmt_buffers la_data_in_mprj[105] )
+ ROUTED met2 ( 1524480 1197690 ) ( 1524720 1197690 )
NEW met2 ( 1524480 1197690 ) ( 1524480 1199170 0 )
NEW met2 ( 1524720 1130535 ) ( 1524720 1197690 )
NEW met2 ( 2142480 1117770 0 ) ( 2142480 1133125 )
NEW met1 ( 2130960 1133125 ) ( 2142480 1133125 )
NEW met1 ( 2130960 1132755 ) ( 2130960 1133125 )
NEW met2 ( 2093520 1130535 ) ( 2093520 1130905 )
NEW met2 ( 2093520 1130905 ) ( 2094480 1130905 )
NEW met2 ( 2094480 1130535 ) ( 2094480 1130905 )
NEW met2 ( 2094480 1130535 ) ( 2095440 1130535 )
NEW met2 ( 2095440 1128315 ) ( 2095440 1130535 )
NEW met1 ( 2095440 1128315 ) ( 2096400 1128315 )
NEW met2 ( 2096400 1128315 ) ( 2097360 1128315 )
NEW met2 ( 2097360 1128315 ) ( 2097360 1129055 )
NEW met1 ( 2097360 1129055 ) ( 2098800 1129055 )
NEW met2 ( 2098800 1129055 ) ( 2098800 1132755 )
NEW met1 ( 1524720 1130535 ) ( 2093520 1130535 )
NEW met1 ( 2098800 1132755 ) ( 2130960 1132755 )
NEW met1 ( 1524720 1130535 ) M1M2_PR
NEW met1 ( 2142480 1133125 ) M1M2_PR
NEW met1 ( 2093520 1130535 ) M1M2_PR
NEW met1 ( 2095440 1128315 ) M1M2_PR
NEW met1 ( 2096400 1128315 ) M1M2_PR
NEW met1 ( 2097360 1129055 ) M1M2_PR
NEW met1 ( 2098800 1129055 ) M1M2_PR
NEW met1 ( 2098800 1132755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[106\] ( soc la_input[106] ) ( mgmt_buffers la_data_in_mprj[106] )
+ ROUTED met2 ( 2157360 1117770 0 ) ( 2157360 1136455 )
NEW met2 ( 1526160 1136270 ) ( 1526160 1199170 0 )
NEW met2 ( 2117520 1136270 ) ( 2117520 1136455 )
NEW met3 ( 1526160 1136270 ) ( 2117520 1136270 )
NEW met1 ( 2117520 1136455 ) ( 2157360 1136455 )
NEW met1 ( 2157360 1136455 ) M1M2_PR
NEW met2 ( 1526160 1136270 ) via2_FR
NEW met2 ( 2117520 1136270 ) via2_FR
NEW met1 ( 2117520 1136455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[107\] ( soc la_input[107] ) ( mgmt_buffers la_data_in_mprj[107] )
+ ROUTED met2 ( 1528080 1132015 ) ( 1528080 1199170 0 )
NEW met2 ( 2140800 1117770 0 ) ( 2140800 1119250 )
NEW met2 ( 2140560 1119250 ) ( 2140800 1119250 )
NEW met2 ( 2140560 1119250 ) ( 2140560 1119990 )
NEW met2 ( 2139120 1119990 ) ( 2140560 1119990 )
NEW met2 ( 2139120 1119990 ) ( 2139120 1127205 )
NEW met1 ( 2130960 1127205 ) ( 2139120 1127205 )
NEW met1 ( 2130960 1126835 ) ( 2130960 1127205 )
NEW met1 ( 2114640 1126835 ) ( 2130960 1126835 )
NEW met2 ( 2114640 1126835 ) ( 2114640 1136825 )
NEW met1 ( 2049840 1136825 ) ( 2114640 1136825 )
NEW met2 ( 2049840 1132015 ) ( 2049840 1136825 )
NEW met1 ( 1528080 1132015 ) ( 2049840 1132015 )
NEW met1 ( 1528080 1132015 ) M1M2_PR
NEW met1 ( 2139120 1127205 ) M1M2_PR
NEW met1 ( 2114640 1126835 ) M1M2_PR
NEW met1 ( 2114640 1136825 ) M1M2_PR
NEW met1 ( 2049840 1136825 ) M1M2_PR
NEW met1 ( 2049840 1132015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[108\] ( soc la_input[108] ) ( mgmt_buffers la_data_in_mprj[108] )
+ ROUTED met2 ( 2159280 1117770 0 ) ( 2159280 1129610 )
NEW met2 ( 1530000 1130350 ) ( 1530000 1199170 0 )
NEW met2 ( 2096400 1129610 ) ( 2096400 1130350 )
NEW met2 ( 2096400 1129610 ) ( 2097840 1129610 )
NEW met3 ( 1530000 1130350 ) ( 2096400 1130350 )
NEW met3 ( 2097840 1129610 ) ( 2159280 1129610 )
NEW met2 ( 2159280 1129610 ) via2_FR
NEW met2 ( 1530000 1130350 ) via2_FR
NEW met2 ( 2096400 1130350 ) via2_FR
NEW met2 ( 2097840 1129610 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[109\] ( soc la_input[109] ) ( mgmt_buffers la_data_in_mprj[109] )
+ ROUTED met2 ( 1531920 1130905 ) ( 1531920 1199170 0 )
NEW met2 ( 2138880 1117770 0 ) ( 2138880 1119250 )
NEW met2 ( 2138640 1119250 ) ( 2138880 1119250 )
NEW met2 ( 2138640 1119250 ) ( 2138640 1131645 )
NEW met1 ( 2051280 1131645 ) ( 2138640 1131645 )
NEW met1 ( 2051280 1130905 ) ( 2051280 1131645 )
NEW met1 ( 1531920 1130905 ) ( 2051280 1130905 )
NEW met1 ( 1531920 1130905 ) M1M2_PR
NEW met1 ( 2138640 1131645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[10\] ( soc la_input[10] ) ( mgmt_buffers la_data_in_mprj[10] )
+ ROUTED met2 ( 2160960 1117770 0 ) ( 2160960 1119250 )
NEW met2 ( 2160720 1119250 ) ( 2160960 1119250 )
NEW met2 ( 2160720 1119250 ) ( 2160720 1123505 )
NEW met2 ( 1533600 1197690 ) ( 1533840 1197690 )
NEW met2 ( 1533600 1197690 ) ( 1533600 1199170 0 )
NEW met2 ( 1533840 1133310 ) ( 1533840 1197690 )
NEW met1 ( 2133360 1123135 ) ( 2133360 1123505 )
NEW met1 ( 2130960 1123135 ) ( 2133360 1123135 )
NEW met2 ( 2130960 1123135 ) ( 2130960 1128130 )
NEW met3 ( 2115360 1128130 ) ( 2130960 1128130 )
NEW met3 ( 2115360 1128130 ) ( 2115360 1128870 )
NEW met3 ( 2096160 1128870 ) ( 2115360 1128870 )
NEW met4 ( 2096160 1128870 ) ( 2096160 1130535 )
NEW met4 ( 2095200 1130535 ) ( 2096160 1130535 )
NEW met4 ( 2095200 1130535 ) ( 2095200 1133310 )
NEW met3 ( 1533840 1133310 ) ( 2095200 1133310 )
NEW met1 ( 2133360 1123505 ) ( 2160720 1123505 )
NEW met1 ( 2160720 1123505 ) M1M2_PR
NEW met2 ( 1533840 1133310 ) via2_FR
NEW met1 ( 2130960 1123135 ) M1M2_PR
NEW met2 ( 2130960 1128130 ) via2_FR
NEW met3 ( 2096160 1128870 ) M3M4_PR_M
NEW met3 ( 2095200 1133310 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[110\] ( soc la_input[110] ) ( mgmt_buffers la_data_in_mprj[110] )
+ ROUTED met2 ( 1535520 1197690 ) ( 1535760 1197690 )
NEW met2 ( 1535520 1197690 ) ( 1535520 1199170 0 )
NEW met2 ( 1535760 1132755 ) ( 1535760 1197690 )
NEW met2 ( 2137200 1117770 0 ) ( 2137200 1129055 )
NEW met1 ( 2131440 1129055 ) ( 2137200 1129055 )
NEW met2 ( 2131440 1129055 ) ( 2131440 1131830 )
NEW met2 ( 2130480 1131830 ) ( 2131440 1131830 )
NEW met2 ( 2130480 1131830 ) ( 2130480 1133125 )
NEW met1 ( 2096400 1132755 ) ( 2096400 1133125 )
NEW met1 ( 1535760 1132755 ) ( 2096400 1132755 )
NEW met1 ( 2096400 1133125 ) ( 2130480 1133125 )
NEW met1 ( 1535760 1132755 ) M1M2_PR
NEW met1 ( 2137200 1129055 ) M1M2_PR
NEW met1 ( 2131440 1129055 ) M1M2_PR
NEW met1 ( 2130480 1133125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[111\] ( soc la_input[111] ) ( mgmt_buffers la_data_in_mprj[111] )
+ ROUTED met2 ( 1537200 1134050 ) ( 1537200 1199170 0 )
NEW met2 ( 2137680 1129055 ) ( 2137680 1134050 )
NEW met1 ( 2137680 1129055 ) ( 2162640 1129055 )
NEW met2 ( 2162640 1119250 ) ( 2162640 1129055 )
NEW met2 ( 2162640 1119250 ) ( 2162880 1119250 )
NEW met2 ( 2162880 1117770 0 ) ( 2162880 1119250 )
NEW met3 ( 1537200 1134050 ) ( 2137680 1134050 )
NEW met2 ( 1537200 1134050 ) via2_FR
NEW met2 ( 2137680 1134050 ) via2_FR
NEW met1 ( 2137680 1129055 ) M1M2_PR
NEW met1 ( 2162640 1129055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[112\] ( soc la_input[112] ) ( mgmt_buffers la_data_in_mprj[112] )
+ ROUTED met2 ( 1539120 1131645 ) ( 1539120 1199170 0 )
NEW met2 ( 2135280 1117770 0 ) ( 2135280 1132015 )
NEW met1 ( 2050800 1132015 ) ( 2135280 1132015 )
NEW met1 ( 2050800 1131645 ) ( 2050800 1132015 )
NEW met1 ( 1539120 1131645 ) ( 2050800 1131645 )
NEW met1 ( 1539120 1131645 ) M1M2_PR
NEW met1 ( 2135280 1132015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[113\] ( soc la_input[113] ) ( mgmt_buffers la_data_in_mprj[113] )
+ ROUTED met2 ( 1541040 1131090 ) ( 1541040 1199170 0 )
NEW met3 ( 2154720 1131090 ) ( 2154720 1131830 )
NEW met3 ( 2154720 1131830 ) ( 2159760 1131830 )
NEW met2 ( 2159760 1131830 ) ( 2160240 1131830 )
NEW met2 ( 2160240 1128130 ) ( 2160240 1131830 )
NEW met3 ( 2160240 1128130 ) ( 2164560 1128130 )
NEW met2 ( 2164560 1117770 0 ) ( 2164560 1128130 )
NEW met3 ( 1541040 1131090 ) ( 2154720 1131090 )
NEW met2 ( 1541040 1131090 ) via2_FR
NEW met2 ( 2159760 1131830 ) via2_FR
NEW met2 ( 2160240 1128130 ) via2_FR
NEW met2 ( 2164560 1128130 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[114\] ( soc la_input[114] ) ( mgmt_buffers la_data_in_mprj[114] )
+ ROUTED met1 ( 1542960 1167535 ) ( 1634160 1167535 )
NEW met2 ( 1542960 1167535 ) ( 1542960 1199170 0 )
NEW met2 ( 1634160 1136085 ) ( 1634160 1167535 )
NEW met2 ( 2133360 1117770 0 ) ( 2133360 1122765 )
NEW met1 ( 2128560 1122765 ) ( 2133360 1122765 )
NEW met1 ( 2128560 1122765 ) ( 2128560 1123505 )
NEW met1 ( 2122800 1123505 ) ( 2128560 1123505 )
NEW met1 ( 2122800 1123135 ) ( 2122800 1123505 )
NEW met1 ( 2122320 1123135 ) ( 2122800 1123135 )
NEW met1 ( 2122320 1122765 ) ( 2122320 1123135 )
NEW met2 ( 2049360 1128315 ) ( 2049360 1136085 )
NEW met2 ( 2049360 1128315 ) ( 2052240 1128315 )
NEW met2 ( 2052240 1128315 ) ( 2052240 1128685 )
NEW met1 ( 2052240 1128685 ) ( 2104560 1128685 )
NEW met2 ( 2104560 1122765 ) ( 2104560 1128685 )
NEW met1 ( 1634160 1136085 ) ( 2049360 1136085 )
NEW met1 ( 2104560 1122765 ) ( 2122320 1122765 )
NEW met1 ( 1634160 1167535 ) M1M2_PR
NEW met1 ( 1542960 1167535 ) M1M2_PR
NEW met1 ( 1634160 1136085 ) M1M2_PR
NEW met1 ( 2133360 1122765 ) M1M2_PR
NEW met1 ( 2049360 1136085 ) M1M2_PR
NEW met1 ( 2052240 1128685 ) M1M2_PR
NEW met1 ( 2104560 1128685 ) M1M2_PR
NEW met1 ( 2104560 1122765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[115\] ( soc la_input[115] ) ( mgmt_buffers la_data_in_mprj[115] )
+ ROUTED met1 ( 1544880 1168275 ) ( 1634640 1168275 )
NEW met2 ( 1544880 1168275 ) ( 1544880 1197690 )
NEW met2 ( 1544640 1197690 ) ( 1544880 1197690 )
NEW met2 ( 1544640 1197690 ) ( 1544640 1199170 0 )
NEW met2 ( 1634640 1131830 ) ( 1634640 1168275 )
NEW met2 ( 2154000 1124430 ) ( 2154000 1131830 )
NEW met3 ( 2154000 1124430 ) ( 2166480 1124430 )
NEW met2 ( 2166480 1117770 0 ) ( 2166480 1124430 )
NEW met3 ( 1634640 1131830 ) ( 2154000 1131830 )
NEW met1 ( 1634640 1168275 ) M1M2_PR
NEW met1 ( 1544880 1168275 ) M1M2_PR
NEW met2 ( 1634640 1131830 ) via2_FR
NEW met2 ( 2154000 1131830 ) via2_FR
NEW met2 ( 2154000 1124430 ) via2_FR
NEW met2 ( 2166480 1124430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[116\] ( soc la_input[116] ) ( mgmt_buffers la_data_in_mprj[116] )
+ ROUTED met2 ( 1546800 1176785 ) ( 1546800 1197690 )
NEW met2 ( 1546560 1197690 ) ( 1546800 1197690 )
NEW met2 ( 1546560 1197690 ) ( 1546560 1199170 0 )
NEW met2 ( 1760880 1128685 ) ( 1760880 1176785 )
NEW met1 ( 1546800 1176785 ) ( 1760880 1176785 )
NEW met2 ( 2131440 1117770 0 ) ( 2131440 1121470 )
NEW met2 ( 2131440 1121470 ) ( 2132400 1121470 )
NEW met2 ( 2132400 1121470 ) ( 2132400 1131275 )
NEW met1 ( 2051760 1131275 ) ( 2132400 1131275 )
NEW met2 ( 2051760 1128685 ) ( 2051760 1131275 )
NEW met1 ( 1760880 1128685 ) ( 2051760 1128685 )
NEW met1 ( 1546800 1176785 ) M1M2_PR
NEW met1 ( 1760880 1176785 ) M1M2_PR
NEW met1 ( 1760880 1128685 ) M1M2_PR
NEW met1 ( 2132400 1131275 ) M1M2_PR
NEW met1 ( 2051760 1131275 ) M1M2_PR
NEW met1 ( 2051760 1128685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[117\] ( soc la_input[117] ) ( mgmt_buffers la_data_in_mprj[117] )
+ ROUTED met2 ( 1548240 1173270 ) ( 1548240 1199170 0 )
NEW met2 ( 1758960 1128870 ) ( 1758960 1173270 )
NEW met2 ( 2168400 1117770 0 ) ( 2168400 1127575 )
NEW met3 ( 1548240 1173270 ) ( 1758960 1173270 )
NEW met1 ( 2104080 1127575 ) ( 2104080 1127945 )
NEW met1 ( 2103120 1127945 ) ( 2104080 1127945 )
NEW met1 ( 2103120 1127575 ) ( 2103120 1127945 )
NEW met1 ( 2094960 1127575 ) ( 2103120 1127575 )
NEW met2 ( 2094960 1127575 ) ( 2094960 1128870 )
NEW met3 ( 1758960 1128870 ) ( 2094960 1128870 )
NEW met1 ( 2104080 1127575 ) ( 2168400 1127575 )
NEW met2 ( 1548240 1173270 ) via2_FR
NEW met2 ( 1758960 1173270 ) via2_FR
NEW met2 ( 1758960 1128870 ) via2_FR
NEW met1 ( 2168400 1127575 ) M1M2_PR
NEW met1 ( 2094960 1127575 ) M1M2_PR
NEW met2 ( 2094960 1128870 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[118\] ( soc la_input[118] ) ( mgmt_buffers la_data_in_mprj[118] )
+ ROUTED met2 ( 1550160 1174195 ) ( 1550160 1199170 0 )
NEW met2 ( 1774320 1126835 ) ( 1774320 1176415 )
NEW met2 ( 1691280 1173270 ) ( 1691280 1174195 )
NEW met2 ( 1691280 1173270 ) ( 1692240 1173270 )
NEW met2 ( 1692240 1173270 ) ( 1692240 1174010 )
NEW met2 ( 1692240 1174010 ) ( 1692720 1174010 )
NEW met2 ( 1692720 1174010 ) ( 1692720 1176415 )
NEW met1 ( 1550160 1174195 ) ( 1691280 1174195 )
NEW met1 ( 1692720 1176415 ) ( 1774320 1176415 )
NEW met2 ( 2129760 1117770 0 ) ( 2129760 1119250 )
NEW met2 ( 2129520 1119250 ) ( 2129760 1119250 )
NEW met2 ( 2129520 1119250 ) ( 2129520 1129055 )
NEW met1 ( 2100720 1129055 ) ( 2129520 1129055 )
NEW met2 ( 2100720 1126835 ) ( 2100720 1129055 )
NEW met1 ( 1774320 1126835 ) ( 2100720 1126835 )
NEW met1 ( 1550160 1174195 ) M1M2_PR
NEW met1 ( 1774320 1176415 ) M1M2_PR
NEW met1 ( 1774320 1126835 ) M1M2_PR
NEW met1 ( 1691280 1174195 ) M1M2_PR
NEW met1 ( 1692720 1176415 ) M1M2_PR
NEW met1 ( 2129520 1129055 ) M1M2_PR
NEW met1 ( 2100720 1129055 ) M1M2_PR
NEW met1 ( 2100720 1126835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[119\] ( soc la_input[119] ) ( mgmt_buffers la_data_in_mprj[119] )
+ ROUTED met2 ( 1552080 1174010 ) ( 1552080 1199170 0 )
NEW met2 ( 1744080 1129610 ) ( 1744080 1174010 )
NEW met2 ( 2170320 1117770 0 ) ( 2170320 1125355 )
NEW met3 ( 1552080 1174010 ) ( 1744080 1174010 )
NEW met2 ( 2103120 1125355 ) ( 2103120 1130350 )
NEW met3 ( 2097120 1130350 ) ( 2103120 1130350 )
NEW met3 ( 2097120 1129610 ) ( 2097120 1130350 )
NEW met3 ( 1744080 1129610 ) ( 2097120 1129610 )
NEW met1 ( 2103120 1125355 ) ( 2170320 1125355 )
NEW met2 ( 1552080 1174010 ) via2_FR
NEW met2 ( 1744080 1174010 ) via2_FR
NEW met2 ( 1744080 1129610 ) via2_FR
NEW met1 ( 2170320 1125355 ) M1M2_PR
NEW met1 ( 2103120 1125355 ) M1M2_PR
NEW met2 ( 2103120 1130350 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[11\] ( soc la_input[11] ) ( mgmt_buffers la_data_in_mprj[11] )
+ ROUTED met2 ( 1554000 1171605 ) ( 1554000 1199170 0 )
NEW met2 ( 1758480 1127945 ) ( 1758480 1171605 )
NEW met1 ( 1554000 1171605 ) ( 1758480 1171605 )
NEW met2 ( 2127840 1117770 0 ) ( 2127840 1119250 )
NEW met2 ( 2126640 1119250 ) ( 2127840 1119250 )
NEW met2 ( 2126640 1119250 ) ( 2126640 1130535 )
NEW met1 ( 2094000 1130535 ) ( 2126640 1130535 )
NEW met2 ( 2094000 1127945 ) ( 2094000 1130535 )
NEW met1 ( 1758480 1127945 ) ( 2094000 1127945 )
NEW met1 ( 1554000 1171605 ) M1M2_PR
NEW met1 ( 1758480 1171605 ) M1M2_PR
NEW met1 ( 1758480 1127945 ) M1M2_PR
NEW met1 ( 2126640 1130535 ) M1M2_PR
NEW met1 ( 2094000 1130535 ) M1M2_PR
NEW met1 ( 2094000 1127945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[120\] ( soc la_input[120] ) ( mgmt_buffers la_data_in_mprj[120] )
+ ROUTED met2 ( 1555920 1175305 ) ( 1555920 1197690 )
NEW met2 ( 1555680 1197690 ) ( 1555920 1197690 )
NEW met2 ( 1555680 1197690 ) ( 1555680 1199170 0 )
NEW met2 ( 1775280 1129795 ) ( 1775280 1175305 )
NEW met2 ( 2172000 1117770 0 ) ( 2172000 1119250 )
NEW met2 ( 2171760 1119250 ) ( 2172000 1119250 )
NEW met2 ( 2171760 1119250 ) ( 2171760 1129795 )
NEW met1 ( 1555920 1175305 ) ( 1775280 1175305 )
NEW met1 ( 2093040 1129795 ) ( 2093040 1130165 )
NEW met1 ( 2093040 1130165 ) ( 2094480 1130165 )
NEW met2 ( 2094480 1129425 ) ( 2094480 1130165 )
NEW met1 ( 2094480 1129425 ) ( 2096400 1129425 )
NEW met1 ( 2096400 1129425 ) ( 2096400 1129795 )
NEW met1 ( 1775280 1129795 ) ( 2093040 1129795 )
NEW met1 ( 2096400 1129795 ) ( 2171760 1129795 )
NEW met1 ( 1555920 1175305 ) M1M2_PR
NEW met1 ( 1775280 1175305 ) M1M2_PR
NEW met1 ( 1775280 1129795 ) M1M2_PR
NEW met1 ( 2171760 1129795 ) M1M2_PR
NEW met1 ( 2094480 1130165 ) M1M2_PR
NEW met1 ( 2094480 1129425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[121\] ( soc la_input[121] ) ( mgmt_buffers la_data_in_mprj[121] )
+ ROUTED met2 ( 1557840 1177155 ) ( 1557840 1197690 )
NEW met2 ( 1557600 1197690 ) ( 1557840 1197690 )
NEW met2 ( 1557600 1197690 ) ( 1557600 1199170 0 )
NEW met2 ( 1775760 1126465 ) ( 1775760 1170865 )
NEW met2 ( 1693200 1170865 ) ( 1693200 1177155 )
NEW met1 ( 1557840 1177155 ) ( 1693200 1177155 )
NEW met1 ( 1693200 1170865 ) ( 1775760 1170865 )
NEW met2 ( 2126160 1117770 0 ) ( 2126160 1127205 )
NEW met2 ( 2101200 1126095 ) ( 2101200 1126465 )
NEW met2 ( 2101200 1126095 ) ( 2102160 1126095 )
NEW met2 ( 2102160 1124985 ) ( 2102160 1126095 )
NEW met2 ( 2102160 1124985 ) ( 2103600 1124985 )
NEW met2 ( 2103600 1124985 ) ( 2103600 1127205 )
NEW met2 ( 2103600 1127205 ) ( 2104080 1127205 )
NEW met1 ( 1775760 1126465 ) ( 2101200 1126465 )
NEW met1 ( 2104080 1127205 ) ( 2126160 1127205 )
NEW met1 ( 1557840 1177155 ) M1M2_PR
NEW met1 ( 1775760 1170865 ) M1M2_PR
NEW met1 ( 1775760 1126465 ) M1M2_PR
NEW met1 ( 1693200 1177155 ) M1M2_PR
NEW met1 ( 1693200 1170865 ) M1M2_PR
NEW met1 ( 2126160 1127205 ) M1M2_PR
NEW met1 ( 2101200 1126465 ) M1M2_PR
NEW met1 ( 2104080 1127205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[122\] ( soc la_input[122] ) ( mgmt_buffers la_data_in_mprj[122] )
+ ROUTED met2 ( 2173920 1117770 0 ) ( 2173920 1119250 )
NEW met2 ( 2173680 1119250 ) ( 2173920 1119250 )
NEW met2 ( 2173680 1119250 ) ( 2173680 1136085 )
NEW met2 ( 1721520 1136455 ) ( 1721520 1172715 )
NEW met1 ( 2073840 1136085 ) ( 2073840 1136455 )
NEW met1 ( 1721520 1136455 ) ( 2073840 1136455 )
NEW met1 ( 2073840 1136085 ) ( 2173680 1136085 )
NEW met2 ( 1559280 1172345 ) ( 1559280 1199170 0 )
NEW met1 ( 1641360 1172345 ) ( 1641360 1173085 )
NEW met1 ( 1641360 1173085 ) ( 1641840 1173085 )
NEW met1 ( 1641840 1172715 ) ( 1641840 1173085 )
NEW met1 ( 1559280 1172345 ) ( 1641360 1172345 )
NEW met1 ( 1641840 1172715 ) ( 1721520 1172715 )
NEW met1 ( 2173680 1136085 ) M1M2_PR
NEW met1 ( 1721520 1172715 ) M1M2_PR
NEW met1 ( 1721520 1136455 ) M1M2_PR
NEW met1 ( 1559280 1172345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[123\] ( soc la_input[123] ) ( mgmt_buffers la_data_in_mprj[123] )
+ ROUTED met2 ( 1561200 1171975 ) ( 1561200 1199170 0 )
NEW met2 ( 1773840 1127205 ) ( 1773840 1171975 )
NEW met1 ( 1561200 1171975 ) ( 1773840 1171975 )
NEW met2 ( 2124240 1117770 0 ) ( 2124240 1127945 )
NEW met1 ( 2103600 1127205 ) ( 2103600 1127575 )
NEW met2 ( 2103600 1127575 ) ( 2103600 1128130 )
NEW met3 ( 2103600 1128130 ) ( 2105040 1128130 )
NEW met2 ( 2105040 1127945 ) ( 2105040 1128130 )
NEW met1 ( 1773840 1127205 ) ( 2103600 1127205 )
NEW met1 ( 2105040 1127945 ) ( 2124240 1127945 )
NEW met1 ( 1561200 1171975 ) M1M2_PR
NEW met1 ( 1773840 1171975 ) M1M2_PR
NEW met1 ( 1773840 1127205 ) M1M2_PR
NEW met1 ( 2124240 1127945 ) M1M2_PR
NEW met1 ( 2103600 1127575 ) M1M2_PR
NEW met2 ( 2103600 1128130 ) via2_FR
NEW met2 ( 2105040 1128130 ) via2_FR
NEW met1 ( 2105040 1127945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[124\] ( soc la_input[124] ) ( mgmt_buffers la_data_in_mprj[124] )
+ ROUTED met2 ( 1563120 1175490 ) ( 1563120 1199170 0 )
NEW met2 ( 1794480 1127390 ) ( 1794480 1175490 )
NEW met2 ( 2175600 1117770 0 ) ( 2175600 1124985 )
NEW met3 ( 1563120 1175490 ) ( 1794480 1175490 )
NEW met2 ( 2109840 1124985 ) ( 2109840 1127390 )
NEW met3 ( 1794480 1127390 ) ( 2109840 1127390 )
NEW met1 ( 2109840 1124985 ) ( 2175600 1124985 )
NEW met2 ( 1563120 1175490 ) via2_FR
NEW met2 ( 1794480 1175490 ) via2_FR
NEW met2 ( 1794480 1127390 ) via2_FR
NEW met1 ( 2175600 1124985 ) M1M2_PR
NEW met1 ( 2109840 1124985 ) M1M2_PR
NEW met2 ( 2109840 1127390 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[125\] ( soc la_input[125] ) ( mgmt_buffers la_data_in_mprj[125] )
+ ROUTED met2 ( 1565040 1177525 ) ( 1565040 1199170 0 )
NEW met2 ( 1744560 1123505 ) ( 1744560 1180115 )
NEW met2 ( 1691760 1177525 ) ( 1691760 1180115 )
NEW met1 ( 1565040 1177525 ) ( 1691760 1177525 )
NEW met1 ( 1691760 1180115 ) ( 1744560 1180115 )
NEW met2 ( 2122320 1117770 0 ) ( 2122320 1123505 )
NEW met1 ( 1744560 1123505 ) ( 2122320 1123505 )
NEW met1 ( 1565040 1177525 ) M1M2_PR
NEW met1 ( 1744560 1180115 ) M1M2_PR
NEW met1 ( 1744560 1123505 ) M1M2_PR
NEW met1 ( 1691760 1177525 ) M1M2_PR
NEW met1 ( 1691760 1180115 ) M1M2_PR
NEW met1 ( 2122320 1123505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[126\] ( soc la_input[126] ) ( mgmt_buffers la_data_in_mprj[126] )
+ ROUTED met2 ( 1566960 1176230 ) ( 1566960 1197690 )
NEW met2 ( 1566720 1197690 ) ( 1566960 1197690 )
NEW met2 ( 1566720 1197690 ) ( 1566720 1199170 0 )
NEW met2 ( 1797360 1128130 ) ( 1797360 1176230 )
NEW met2 ( 2177520 1117770 0 ) ( 2177520 1126095 )
NEW met3 ( 1566960 1176230 ) ( 1797360 1176230 )
NEW met2 ( 2102640 1126095 ) ( 2102640 1128130 )
NEW met3 ( 1797360 1128130 ) ( 2102640 1128130 )
NEW met1 ( 2102640 1126095 ) ( 2177520 1126095 )
NEW met2 ( 1566960 1176230 ) via2_FR
NEW met2 ( 1797360 1176230 ) via2_FR
NEW met2 ( 1797360 1128130 ) via2_FR
NEW met1 ( 2177520 1126095 ) M1M2_PR
NEW met1 ( 2102640 1126095 ) M1M2_PR
NEW met2 ( 2102640 1128130 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[127\] ( soc la_input[127] ) ( mgmt_buffers la_data_in_mprj[127] )
+ ROUTED met2 ( 1636080 1168275 ) ( 1636080 1170495 )
NEW met1 ( 1568880 1170495 ) ( 1636080 1170495 )
NEW met2 ( 1568880 1170495 ) ( 1568880 1197690 )
NEW met2 ( 1568640 1197690 ) ( 1568880 1197690 )
NEW met2 ( 1568640 1197690 ) ( 1568640 1199170 0 )
NEW met2 ( 1643760 1168275 ) ( 1643760 1170495 )
NEW met1 ( 1643760 1170495 ) ( 1733520 1170495 )
NEW met1 ( 1636080 1168275 ) ( 1643760 1168275 )
NEW met2 ( 1733520 1136825 ) ( 1733520 1170495 )
NEW met2 ( 2120400 1117770 0 ) ( 2120400 1123135 )
NEW met1 ( 2090640 1123135 ) ( 2120400 1123135 )
NEW met2 ( 2090640 1123135 ) ( 2090640 1137195 )
NEW met1 ( 2049360 1137195 ) ( 2090640 1137195 )
NEW met1 ( 2049360 1136825 ) ( 2049360 1137195 )
NEW met1 ( 1733520 1136825 ) ( 2049360 1136825 )
NEW met1 ( 1636080 1168275 ) M1M2_PR
NEW met1 ( 1636080 1170495 ) M1M2_PR
NEW met1 ( 1568880 1170495 ) M1M2_PR
NEW met1 ( 1643760 1168275 ) M1M2_PR
NEW met1 ( 1643760 1170495 ) M1M2_PR
NEW met1 ( 1733520 1170495 ) M1M2_PR
NEW met1 ( 1733520 1136825 ) M1M2_PR
NEW met1 ( 2120400 1123135 ) M1M2_PR
NEW met1 ( 2090640 1123135 ) M1M2_PR
NEW met1 ( 2090640 1137195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[12\] ( soc la_input[12] ) ( mgmt_buffers la_data_in_mprj[12] )
+ ROUTED met2 ( 1570320 1175675 ) ( 1570320 1199170 0 )
NEW met2 ( 1799280 1130165 ) ( 1799280 1174195 )
NEW met2 ( 1691760 1174195 ) ( 1691760 1175675 )
NEW met1 ( 1570320 1175675 ) ( 1691760 1175675 )
NEW met1 ( 1691760 1174195 ) ( 1799280 1174195 )
NEW met2 ( 2066160 1130165 ) ( 2066160 1130905 )
NEW met1 ( 1799280 1130165 ) ( 2066160 1130165 )
NEW met2 ( 2179440 1117770 0 ) ( 2179440 1131645 )
NEW met1 ( 2145840 1131645 ) ( 2179440 1131645 )
NEW met1 ( 2145840 1130905 ) ( 2145840 1131645 )
NEW met1 ( 2066160 1130905 ) ( 2145840 1130905 )
NEW met1 ( 1570320 1175675 ) M1M2_PR
NEW met1 ( 1799280 1174195 ) M1M2_PR
NEW met1 ( 1799280 1130165 ) M1M2_PR
NEW met1 ( 1691760 1175675 ) M1M2_PR
NEW met1 ( 1691760 1174195 ) M1M2_PR
NEW met1 ( 2066160 1130165 ) M1M2_PR
NEW met1 ( 2066160 1130905 ) M1M2_PR
NEW met1 ( 2179440 1131645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[13\] ( soc la_input[13] ) ( mgmt_buffers la_data_in_mprj[13] )
+ ROUTED met2 ( 1635600 1168645 ) ( 1635600 1170125 )
NEW met1 ( 1572240 1170125 ) ( 1635600 1170125 )
NEW met2 ( 1572240 1170125 ) ( 1572240 1199170 0 )
NEW met2 ( 1742640 1137195 ) ( 1742640 1168275 )
NEW met1 ( 1691760 1168275 ) ( 1691760 1168645 )
NEW met1 ( 1635600 1168645 ) ( 1691760 1168645 )
NEW met1 ( 1691760 1168275 ) ( 1742640 1168275 )
NEW met2 ( 2118720 1117770 0 ) ( 2118720 1119250 )
NEW met2 ( 2118480 1119250 ) ( 2118720 1119250 )
NEW met2 ( 2118480 1119250 ) ( 2118480 1130350 )
NEW met2 ( 2104080 1130350 ) ( 2104080 1132385 )
NEW met1 ( 2095920 1132385 ) ( 2104080 1132385 )
NEW met2 ( 2095920 1132385 ) ( 2095920 1137935 )
NEW met2 ( 2093040 1137935 ) ( 2095920 1137935 )
NEW met2 ( 2093040 1137565 ) ( 2093040 1137935 )
NEW met1 ( 2048880 1137565 ) ( 2093040 1137565 )
NEW met1 ( 2048880 1137195 ) ( 2048880 1137565 )
NEW met1 ( 1742640 1137195 ) ( 2048880 1137195 )
NEW met3 ( 2104080 1130350 ) ( 2118480 1130350 )
NEW met1 ( 1635600 1168645 ) M1M2_PR
NEW met1 ( 1635600 1170125 ) M1M2_PR
NEW met1 ( 1572240 1170125 ) M1M2_PR
NEW met1 ( 1742640 1168275 ) M1M2_PR
NEW met1 ( 1742640 1137195 ) M1M2_PR
NEW met2 ( 2118480 1130350 ) via2_FR
NEW met2 ( 2104080 1130350 ) via2_FR
NEW met1 ( 2104080 1132385 ) M1M2_PR
NEW met1 ( 2095920 1132385 ) M1M2_PR
NEW met1 ( 2093040 1137565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[14\] ( soc la_input[14] ) ( mgmt_buffers la_data_in_mprj[14] )
+ ROUTED met2 ( 1574160 1178450 ) ( 1574160 1199170 0 )
NEW met2 ( 1791600 1145150 ) ( 1791600 1178450 )
NEW met2 ( 1791600 1145150 ) ( 1794000 1145150 )
NEW met2 ( 1794000 1129425 ) ( 1794000 1145150 )
NEW met2 ( 2181360 1117770 0 ) ( 2181360 1129795 )
NEW met1 ( 2174640 1129795 ) ( 2181360 1129795 )
NEW met1 ( 2174640 1129795 ) ( 2174640 1130165 )
NEW met3 ( 1574160 1178450 ) ( 1791600 1178450 )
NEW met1 ( 2093520 1129425 ) ( 2093520 1129795 )
NEW met1 ( 2093520 1129795 ) ( 2094960 1129795 )
NEW met1 ( 2094960 1129795 ) ( 2094960 1130165 )
NEW met1 ( 1794000 1129425 ) ( 2093520 1129425 )
NEW met1 ( 2094960 1130165 ) ( 2174640 1130165 )
NEW met2 ( 1574160 1178450 ) via2_FR
NEW met2 ( 1791600 1178450 ) via2_FR
NEW met1 ( 1794000 1129425 ) M1M2_PR
NEW met1 ( 2181360 1129795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[15\] ( soc la_input[15] ) ( mgmt_buffers la_data_in_mprj[15] )
+ ROUTED met2 ( 1576080 1134975 ) ( 1576080 1199170 0 )
NEW met2 ( 2116800 1117770 0 ) ( 2116800 1119250 )
NEW met2 ( 2116800 1119250 ) ( 2117520 1119250 )
NEW met2 ( 2117520 1119250 ) ( 2117520 1133495 )
NEW met2 ( 2117040 1133495 ) ( 2117520 1133495 )
NEW met2 ( 2117040 1133495 ) ( 2117040 1134790 )
NEW met2 ( 2116560 1134790 ) ( 2117040 1134790 )
NEW met2 ( 2116560 1134790 ) ( 2116560 1134975 )
NEW met1 ( 1576080 1134975 ) ( 2116560 1134975 )
NEW met1 ( 1576080 1134975 ) M1M2_PR
NEW met1 ( 2116560 1134975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[16\] ( soc la_input[16] ) ( mgmt_buffers la_data_in_mprj[16] )
+ ROUTED met2 ( 1578000 1176970 ) ( 1578000 1197690 )
NEW met2 ( 1577760 1197690 ) ( 1578000 1197690 )
NEW met2 ( 1577760 1197690 ) ( 1577760 1199170 0 )
NEW met2 ( 1812240 1129055 ) ( 1812240 1176970 )
NEW met2 ( 2183040 1117770 0 ) ( 2183040 1119250 )
NEW met2 ( 2182800 1119250 ) ( 2183040 1119250 )
NEW met2 ( 2182800 1119250 ) ( 2182800 1129425 )
NEW met3 ( 1578000 1176970 ) ( 1812240 1176970 )
NEW met1 ( 2096880 1129055 ) ( 2096880 1129425 )
NEW met1 ( 1812240 1129055 ) ( 2096880 1129055 )
NEW met1 ( 2096880 1129425 ) ( 2182800 1129425 )
NEW met2 ( 1578000 1176970 ) via2_FR
NEW met2 ( 1812240 1176970 ) via2_FR
NEW met1 ( 1812240 1129055 ) M1M2_PR
NEW met1 ( 2182800 1129425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[17\] ( soc la_input[17] ) ( mgmt_buffers la_data_in_mprj[17] )
+ ROUTED met2 ( 1579680 1197690 ) ( 1579920 1197690 )
NEW met2 ( 1579680 1197690 ) ( 1579680 1199170 0 )
NEW met2 ( 1579920 1135715 ) ( 1579920 1197690 )
NEW met2 ( 2115120 1117770 0 ) ( 2115120 1125170 )
NEW met2 ( 2114160 1125170 ) ( 2115120 1125170 )
NEW met2 ( 2114160 1125170 ) ( 2114160 1136455 )
NEW met1 ( 2074320 1136455 ) ( 2114160 1136455 )
NEW met2 ( 2074320 1136270 ) ( 2074320 1136455 )
NEW met2 ( 2073360 1136270 ) ( 2074320 1136270 )
NEW met2 ( 2073360 1136085 ) ( 2073360 1136270 )
NEW met1 ( 2049840 1136085 ) ( 2073360 1136085 )
NEW met1 ( 2049840 1135715 ) ( 2049840 1136085 )
NEW met1 ( 1579920 1135715 ) ( 2049840 1135715 )
NEW met1 ( 1579920 1135715 ) M1M2_PR
NEW met1 ( 2114160 1136455 ) M1M2_PR
NEW met1 ( 2074320 1136455 ) M1M2_PR
NEW met1 ( 2073360 1136085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[18\] ( soc la_input[18] ) ( mgmt_buffers la_data_in_mprj[18] )
+ ROUTED met2 ( 1581360 1177710 ) ( 1581360 1199170 0 )
NEW met2 ( 1811280 1170310 ) ( 1811280 1177710 )
NEW met2 ( 1811280 1170310 ) ( 1811760 1170310 )
NEW met2 ( 1811760 1128315 ) ( 1811760 1170310 )
NEW met3 ( 1581360 1177710 ) ( 1811280 1177710 )
NEW met2 ( 2056560 1128315 ) ( 2056560 1141635 )
NEW met2 ( 2056560 1141635 ) ( 2058000 1141635 )
NEW met2 ( 2058000 1141635 ) ( 2058000 1142005 )
NEW met1 ( 2058000 1142005 ) ( 2082960 1142005 )
NEW met2 ( 2082960 1142005 ) ( 2082960 1144410 )
NEW met1 ( 1811760 1128315 ) ( 2056560 1128315 )
NEW met2 ( 2111760 1122025 ) ( 2111760 1144410 )
NEW met1 ( 2111760 1122025 ) ( 2184720 1122025 )
NEW met2 ( 2184720 1119250 ) ( 2184720 1122025 )
NEW met2 ( 2184720 1119250 ) ( 2184960 1119250 )
NEW met2 ( 2184960 1117770 0 ) ( 2184960 1119250 )
NEW met3 ( 2082960 1144410 ) ( 2111760 1144410 )
NEW met2 ( 1581360 1177710 ) via2_FR
NEW met2 ( 1811280 1177710 ) via2_FR
NEW met1 ( 1811760 1128315 ) M1M2_PR
NEW met1 ( 2056560 1128315 ) M1M2_PR
NEW met1 ( 2058000 1142005 ) M1M2_PR
NEW met1 ( 2082960 1142005 ) M1M2_PR
NEW met2 ( 2082960 1144410 ) via2_FR
NEW met2 ( 2111760 1144410 ) via2_FR
NEW met1 ( 2111760 1122025 ) M1M2_PR
NEW met1 ( 2184720 1122025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[19\] ( soc la_input[19] ) ( mgmt_buffers la_data_in_mprj[19] )
+ ROUTED met2 ( 1583280 1135345 ) ( 1583280 1199170 0 )
NEW met2 ( 2113200 1117770 0 ) ( 2113200 1135345 )
NEW met1 ( 1583280 1135345 ) ( 2113200 1135345 )
NEW met1 ( 1583280 1135345 ) M1M2_PR
NEW met1 ( 2113200 1135345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[1\] ( soc la_input[1] ) ( mgmt_buffers la_data_in_mprj[1] )
+ ROUTED met2 ( 1585200 1176045 ) ( 1585200 1199170 0 )
NEW met1 ( 1722960 1175675 ) ( 1722960 1176045 )
NEW met1 ( 1585200 1176045 ) ( 1722960 1176045 )
NEW met1 ( 2094480 1127575 ) ( 2094480 1127945 )
NEW met1 ( 2094480 1127945 ) ( 2096880 1127945 )
NEW met1 ( 2096880 1127945 ) ( 2096880 1128315 )
NEW met2 ( 1803600 1127575 ) ( 1803600 1175675 )
NEW met1 ( 1722960 1175675 ) ( 1803600 1175675 )
NEW met1 ( 1803600 1127575 ) ( 2094480 1127575 )
NEW met2 ( 2186640 1117770 0 ) ( 2186640 1128685 )
NEW met1 ( 2147760 1128685 ) ( 2186640 1128685 )
NEW met1 ( 2147760 1128315 ) ( 2147760 1128685 )
NEW met1 ( 2096880 1128315 ) ( 2147760 1128315 )
NEW met1 ( 1585200 1176045 ) M1M2_PR
NEW met1 ( 1803600 1175675 ) M1M2_PR
NEW met1 ( 1803600 1127575 ) M1M2_PR
NEW met1 ( 2186640 1128685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[20\] ( soc la_input[20] ) ( mgmt_buffers la_data_in_mprj[20] )
+ ROUTED met2 ( 1587120 1173455 ) ( 1587120 1199170 0 )
NEW met2 ( 1779600 1171605 ) ( 1779600 1173455 )
NEW met1 ( 1779600 1171605 ) ( 1817520 1171605 )
NEW met2 ( 1817520 1124985 ) ( 1817520 1171605 )
NEW met1 ( 1587120 1173455 ) ( 1779600 1173455 )
NEW met2 ( 2111280 1117770 0 ) ( 2111280 1125725 )
NEW met1 ( 2102640 1125725 ) ( 2111280 1125725 )
NEW met1 ( 2102640 1124985 ) ( 2102640 1125725 )
NEW met1 ( 1817520 1124985 ) ( 2102640 1124985 )
NEW met1 ( 1587120 1173455 ) M1M2_PR
NEW met1 ( 1779600 1173455 ) M1M2_PR
NEW met1 ( 1779600 1171605 ) M1M2_PR
NEW met1 ( 1817520 1171605 ) M1M2_PR
NEW met1 ( 1817520 1124985 ) M1M2_PR
NEW met1 ( 2111280 1125725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[21\] ( soc la_input[21] ) ( mgmt_buffers la_data_in_mprj[21] )
+ ROUTED met2 ( 1588800 1197690 ) ( 1589040 1197690 )
NEW met2 ( 1588800 1197690 ) ( 1588800 1199170 0 )
NEW met2 ( 1589040 1133495 ) ( 1589040 1197690 )
NEW met2 ( 2188560 1117770 0 ) ( 2188560 1125910 )
NEW met2 ( 2187600 1125910 ) ( 2188560 1125910 )
NEW met2 ( 2187600 1125910 ) ( 2187600 1134975 )
NEW met1 ( 2164080 1134975 ) ( 2187600 1134975 )
NEW met2 ( 2164080 1134975 ) ( 2164080 1137565 )
NEW met2 ( 2093520 1133495 ) ( 2093520 1137565 )
NEW met1 ( 1589040 1133495 ) ( 2093520 1133495 )
NEW met1 ( 2093520 1137565 ) ( 2164080 1137565 )
NEW met1 ( 1589040 1133495 ) M1M2_PR
NEW met1 ( 2187600 1134975 ) M1M2_PR
NEW met1 ( 2164080 1134975 ) M1M2_PR
NEW met1 ( 2164080 1137565 ) M1M2_PR
NEW met1 ( 2093520 1133495 ) M1M2_PR
NEW met1 ( 2093520 1137565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[22\] ( soc la_input[22] ) ( mgmt_buffers la_data_in_mprj[22] )
+ ROUTED met2 ( 1590960 1173825 ) ( 1590960 1197690 )
NEW met2 ( 1590720 1197690 ) ( 1590960 1197690 )
NEW met2 ( 1590720 1197690 ) ( 1590720 1199170 0 )
NEW met2 ( 1780560 1169755 ) ( 1780560 1173825 )
NEW met1 ( 1780560 1169755 ) ( 1818000 1169755 )
NEW met2 ( 1818000 1125725 ) ( 1818000 1169755 )
NEW met1 ( 1590960 1173825 ) ( 1780560 1173825 )
NEW met2 ( 2109360 1117770 0 ) ( 2109360 1126465 )
NEW met1 ( 2102160 1126465 ) ( 2109360 1126465 )
NEW met1 ( 2102160 1125725 ) ( 2102160 1126465 )
NEW met1 ( 1818000 1125725 ) ( 2102160 1125725 )
NEW met1 ( 1590960 1173825 ) M1M2_PR
NEW met1 ( 1780560 1173825 ) M1M2_PR
NEW met1 ( 1780560 1169755 ) M1M2_PR
NEW met1 ( 1818000 1169755 ) M1M2_PR
NEW met1 ( 1818000 1125725 ) M1M2_PR
NEW met1 ( 2109360 1126465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[23\] ( soc la_input[23] ) ( mgmt_buffers la_data_in_mprj[23] )
+ ROUTED met2 ( 1592400 1133125 ) ( 1592400 1199170 0 )
NEW met2 ( 2094480 1133125 ) ( 2094480 1134235 )
NEW met1 ( 1592400 1133125 ) ( 2094480 1133125 )
NEW met2 ( 2190480 1117770 0 ) ( 2190480 1122765 )
NEW met1 ( 2145360 1122765 ) ( 2190480 1122765 )
NEW met2 ( 2145360 1122765 ) ( 2145360 1134235 )
NEW met1 ( 2094480 1134235 ) ( 2145360 1134235 )
NEW met1 ( 1592400 1133125 ) M1M2_PR
NEW met1 ( 2094480 1133125 ) M1M2_PR
NEW met1 ( 2094480 1134235 ) M1M2_PR
NEW met1 ( 2190480 1122765 ) M1M2_PR
NEW met1 ( 2145360 1122765 ) M1M2_PR
NEW met1 ( 2145360 1134235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[24\] ( soc la_input[24] ) ( mgmt_buffers la_data_in_mprj[24] )
+ ROUTED met2 ( 1594320 1174935 ) ( 1594320 1199170 0 )
NEW met2 ( 1784400 1167165 ) ( 1784400 1174935 )
NEW met1 ( 1784400 1167165 ) ( 1825680 1167165 )
NEW met2 ( 1825680 1126095 ) ( 1825680 1167165 )
NEW met1 ( 1594320 1174935 ) ( 1784400 1174935 )
NEW met2 ( 2107680 1117770 0 ) ( 2107680 1119250 )
NEW met2 ( 2107440 1119250 ) ( 2107680 1119250 )
NEW met2 ( 2107440 1119250 ) ( 2107440 1126835 )
NEW met1 ( 2101680 1126835 ) ( 2107440 1126835 )
NEW met1 ( 2101680 1126095 ) ( 2101680 1126835 )
NEW met1 ( 1825680 1126095 ) ( 2101680 1126095 )
NEW met1 ( 1594320 1174935 ) M1M2_PR
NEW met1 ( 1784400 1174935 ) M1M2_PR
NEW met1 ( 1784400 1167165 ) M1M2_PR
NEW met1 ( 1825680 1167165 ) M1M2_PR
NEW met1 ( 1825680 1126095 ) M1M2_PR
NEW met1 ( 2107440 1126835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[25\] ( soc la_input[25] ) ( mgmt_buffers la_data_in_mprj[25] )
+ ROUTED met2 ( 1596240 1132385 ) ( 1596240 1199170 0 )
NEW met2 ( 2192400 1117770 0 ) ( 2192400 1124430 )
NEW met2 ( 2190960 1124430 ) ( 2192400 1124430 )
NEW met2 ( 2190960 1124430 ) ( 2190960 1134235 )
NEW met1 ( 2146800 1134235 ) ( 2190960 1134235 )
NEW met1 ( 2146800 1133865 ) ( 2146800 1134235 )
NEW met2 ( 2094480 1132385 ) ( 2095440 1132385 )
NEW met2 ( 2095440 1132385 ) ( 2095440 1133865 )
NEW met1 ( 1596240 1132385 ) ( 2094480 1132385 )
NEW met1 ( 2095440 1133865 ) ( 2146800 1133865 )
NEW met1 ( 1596240 1132385 ) M1M2_PR
NEW met1 ( 2190960 1134235 ) M1M2_PR
NEW met1 ( 2094480 1132385 ) M1M2_PR
NEW met1 ( 2095440 1133865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[26\] ( soc la_input[26] ) ( mgmt_buffers la_data_in_mprj[26] )
+ ROUTED met2 ( 1598160 1169385 ) ( 1598160 1199170 0 )
NEW met1 ( 1598160 1169385 ) ( 1772400 1169385 )
NEW met2 ( 2105760 1117770 0 ) ( 2105760 1119250 )
NEW met2 ( 2105520 1119250 ) ( 2105760 1119250 )
NEW met2 ( 2105520 1119250 ) ( 2105520 1122025 )
NEW met2 ( 1772400 1122025 ) ( 1772400 1169385 )
NEW met1 ( 1772400 1122025 ) ( 2105520 1122025 )
NEW met1 ( 1598160 1169385 ) M1M2_PR
NEW met1 ( 1772400 1169385 ) M1M2_PR
NEW met1 ( 2105520 1122025 ) M1M2_PR
NEW met1 ( 1772400 1122025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[27\] ( soc la_input[27] ) ( mgmt_buffers la_data_in_mprj[27] )
+ ROUTED met2 ( 1600080 1169015 ) ( 1600080 1197690 )
NEW met2 ( 1599840 1197690 ) ( 1600080 1197690 )
NEW met2 ( 1599840 1197690 ) ( 1599840 1199170 0 )
NEW met2 ( 2194080 1117770 0 ) ( 2194080 1119250 )
NEW met2 ( 2193840 1119250 ) ( 2194080 1119250 )
NEW met2 ( 2193840 1119250 ) ( 2193840 1122395 )
NEW met1 ( 1600080 1169015 ) ( 1771440 1169015 )
NEW met2 ( 1771440 1122395 ) ( 1771440 1169015 )
NEW met1 ( 1771440 1122395 ) ( 2193840 1122395 )
NEW met1 ( 1600080 1169015 ) M1M2_PR
NEW met1 ( 1771440 1169015 ) M1M2_PR
NEW met1 ( 2193840 1122395 ) M1M2_PR
NEW met1 ( 1771440 1122395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[28\] ( soc la_input[28] ) ( mgmt_buffers la_data_in_mprj[28] )
+ ROUTED met2 ( 1602000 1171235 ) ( 1602000 1197690 )
NEW met2 ( 1601760 1197690 ) ( 1602000 1197690 )
NEW met2 ( 1601760 1197690 ) ( 1601760 1199170 0 )
NEW met1 ( 1602000 1171235 ) ( 1799760 1171235 )
NEW met2 ( 2104080 1117770 0 ) ( 2104080 1122765 )
NEW met2 ( 1799760 1124245 ) ( 1799760 1171235 )
NEW met2 ( 1875120 1122765 ) ( 1875120 1124245 )
NEW met1 ( 1799760 1124245 ) ( 1875120 1124245 )
NEW met1 ( 1875120 1122765 ) ( 2104080 1122765 )
NEW met1 ( 1602000 1171235 ) M1M2_PR
NEW met1 ( 1799760 1171235 ) M1M2_PR
NEW met1 ( 2104080 1122765 ) M1M2_PR
NEW met1 ( 1799760 1124245 ) M1M2_PR
NEW met1 ( 1875120 1124245 ) M1M2_PR
NEW met1 ( 1875120 1122765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[29\] ( soc la_input[29] ) ( mgmt_buffers la_data_in_mprj[29] )
+ ROUTED met1 ( 1635120 1167905 ) ( 1635120 1168645 )
NEW met1 ( 1603440 1168645 ) ( 1635120 1168645 )
NEW met2 ( 1603440 1168645 ) ( 1603440 1199170 0 )
NEW met2 ( 1757040 1121655 ) ( 1757040 1167905 )
NEW met2 ( 2196000 1117770 0 ) ( 2196000 1119250 )
NEW met2 ( 2195760 1119250 ) ( 2196000 1119250 )
NEW met2 ( 2195760 1119250 ) ( 2195760 1121655 )
NEW met1 ( 1635120 1167905 ) ( 1757040 1167905 )
NEW met1 ( 1757040 1121655 ) ( 2195760 1121655 )
NEW met1 ( 1603440 1168645 ) M1M2_PR
NEW met1 ( 1757040 1167905 ) M1M2_PR
NEW met1 ( 1757040 1121655 ) M1M2_PR
NEW met1 ( 2195760 1121655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[2\] ( soc la_input[2] ) ( mgmt_buffers la_data_in_mprj[2] )
+ ROUTED met2 ( 1605360 1174565 ) ( 1605360 1199170 0 )
NEW met2 ( 1788720 1169015 ) ( 1788720 1174565 )
NEW met1 ( 1788720 1169015 ) ( 1798800 1169015 )
NEW met1 ( 1798800 1168645 ) ( 1798800 1169015 )
NEW met1 ( 1798800 1168645 ) ( 1833360 1168645 )
NEW met2 ( 1833360 1168090 ) ( 1833360 1168645 )
NEW met2 ( 1833360 1168090 ) ( 1833840 1168090 )
NEW met2 ( 1833840 1125355 ) ( 1833840 1168090 )
NEW met1 ( 1605360 1174565 ) ( 1788720 1174565 )
NEW met2 ( 2102160 1117770 0 ) ( 2102160 1119990 )
NEW met2 ( 2101680 1119990 ) ( 2102160 1119990 )
NEW met2 ( 2101680 1119990 ) ( 2101680 1125355 )
NEW met1 ( 1833840 1125355 ) ( 2101680 1125355 )
NEW met1 ( 1605360 1174565 ) M1M2_PR
NEW met1 ( 1788720 1174565 ) M1M2_PR
NEW met1 ( 1788720 1169015 ) M1M2_PR
NEW met1 ( 1833360 1168645 ) M1M2_PR
NEW met1 ( 1833840 1125355 ) M1M2_PR
NEW met1 ( 2101680 1125355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[30\] ( soc la_input[30] ) ( mgmt_buffers la_data_in_mprj[30] )
+ ROUTED met1 ( 1634640 1167535 ) ( 1634640 1167905 )
NEW met1 ( 1607280 1167905 ) ( 1634640 1167905 )
NEW met2 ( 1607280 1167905 ) ( 1607280 1199170 0 )
NEW met2 ( 2197680 1117770 0 ) ( 2197680 1120915 )
NEW met2 ( 1691760 1167535 ) ( 1691760 1170125 )
NEW met1 ( 1634640 1167535 ) ( 1691760 1167535 )
NEW met1 ( 1691760 1170125 ) ( 1757520 1170125 )
NEW met2 ( 1757520 1120915 ) ( 1757520 1170125 )
NEW met1 ( 1893840 1120545 ) ( 1893840 1120915 )
NEW met1 ( 1757520 1120915 ) ( 1893840 1120915 )
NEW met2 ( 1979280 1120545 ) ( 1979280 1123135 )
NEW met1 ( 1979280 1123135 ) ( 2028720 1123135 )
NEW met2 ( 2028720 1120915 ) ( 2028720 1123135 )
NEW met1 ( 1893840 1120545 ) ( 1979280 1120545 )
NEW met1 ( 2028720 1120915 ) ( 2197680 1120915 )
NEW met1 ( 1607280 1167905 ) M1M2_PR
NEW met1 ( 1757520 1170125 ) M1M2_PR
NEW met1 ( 2197680 1120915 ) M1M2_PR
NEW met1 ( 1691760 1167535 ) M1M2_PR
NEW met1 ( 1691760 1170125 ) M1M2_PR
NEW met1 ( 1757520 1120915 ) M1M2_PR
NEW met1 ( 1979280 1120545 ) M1M2_PR
NEW met1 ( 1979280 1123135 ) M1M2_PR
NEW met1 ( 2028720 1123135 ) M1M2_PR
NEW met1 ( 2028720 1120915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[31\] ( soc la_input[31] ) ( mgmt_buffers la_data_in_mprj[31] )
+ ROUTED met2 ( 1609200 1163465 ) ( 1609200 1199170 0 )
NEW met2 ( 2100240 1117770 0 ) ( 2100240 1142745 )
NEW met1 ( 2057040 1142745 ) ( 2100240 1142745 )
NEW met1 ( 2057040 1142005 ) ( 2057040 1142745 )
NEW met1 ( 2048400 1142005 ) ( 2057040 1142005 )
NEW met2 ( 2048400 1142005 ) ( 2048400 1163465 )
NEW met1 ( 1609200 1163465 ) ( 2048400 1163465 )
NEW met1 ( 1609200 1163465 ) M1M2_PR
NEW met1 ( 2100240 1142745 ) M1M2_PR
NEW met1 ( 2048400 1142005 ) M1M2_PR
NEW met1 ( 2048400 1163465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[32\] ( soc la_input[32] ) ( mgmt_buffers la_data_in_mprj[32] )
+ ROUTED met2 ( 1611120 1169755 ) ( 1611120 1197690 )
NEW met2 ( 1610880 1197690 ) ( 1611120 1197690 )
NEW met2 ( 1610880 1197690 ) ( 1610880 1199170 0 )
NEW met3 ( 1797840 1119250 ) ( 1798560 1119250 )
NEW met4 ( 1798560 1114810 ) ( 1798560 1119250 )
NEW met2 ( 1797840 1119250 ) ( 1797840 1168645 )
NEW met4 ( 2197920 1114810 ) ( 2197920 1119990 )
NEW met3 ( 2197920 1119990 ) ( 2199600 1119990 )
NEW met2 ( 2199600 1117770 0 ) ( 2199600 1119990 )
NEW met2 ( 1692240 1168645 ) ( 1692240 1169755 )
NEW met1 ( 1611120 1169755 ) ( 1692240 1169755 )
NEW met1 ( 1692240 1168645 ) ( 1797840 1168645 )
NEW met3 ( 1798560 1114810 ) ( 2197920 1114810 )
NEW met1 ( 1611120 1169755 ) M1M2_PR
NEW met1 ( 1797840 1168645 ) M1M2_PR
NEW met2 ( 1797840 1119250 ) via2_FR
NEW met3 ( 1798560 1119250 ) M3M4_PR_M
NEW met3 ( 1798560 1114810 ) M3M4_PR_M
NEW met3 ( 2197920 1114810 ) M3M4_PR_M
NEW met3 ( 2197920 1119990 ) M3M4_PR_M
NEW met2 ( 2199600 1119990 ) via2_FR
NEW met1 ( 1692240 1169755 ) M1M2_PR
NEW met1 ( 1692240 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[33\] ( soc la_input[33] ) ( mgmt_buffers la_data_in_mprj[33] )
+ ROUTED met2 ( 1612560 1159395 ) ( 1612560 1198430 )
NEW met2 ( 1612560 1198430 ) ( 1612800 1198430 )
NEW met2 ( 1612800 1198430 ) ( 1612800 1199170 0 )
NEW met2 ( 1994160 1159395 ) ( 1994160 1160505 )
NEW met1 ( 1612560 1159395 ) ( 1994160 1159395 )
NEW met1 ( 1994160 1160505 ) ( 2098320 1160505 )
NEW met2 ( 2098320 1117770 0 ) ( 2098320 1160505 )
NEW met1 ( 1612560 1159395 ) M1M2_PR
NEW met1 ( 1994160 1159395 ) M1M2_PR
NEW met1 ( 1994160 1160505 ) M1M2_PR
NEW met1 ( 2098320 1160505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[34\] ( soc la_input[34] ) ( mgmt_buffers la_data_in_mprj[34] )
+ ROUTED met2 ( 1614480 1167165 ) ( 1614480 1199170 0 )
NEW met2 ( 2201520 1117770 0 ) ( 2201520 1120175 )
NEW met1 ( 1614480 1167165 ) ( 1743120 1167165 )
NEW met1 ( 2089200 1120175 ) ( 2089200 1120545 )
NEW met1 ( 2089200 1120545 ) ( 2136720 1120545 )
NEW met2 ( 2136720 1120545 ) ( 2136720 1122765 )
NEW met1 ( 2136720 1122765 ) ( 2141040 1122765 )
NEW met2 ( 2141040 1120175 ) ( 2141040 1122765 )
NEW met1 ( 2141040 1120175 ) ( 2201520 1120175 )
NEW met1 ( 1743120 1120175 ) ( 1822320 1120175 )
NEW met1 ( 1822320 1120175 ) ( 1822320 1120545 )
NEW met2 ( 1743120 1120175 ) ( 1743120 1167165 )
NEW met1 ( 1893360 1120175 ) ( 1893360 1120545 )
NEW met1 ( 1822320 1120545 ) ( 1893360 1120545 )
NEW met1 ( 1893360 1120175 ) ( 2089200 1120175 )
NEW met1 ( 1614480 1167165 ) M1M2_PR
NEW met1 ( 2201520 1120175 ) M1M2_PR
NEW met1 ( 1743120 1167165 ) M1M2_PR
NEW met1 ( 2136720 1120545 ) M1M2_PR
NEW met1 ( 2136720 1122765 ) M1M2_PR
NEW met1 ( 2141040 1122765 ) M1M2_PR
NEW met1 ( 2141040 1120175 ) M1M2_PR
NEW met1 ( 1743120 1120175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[35\] ( soc la_input[35] ) ( mgmt_buffers la_data_in_mprj[35] )
+ ROUTED met2 ( 1616400 1160135 ) ( 1616400 1199170 0 )
NEW met2 ( 2096640 1117770 0 ) ( 2096640 1119250 )
NEW met2 ( 2095920 1119250 ) ( 2096640 1119250 )
NEW met2 ( 2095920 1119250 ) ( 2095920 1132015 )
NEW met2 ( 2095920 1132015 ) ( 2096400 1132015 )
NEW met2 ( 2096400 1132015 ) ( 2096400 1160135 )
NEW met1 ( 1616400 1160135 ) ( 2096400 1160135 )
NEW met1 ( 1616400 1160135 ) M1M2_PR
NEW met1 ( 2096400 1160135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[36\] ( soc la_input[36] ) ( mgmt_buffers la_data_in_mprj[36] )
+ ROUTED met2 ( 1618320 1170865 ) ( 1618320 1199170 0 )
NEW met3 ( 1813680 1119250 ) ( 1813920 1119250 )
NEW met4 ( 1813920 1114070 ) ( 1813920 1119250 )
NEW met2 ( 1813680 1119250 ) ( 1813680 1167535 )
NEW met4 ( 2200800 1114070 ) ( 2200800 1119990 )
NEW met3 ( 2200800 1119990 ) ( 2203440 1119990 )
NEW met2 ( 2203440 1117770 0 ) ( 2203440 1119990 )
NEW met2 ( 1692720 1167535 ) ( 1692720 1170865 )
NEW met1 ( 1618320 1170865 ) ( 1692720 1170865 )
NEW met1 ( 1692720 1167535 ) ( 1813680 1167535 )
NEW met3 ( 1813920 1114070 ) ( 2200800 1114070 )
NEW met1 ( 1618320 1170865 ) M1M2_PR
NEW met1 ( 1813680 1167535 ) M1M2_PR
NEW met2 ( 1813680 1119250 ) via2_FR
NEW met3 ( 1813920 1119250 ) M3M4_PR_M
NEW met3 ( 1813920 1114070 ) M3M4_PR_M
NEW met3 ( 2200800 1114070 ) M3M4_PR_M
NEW met3 ( 2200800 1119990 ) M3M4_PR_M
NEW met2 ( 2203440 1119990 ) via2_FR
NEW met1 ( 1692720 1170865 ) M1M2_PR
NEW met1 ( 1692720 1167535 ) M1M2_PR
NEW met3 ( 1813680 1119250 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[37\] ( soc la_input[37] ) ( mgmt_buffers la_data_in_mprj[37] )
+ ROUTED met2 ( 1620240 1160505 ) ( 1620240 1199170 0 )
NEW met1 ( 1956720 1160505 ) ( 1956720 1160875 )
NEW met1 ( 1620240 1160505 ) ( 1956720 1160505 )
NEW met2 ( 2094720 1117770 0 ) ( 2094720 1119250 )
NEW met2 ( 2094480 1119250 ) ( 2094720 1119250 )
NEW met2 ( 2094480 1119250 ) ( 2094480 1128315 )
NEW met1 ( 2086320 1128315 ) ( 2094480 1128315 )
NEW met2 ( 2086320 1128315 ) ( 2086320 1160875 )
NEW met1 ( 1956720 1160875 ) ( 2086320 1160875 )
NEW met1 ( 1620240 1160505 ) M1M2_PR
NEW met1 ( 2094480 1128315 ) M1M2_PR
NEW met1 ( 2086320 1128315 ) M1M2_PR
NEW met1 ( 2086320 1160875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[38\] ( soc la_input[38] ) ( mgmt_buffers la_data_in_mprj[38] )
+ ROUTED met2 ( 1622160 1180115 ) ( 1622160 1197690 )
NEW met2 ( 1621920 1197690 ) ( 1622160 1197690 )
NEW met2 ( 1621920 1197690 ) ( 1621920 1199170 0 )
NEW met3 ( 1746000 1119250 ) ( 1746720 1119250 )
NEW met4 ( 1746720 1113330 ) ( 1746720 1119250 )
NEW met2 ( 1746000 1119250 ) ( 1746000 1169755 )
NEW met4 ( 2204640 1113330 ) ( 2204640 1119990 )
NEW met3 ( 2204640 1119990 ) ( 2204880 1119990 )
NEW met2 ( 2204880 1119250 ) ( 2204880 1119990 )
NEW met2 ( 2204880 1119250 ) ( 2205120 1119250 )
NEW met2 ( 2205120 1117770 0 ) ( 2205120 1119250 )
NEW met2 ( 1691280 1177895 ) ( 1691280 1180115 )
NEW met1 ( 1691280 1177895 ) ( 1693680 1177895 )
NEW met2 ( 1693680 1169755 ) ( 1693680 1177895 )
NEW met1 ( 1622160 1180115 ) ( 1691280 1180115 )
NEW met1 ( 1693680 1169755 ) ( 1746000 1169755 )
NEW met3 ( 1746720 1113330 ) ( 2204640 1113330 )
NEW met1 ( 1622160 1180115 ) M1M2_PR
NEW met1 ( 1746000 1169755 ) M1M2_PR
NEW met2 ( 1746000 1119250 ) via2_FR
NEW met3 ( 1746720 1119250 ) M3M4_PR_M
NEW met3 ( 1746720 1113330 ) M3M4_PR_M
NEW met3 ( 2204640 1113330 ) M3M4_PR_M
NEW met3 ( 2204640 1119990 ) M3M4_PR_M
NEW met2 ( 2204880 1119990 ) via2_FR
NEW met1 ( 1691280 1180115 ) M1M2_PR
NEW met1 ( 1691280 1177895 ) M1M2_PR
NEW met1 ( 1693680 1177895 ) M1M2_PR
NEW met1 ( 1693680 1169755 ) M1M2_PR
NEW met3 ( 2204640 1119990 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[39\] ( soc la_input[39] ) ( mgmt_buffers la_data_in_mprj[39] )
+ ROUTED met2 ( 1624080 1160875 ) ( 1624080 1197690 )
NEW met2 ( 1623840 1197690 ) ( 1624080 1197690 )
NEW met2 ( 1623840 1197690 ) ( 1623840 1199170 0 )
NEW met2 ( 1956240 1160875 ) ( 1956240 1161430 )
NEW met2 ( 1956240 1161430 ) ( 1957200 1161430 )
NEW met2 ( 1957200 1161430 ) ( 1957200 1161985 )
NEW met1 ( 1624080 1160875 ) ( 1956240 1160875 )
NEW met2 ( 2093040 1117770 0 ) ( 2093040 1137195 )
NEW met2 ( 2092080 1137195 ) ( 2093040 1137195 )
NEW met2 ( 2092080 1137195 ) ( 2092080 1161985 )
NEW met1 ( 1957200 1161985 ) ( 2092080 1161985 )
NEW met1 ( 1624080 1160875 ) M1M2_PR
NEW met1 ( 1956240 1160875 ) M1M2_PR
NEW met1 ( 1957200 1161985 ) M1M2_PR
NEW met1 ( 2092080 1161985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[3\] ( soc la_input[3] ) ( mgmt_buffers la_data_in_mprj[3] )
+ ROUTED met2 ( 1625520 1179745 ) ( 1625520 1199170 0 )
NEW met2 ( 1973040 1123875 ) ( 1973040 1170865 )
NEW met2 ( 2207040 1117770 0 ) ( 2207040 1119250 )
NEW met2 ( 2206800 1119250 ) ( 2207040 1119250 )
NEW met2 ( 2206800 1119250 ) ( 2206800 1123875 )
NEW met2 ( 1875120 1170865 ) ( 1875120 1179745 )
NEW met1 ( 1625520 1179745 ) ( 1875120 1179745 )
NEW met1 ( 1875120 1170865 ) ( 1973040 1170865 )
NEW met1 ( 1973040 1123875 ) ( 2206800 1123875 )
NEW met1 ( 1625520 1179745 ) M1M2_PR
NEW met1 ( 1973040 1170865 ) M1M2_PR
NEW met1 ( 1973040 1123875 ) M1M2_PR
NEW met1 ( 2206800 1123875 ) M1M2_PR
NEW met1 ( 1875120 1179745 ) M1M2_PR
NEW met1 ( 1875120 1170865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[40\] ( soc la_input[40] ) ( mgmt_buffers la_data_in_mprj[40] )
+ ROUTED met1 ( 1956720 1161985 ) ( 1956720 1162355 )
NEW met2 ( 2091120 1117770 0 ) ( 2091120 1119805 )
NEW met1 ( 2090160 1119805 ) ( 2091120 1119805 )
NEW met2 ( 2090160 1119805 ) ( 2090160 1122025 )
NEW met2 ( 2089680 1122025 ) ( 2090160 1122025 )
NEW met2 ( 2089680 1122025 ) ( 2089680 1162355 )
NEW met2 ( 2089680 1162355 ) ( 2090160 1162355 )
NEW met1 ( 1956720 1162355 ) ( 2090160 1162355 )
NEW met2 ( 1708080 1161985 ) ( 1708080 1195285 )
NEW met1 ( 1627440 1195285 ) ( 1708080 1195285 )
NEW met2 ( 1627440 1195285 ) ( 1627440 1199170 0 )
NEW met1 ( 1708080 1161985 ) ( 1956720 1161985 )
NEW met1 ( 2091120 1119805 ) M1M2_PR
NEW met1 ( 2090160 1119805 ) M1M2_PR
NEW met1 ( 2090160 1162355 ) M1M2_PR
NEW met1 ( 1708080 1161985 ) M1M2_PR
NEW met1 ( 1708080 1195285 ) M1M2_PR
NEW met1 ( 1627440 1195285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[41\] ( soc la_input[41] ) ( mgmt_buffers la_data_in_mprj[41] )
+ ROUTED met2 ( 1629360 1120915 ) ( 1629360 1199170 0 )
NEW met2 ( 2208720 1117770 0 ) ( 2208720 1119065 )
NEW met2 ( 1691760 1119065 ) ( 1691760 1120915 )
NEW met1 ( 1629360 1120915 ) ( 1691760 1120915 )
NEW met2 ( 2089680 1119065 ) ( 2089680 1119250 )
NEW met2 ( 2089680 1119250 ) ( 2090160 1119250 )
NEW met2 ( 2090160 1119065 ) ( 2090160 1119250 )
NEW met1 ( 2090160 1119065 ) ( 2208720 1119065 )
NEW met2 ( 1821360 1119065 ) ( 1821360 1119250 )
NEW met1 ( 1691760 1119065 ) ( 1821360 1119065 )
NEW met2 ( 1897200 1119065 ) ( 1897200 1119250 )
NEW met3 ( 1821360 1119250 ) ( 1897200 1119250 )
NEW met1 ( 1897200 1119065 ) ( 2089680 1119065 )
NEW met1 ( 1629360 1120915 ) M1M2_PR
NEW met1 ( 2208720 1119065 ) M1M2_PR
NEW met1 ( 1691760 1120915 ) M1M2_PR
NEW met1 ( 1691760 1119065 ) M1M2_PR
NEW met1 ( 2089680 1119065 ) M1M2_PR
NEW met1 ( 2090160 1119065 ) M1M2_PR
NEW met1 ( 1821360 1119065 ) M1M2_PR
NEW met2 ( 1821360 1119250 ) via2_FR
NEW met1 ( 1897200 1119065 ) M1M2_PR
NEW met2 ( 1897200 1119250 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[42\] ( soc la_input[42] ) ( mgmt_buffers la_data_in_mprj[42] )
+ ROUTED met2 ( 1631280 1162725 ) ( 1631280 1199170 0 )
NEW met2 ( 2089200 1117770 0 ) ( 2089200 1162725 )
NEW met1 ( 1631280 1162725 ) ( 2089200 1162725 )
NEW met1 ( 1631280 1162725 ) M1M2_PR
NEW met1 ( 2089200 1162725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[43\] ( soc la_input[43] ) ( mgmt_buffers la_data_in_mprj[43] )
+ ROUTED met2 ( 1632960 1197690 ) ( 1633200 1197690 )
NEW met2 ( 1632960 1197690 ) ( 1632960 1199170 0 )
NEW met2 ( 1633200 1134235 ) ( 1633200 1197690 )
NEW met2 ( 2210640 1117770 0 ) ( 2210640 1126465 )
NEW met1 ( 2194800 1126465 ) ( 2210640 1126465 )
NEW met2 ( 2194800 1126465 ) ( 2194800 1131275 )
NEW met1 ( 2159280 1131275 ) ( 2194800 1131275 )
NEW met2 ( 2159280 1131275 ) ( 2159280 1137195 )
NEW met2 ( 2094000 1134235 ) ( 2094000 1137195 )
NEW met1 ( 1633200 1134235 ) ( 2094000 1134235 )
NEW met1 ( 2094000 1137195 ) ( 2159280 1137195 )
NEW met1 ( 1633200 1134235 ) M1M2_PR
NEW met1 ( 2210640 1126465 ) M1M2_PR
NEW met1 ( 2194800 1126465 ) M1M2_PR
NEW met1 ( 2194800 1131275 ) M1M2_PR
NEW met1 ( 2159280 1131275 ) M1M2_PR
NEW met1 ( 2159280 1137195 ) M1M2_PR
NEW met1 ( 2094000 1134235 ) M1M2_PR
NEW met1 ( 2094000 1137195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[44\] ( soc la_input[44] ) ( mgmt_buffers la_data_in_mprj[44] )
+ ROUTED met2 ( 1635120 1163095 ) ( 1635120 1197690 )
NEW met2 ( 1634880 1197690 ) ( 1635120 1197690 )
NEW met2 ( 1634880 1197690 ) ( 1634880 1199170 0 )
NEW met2 ( 2044560 1140710 ) ( 2044560 1159395 )
NEW met1 ( 1999920 1159395 ) ( 2044560 1159395 )
NEW met2 ( 1999920 1159395 ) ( 1999920 1163095 )
NEW met1 ( 1635120 1163095 ) ( 1999920 1163095 )
NEW met2 ( 2046960 1140710 ) ( 2046960 1152365 )
NEW met1 ( 2046960 1152365 ) ( 2087280 1152365 )
NEW met3 ( 2044560 1140710 ) ( 2046960 1140710 )
NEW met2 ( 2087280 1117770 0 ) ( 2087280 1152365 )
NEW met1 ( 1635120 1163095 ) M1M2_PR
NEW met2 ( 2044560 1140710 ) via2_FR
NEW met1 ( 2044560 1159395 ) M1M2_PR
NEW met1 ( 1999920 1159395 ) M1M2_PR
NEW met1 ( 1999920 1163095 ) M1M2_PR
NEW met2 ( 2046960 1140710 ) via2_FR
NEW met1 ( 2046960 1152365 ) M1M2_PR
NEW met1 ( 2087280 1152365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[45\] ( soc la_input[45] ) ( mgmt_buffers la_data_in_mprj[45] )
+ ROUTED met2 ( 1636560 1180485 ) ( 1636560 1199170 0 )
NEW met2 ( 1768080 1177525 ) ( 1768080 1180485 )
NEW met2 ( 2212560 1117770 0 ) ( 2212560 1120545 )
NEW met1 ( 1636560 1180485 ) ( 1768080 1180485 )
NEW met2 ( 1800720 1177525 ) ( 1800720 1178450 )
NEW met3 ( 1800720 1178450 ) ( 1802640 1178450 )
NEW met1 ( 1768080 1177525 ) ( 1800720 1177525 )
NEW met2 ( 2088720 1120545 ) ( 2088720 1123135 )
NEW met1 ( 2088720 1123135 ) ( 2090160 1123135 )
NEW met2 ( 2090160 1122765 ) ( 2090160 1123135 )
NEW met2 ( 2090160 1122765 ) ( 2092560 1122765 )
NEW met2 ( 2092560 1119805 ) ( 2092560 1122765 )
NEW met1 ( 2092560 1119805 ) ( 2138640 1119805 )
NEW met1 ( 2138640 1119805 ) ( 2138640 1120545 )
NEW met1 ( 2138640 1120545 ) ( 2212560 1120545 )
NEW met2 ( 1802640 1119990 ) ( 1802640 1178450 )
NEW met2 ( 1979760 1119990 ) ( 1979760 1120545 )
NEW met3 ( 1802640 1119990 ) ( 1979760 1119990 )
NEW met1 ( 1979760 1120545 ) ( 2088720 1120545 )
NEW met1 ( 1636560 1180485 ) M1M2_PR
NEW met1 ( 1768080 1180485 ) M1M2_PR
NEW met1 ( 1768080 1177525 ) M1M2_PR
NEW met1 ( 2212560 1120545 ) M1M2_PR
NEW met1 ( 1800720 1177525 ) M1M2_PR
NEW met2 ( 1800720 1178450 ) via2_FR
NEW met2 ( 1802640 1178450 ) via2_FR
NEW met1 ( 2088720 1120545 ) M1M2_PR
NEW met1 ( 2088720 1123135 ) M1M2_PR
NEW met1 ( 2090160 1123135 ) M1M2_PR
NEW met1 ( 2092560 1119805 ) M1M2_PR
NEW met2 ( 1802640 1119990 ) via2_FR
NEW met2 ( 1979760 1119990 ) via2_FR
NEW met1 ( 1979760 1120545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[46\] ( soc la_input[46] ) ( mgmt_buffers la_data_in_mprj[46] )
+ ROUTED met2 ( 1638480 1162355 ) ( 1638480 1199170 0 )
NEW met2 ( 1955760 1155695 ) ( 1955760 1162355 )
NEW met1 ( 1955760 1155695 ) ( 1957200 1155695 )
NEW met1 ( 1957200 1155695 ) ( 1957200 1156065 )
NEW met1 ( 1638480 1162355 ) ( 1955760 1162355 )
NEW met1 ( 1957200 1156065 ) ( 2085360 1156065 )
NEW met2 ( 2085600 1117770 0 ) ( 2085600 1119250 )
NEW met2 ( 2085360 1119250 ) ( 2085600 1119250 )
NEW met2 ( 2085360 1119250 ) ( 2085360 1156065 )
NEW met1 ( 1638480 1162355 ) M1M2_PR
NEW met1 ( 1955760 1162355 ) M1M2_PR
NEW met1 ( 1955760 1155695 ) M1M2_PR
NEW met1 ( 2085360 1156065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[47\] ( soc la_input[47] ) ( mgmt_buffers la_data_in_mprj[47] )
+ ROUTED met2 ( 1640400 1120175 ) ( 1640400 1199170 0 )
NEW met2 ( 2214480 1117770 0 ) ( 2214480 1121470 )
NEW met1 ( 1685040 1120175 ) ( 1685040 1120545 )
NEW met1 ( 1640400 1120175 ) ( 1685040 1120175 )
NEW met4 ( 2145120 1118510 ) ( 2145120 1123875 )
NEW met4 ( 2145120 1123875 ) ( 2147040 1123875 )
NEW met4 ( 2147040 1121470 ) ( 2147040 1123875 )
NEW met3 ( 2147040 1121470 ) ( 2214480 1121470 )
NEW met1 ( 1757040 1120545 ) ( 1757040 1121285 )
NEW met1 ( 1757040 1121285 ) ( 1823280 1121285 )
NEW met2 ( 1823280 1120175 ) ( 1823280 1121285 )
NEW met1 ( 1685040 1120545 ) ( 1757040 1120545 )
NEW met2 ( 1892880 1119065 ) ( 1892880 1120175 )
NEW met1 ( 1892880 1119065 ) ( 1896720 1119065 )
NEW met2 ( 1896720 1119065 ) ( 1896720 1119805 )
NEW met1 ( 1823280 1120175 ) ( 1892880 1120175 )
NEW met4 ( 2066400 1118510 ) ( 2066400 1140710 )
NEW met3 ( 2048400 1140710 ) ( 2066400 1140710 )
NEW met2 ( 2048400 1137565 ) ( 2048400 1140710 )
NEW met1 ( 2016240 1137565 ) ( 2048400 1137565 )
NEW met2 ( 2016240 1119805 ) ( 2016240 1137565 )
NEW met1 ( 1896720 1119805 ) ( 2016240 1119805 )
NEW met3 ( 2066400 1118510 ) ( 2145120 1118510 )
NEW met1 ( 1640400 1120175 ) M1M2_PR
NEW met2 ( 2214480 1121470 ) via2_FR
NEW met3 ( 2145120 1118510 ) M3M4_PR_M
NEW met3 ( 2147040 1121470 ) M3M4_PR_M
NEW met1 ( 1823280 1121285 ) M1M2_PR
NEW met1 ( 1823280 1120175 ) M1M2_PR
NEW met1 ( 1892880 1120175 ) M1M2_PR
NEW met1 ( 1892880 1119065 ) M1M2_PR
NEW met1 ( 1896720 1119065 ) M1M2_PR
NEW met1 ( 1896720 1119805 ) M1M2_PR
NEW met3 ( 2066400 1118510 ) M3M4_PR_M
NEW met3 ( 2066400 1140710 ) M3M4_PR_M
NEW met2 ( 2048400 1140710 ) via2_FR
NEW met1 ( 2048400 1137565 ) M1M2_PR
NEW met1 ( 2016240 1137565 ) M1M2_PR
NEW met1 ( 2016240 1119805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[48\] ( soc la_input[48] ) ( mgmt_buffers la_data_in_mprj[48] )
+ ROUTED met2 ( 1576800 1263550 0 ) ( 1577040 1263550 )
NEW met2 ( 1577040 1263550 ) ( 1577040 1271135 )
NEW met2 ( 2232240 1270950 ) ( 2232240 1271135 )
NEW met3 ( 2232240 1270950 ) ( 2240160 1270950 )
NEW met4 ( 2240160 1168830 ) ( 2240160 1270950 )
NEW met3 ( 2083920 1168830 ) ( 2240160 1168830 )
NEW met2 ( 2083680 1117770 0 ) ( 2083680 1119250 )
NEW met2 ( 2083680 1119250 ) ( 2083920 1119250 )
NEW met2 ( 2083920 1119250 ) ( 2083920 1168830 )
NEW met1 ( 1577040 1271135 ) ( 2232240 1271135 )
NEW met3 ( 2240160 1168830 ) M3M4_PR_M
NEW met1 ( 1577040 1271135 ) M1M2_PR
NEW met1 ( 2232240 1271135 ) M1M2_PR
NEW met2 ( 2232240 1270950 ) via2_FR
NEW met3 ( 2240160 1270950 ) M3M4_PR_M
NEW met2 ( 2083920 1168830 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[49\] ( soc la_input[49] ) ( mgmt_buffers la_data_in_mprj[49] )
+ ROUTED met2 ( 1976400 1124245 ) ( 1976400 1169755 )
NEW met2 ( 2216160 1117770 0 ) ( 2216160 1119250 )
NEW met2 ( 2215920 1119250 ) ( 2216160 1119250 )
NEW met2 ( 2215920 1119250 ) ( 2215920 1124245 )
NEW met2 ( 1722480 1173085 ) ( 1722480 1175675 )
NEW met1 ( 1692240 1175675 ) ( 1722480 1175675 )
NEW met2 ( 1692240 1175675 ) ( 1692240 1176230 )
NEW met2 ( 1690800 1176230 ) ( 1692240 1176230 )
NEW met2 ( 1690800 1176230 ) ( 1690800 1176415 )
NEW met1 ( 1642320 1176415 ) ( 1690800 1176415 )
NEW met2 ( 1642320 1176415 ) ( 1642320 1199170 0 )
NEW met2 ( 1893360 1169755 ) ( 1893360 1173085 )
NEW met1 ( 1722480 1173085 ) ( 1893360 1173085 )
NEW met1 ( 1893360 1169755 ) ( 1976400 1169755 )
NEW met1 ( 1976400 1124245 ) ( 2215920 1124245 )
NEW met1 ( 1976400 1169755 ) M1M2_PR
NEW met1 ( 1976400 1124245 ) M1M2_PR
NEW met1 ( 2215920 1124245 ) M1M2_PR
NEW met1 ( 1722480 1173085 ) M1M2_PR
NEW met1 ( 1722480 1175675 ) M1M2_PR
NEW met1 ( 1692240 1175675 ) M1M2_PR
NEW met1 ( 1690800 1176415 ) M1M2_PR
NEW met1 ( 1642320 1176415 ) M1M2_PR
NEW met1 ( 1893360 1173085 ) M1M2_PR
NEW met1 ( 1893360 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[4\] ( soc la_input[4] ) ( mgmt_buffers la_data_in_mprj[4] )
+ ROUTED met2 ( 1578480 1265030 ) ( 1578480 1270210 )
NEW met2 ( 1578480 1265030 ) ( 1578720 1265030 )
NEW met2 ( 1578720 1263550 0 ) ( 1578720 1265030 )
NEW met3 ( 1440480 1144410 ) ( 2082000 1144410 )
NEW met4 ( 1440480 1144410 ) ( 1440480 1270210 )
NEW met3 ( 1440480 1270210 ) ( 1578480 1270210 )
NEW met2 ( 2082000 1117770 0 ) ( 2082000 1144410 )
NEW met2 ( 1578480 1270210 ) via2_FR
NEW met3 ( 1440480 1144410 ) M3M4_PR_M
NEW met2 ( 2082000 1144410 ) via2_FR
NEW met3 ( 1440480 1270210 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[50\] ( soc la_input[50] ) ( mgmt_buffers la_data_in_mprj[50] )
+ ROUTED met2 ( 2218080 1117770 0 ) ( 2218080 1119065 )
NEW met1 ( 2218080 1119065 ) ( 2218080 1119435 )
NEW met1 ( 1644240 1168275 ) ( 1652400 1168275 )
NEW met2 ( 1644240 1168275 ) ( 1644240 1197690 )
NEW met2 ( 1644000 1197690 ) ( 1644240 1197690 )
NEW met2 ( 1644000 1197690 ) ( 1644000 1199170 0 )
NEW met2 ( 1652400 1119435 ) ( 1652400 1168275 )
NEW met2 ( 2090640 1119435 ) ( 2090640 1120175 )
NEW met1 ( 2090640 1120175 ) ( 2138160 1120175 )
NEW met2 ( 2138160 1119435 ) ( 2138160 1120175 )
NEW met1 ( 2138160 1119435 ) ( 2218080 1119435 )
NEW met2 ( 1819440 1119435 ) ( 1819440 1120545 )
NEW met1 ( 1819440 1120545 ) ( 1821840 1120545 )
NEW met2 ( 1821840 1119435 ) ( 1821840 1120545 )
NEW met1 ( 1652400 1119435 ) ( 1819440 1119435 )
NEW met2 ( 1980240 1119435 ) ( 1980240 1120915 )
NEW met1 ( 1980240 1120915 ) ( 2027280 1120915 )
NEW met2 ( 2027280 1119435 ) ( 2027280 1120915 )
NEW met1 ( 1821840 1119435 ) ( 1980240 1119435 )
NEW met1 ( 2027280 1119435 ) ( 2090640 1119435 )
NEW met1 ( 2218080 1119065 ) M1M2_PR
NEW met1 ( 1652400 1168275 ) M1M2_PR
NEW met1 ( 1644240 1168275 ) M1M2_PR
NEW met1 ( 1652400 1119435 ) M1M2_PR
NEW met1 ( 2090640 1119435 ) M1M2_PR
NEW met1 ( 2090640 1120175 ) M1M2_PR
NEW met1 ( 2138160 1120175 ) M1M2_PR
NEW met1 ( 2138160 1119435 ) M1M2_PR
NEW met1 ( 1819440 1119435 ) M1M2_PR
NEW met1 ( 1819440 1120545 ) M1M2_PR
NEW met1 ( 1821840 1120545 ) M1M2_PR
NEW met1 ( 1821840 1119435 ) M1M2_PR
NEW met1 ( 1980240 1119435 ) M1M2_PR
NEW met1 ( 1980240 1120915 ) M1M2_PR
NEW met1 ( 2027280 1120915 ) M1M2_PR
NEW met1 ( 2027280 1119435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[51\] ( soc la_input[51] ) ( mgmt_buffers la_data_in_mprj[51] )
+ ROUTED met3 ( 2233680 1196210 ) ( 2238240 1196210 )
NEW met2 ( 1580400 1263550 ) ( 1580640 1263550 0 )
NEW met2 ( 1580400 1263550 ) ( 1580400 1272245 )
NEW met2 ( 2146320 1128870 ) ( 2146320 1131275 )
NEW met1 ( 2146320 1131275 ) ( 2148720 1131275 )
NEW met2 ( 2148720 1131275 ) ( 2148720 1133865 )
NEW met1 ( 2148720 1133865 ) ( 2233680 1133865 )
NEW met2 ( 2233680 1133865 ) ( 2233680 1196210 )
NEW met2 ( 2232240 1271690 ) ( 2232240 1272245 )
NEW met3 ( 2232240 1271690 ) ( 2238240 1271690 )
NEW met4 ( 2238240 1196210 ) ( 2238240 1271690 )
NEW met1 ( 2080080 1137935 ) ( 2116080 1137935 )
NEW met2 ( 2080080 1117770 0 ) ( 2080080 1137935 )
NEW met2 ( 2116080 1128870 ) ( 2116080 1137935 )
NEW met3 ( 2116080 1128870 ) ( 2146320 1128870 )
NEW met1 ( 1580400 1272245 ) ( 2232240 1272245 )
NEW met2 ( 2233680 1196210 ) via2_FR
NEW met3 ( 2238240 1196210 ) M3M4_PR_M
NEW met1 ( 1580400 1272245 ) M1M2_PR
NEW met2 ( 2146320 1128870 ) via2_FR
NEW met1 ( 2146320 1131275 ) M1M2_PR
NEW met1 ( 2148720 1131275 ) M1M2_PR
NEW met1 ( 2148720 1133865 ) M1M2_PR
NEW met1 ( 2233680 1133865 ) M1M2_PR
NEW met1 ( 2232240 1272245 ) M1M2_PR
NEW met2 ( 2232240 1271690 ) via2_FR
NEW met3 ( 2238240 1271690 ) M3M4_PR_M
NEW met1 ( 2080080 1137935 ) M1M2_PR
NEW met1 ( 2116080 1137935 ) M1M2_PR
NEW met2 ( 2116080 1128870 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[52\] ( soc la_input[52] ) ( mgmt_buffers la_data_in_mprj[52] )
+ ROUTED met2 ( 2219760 1117770 0 ) ( 2219760 1127575 )
NEW met2 ( 1645920 1197690 ) ( 1646160 1197690 )
NEW met2 ( 1645920 1197690 ) ( 1645920 1199170 0 )
NEW met1 ( 1646160 1137195 ) ( 1741200 1137195 )
NEW met2 ( 1741200 1133865 ) ( 1741200 1137195 )
NEW met2 ( 1646160 1137195 ) ( 1646160 1197690 )
NEW met1 ( 2094000 1133495 ) ( 2094000 1133865 )
NEW met1 ( 1741200 1133865 ) ( 2094000 1133865 )
NEW met1 ( 2146320 1133125 ) ( 2146320 1133495 )
NEW met1 ( 2146320 1133125 ) ( 2168880 1133125 )
NEW met2 ( 2168880 1127575 ) ( 2168880 1133125 )
NEW met1 ( 2094000 1133495 ) ( 2146320 1133495 )
NEW met1 ( 2168880 1127575 ) ( 2219760 1127575 )
NEW met1 ( 2219760 1127575 ) M1M2_PR
NEW met1 ( 1646160 1137195 ) M1M2_PR
NEW met1 ( 1741200 1137195 ) M1M2_PR
NEW met1 ( 1741200 1133865 ) M1M2_PR
NEW met1 ( 2168880 1133125 ) M1M2_PR
NEW met1 ( 2168880 1127575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[53\] ( soc la_input[53] ) ( mgmt_buffers la_data_in_mprj[53] )
+ ROUTED met2 ( 1800240 1141265 ) ( 1800240 1151810 )
NEW met2 ( 1582320 1263550 0 ) ( 1582320 1268730 )
NEW met3 ( 1441440 1151810 ) ( 1800240 1151810 )
NEW met4 ( 1441440 1151810 ) ( 1441440 1268730 )
NEW met3 ( 1441440 1268730 ) ( 1582320 1268730 )
NEW met2 ( 2078160 1117770 0 ) ( 2078160 1128315 )
NEW met1 ( 2057040 1128315 ) ( 2078160 1128315 )
NEW met2 ( 2057040 1128315 ) ( 2057040 1141265 )
NEW met1 ( 1800240 1141265 ) ( 2057040 1141265 )
NEW met1 ( 1800240 1141265 ) M1M2_PR
NEW met2 ( 1800240 1151810 ) via2_FR
NEW met2 ( 1582320 1268730 ) via2_FR
NEW met3 ( 1441440 1151810 ) M3M4_PR_M
NEW met3 ( 1441440 1268730 ) M3M4_PR_M
NEW met1 ( 2078160 1128315 ) M1M2_PR
NEW met1 ( 2057040 1128315 ) M1M2_PR
NEW met1 ( 2057040 1141265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[54\] ( soc la_input[54] ) ( mgmt_buffers la_data_in_mprj[54] )
+ ROUTED met2 ( 1763280 1168275 ) ( 1763280 1170495 )
NEW met1 ( 1763280 1168275 ) ( 1835760 1168275 )
NEW met2 ( 2221680 1117770 0 ) ( 2221680 1121285 )
NEW met2 ( 1734000 1170495 ) ( 1734000 1177895 )
NEW met1 ( 1695120 1177895 ) ( 1734000 1177895 )
NEW met2 ( 1695120 1172345 ) ( 1695120 1177895 )
NEW met1 ( 1647600 1172345 ) ( 1695120 1172345 )
NEW met2 ( 1647600 1172345 ) ( 1647600 1199170 0 )
NEW met1 ( 1734000 1170495 ) ( 1763280 1170495 )
NEW met2 ( 1835760 1120730 ) ( 1835760 1168275 )
NEW met2 ( 1959600 1120730 ) ( 1959600 1121285 )
NEW met3 ( 1835760 1120730 ) ( 1959600 1120730 )
NEW met1 ( 1959600 1121285 ) ( 2221680 1121285 )
NEW met1 ( 1763280 1170495 ) M1M2_PR
NEW met1 ( 1763280 1168275 ) M1M2_PR
NEW met1 ( 1835760 1168275 ) M1M2_PR
NEW met1 ( 2221680 1121285 ) M1M2_PR
NEW met1 ( 1734000 1170495 ) M1M2_PR
NEW met1 ( 1734000 1177895 ) M1M2_PR
NEW met1 ( 1695120 1177895 ) M1M2_PR
NEW met1 ( 1695120 1172345 ) M1M2_PR
NEW met1 ( 1647600 1172345 ) M1M2_PR
NEW met2 ( 1835760 1120730 ) via2_FR
NEW met2 ( 1959600 1120730 ) via2_FR
NEW met1 ( 1959600 1121285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[55\] ( soc la_input[55] ) ( mgmt_buffers la_data_in_mprj[55] )
+ ROUTED met2 ( 1584240 1263550 0 ) ( 1584240 1273725 )
NEW met2 ( 2203440 1272430 ) ( 2203440 1273725 )
NEW met3 ( 2203440 1272430 ) ( 2209440 1272430 )
NEW met4 ( 2209440 1151810 ) ( 2209440 1272430 )
NEW met3 ( 2076240 1151810 ) ( 2209440 1151810 )
NEW met2 ( 2076240 1117770 0 ) ( 2076240 1151810 )
NEW met1 ( 1584240 1273725 ) ( 2203440 1273725 )
NEW met3 ( 2209440 1151810 ) M3M4_PR_M
NEW met1 ( 1584240 1273725 ) M1M2_PR
NEW met1 ( 2203440 1273725 ) M1M2_PR
NEW met2 ( 2203440 1272430 ) via2_FR
NEW met3 ( 2209440 1272430 ) M3M4_PR_M
NEW met2 ( 2076240 1151810 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[56\] ( soc la_input[56] ) ( mgmt_buffers la_data_in_mprj[56] )
+ ROUTED met2 ( 1975920 1124615 ) ( 1975920 1170495 )
NEW met2 ( 2223600 1117770 0 ) ( 2223600 1124985 )
NEW met1 ( 2181840 1124985 ) ( 2223600 1124985 )
NEW met1 ( 2181840 1124615 ) ( 2181840 1124985 )
NEW met1 ( 1722000 1172715 ) ( 1722000 1173085 )
NEW met1 ( 1649520 1173085 ) ( 1722000 1173085 )
NEW met2 ( 1649520 1173085 ) ( 1649520 1199170 0 )
NEW met2 ( 1879920 1170495 ) ( 1879920 1172715 )
NEW met1 ( 1722000 1172715 ) ( 1879920 1172715 )
NEW met1 ( 1879920 1170495 ) ( 1975920 1170495 )
NEW met1 ( 1975920 1124615 ) ( 2181840 1124615 )
NEW met1 ( 1975920 1170495 ) M1M2_PR
NEW met1 ( 1975920 1124615 ) M1M2_PR
NEW met1 ( 2223600 1124985 ) M1M2_PR
NEW met1 ( 1649520 1173085 ) M1M2_PR
NEW met1 ( 1879920 1172715 ) M1M2_PR
NEW met1 ( 1879920 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[57\] ( soc la_input[57] ) ( mgmt_buffers la_data_in_mprj[57] )
+ ROUTED met3 ( 2233200 1196950 ) ( 2239200 1196950 )
NEW met2 ( 1586160 1263550 0 ) ( 1586160 1282790 )
NEW met2 ( 2233200 1135715 ) ( 2233200 1196950 )
NEW met4 ( 2239200 1196950 ) ( 2239200 1282790 )
NEW met1 ( 2074800 1140155 ) ( 2116560 1140155 )
NEW met2 ( 2074560 1117770 0 ) ( 2074560 1119250 )
NEW met2 ( 2074560 1119250 ) ( 2074800 1119250 )
NEW met2 ( 2074800 1119250 ) ( 2074800 1140155 )
NEW met2 ( 2116560 1135715 ) ( 2116560 1140155 )
NEW met1 ( 2116560 1135715 ) ( 2233200 1135715 )
NEW met3 ( 1586160 1282790 ) ( 2239200 1282790 )
NEW met2 ( 2233200 1196950 ) via2_FR
NEW met3 ( 2239200 1196950 ) M3M4_PR_M
NEW met2 ( 1586160 1282790 ) via2_FR
NEW met1 ( 2233200 1135715 ) M1M2_PR
NEW met3 ( 2239200 1282790 ) M3M4_PR_M
NEW met1 ( 2074800 1140155 ) M1M2_PR
NEW met1 ( 2116560 1140155 ) M1M2_PR
NEW met1 ( 2116560 1135715 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[58\] ( soc la_input[58] ) ( mgmt_buffers la_data_in_mprj[58] )
+ ROUTED met2 ( 2225520 1117770 0 ) ( 2225520 1119065 )
NEW met1 ( 2225520 1118695 ) ( 2225520 1119065 )
NEW met1 ( 1651440 1118695 ) ( 1651440 1119065 )
NEW met2 ( 1651440 1119065 ) ( 1651440 1199170 0 )
NEW met1 ( 1821840 1118695 ) ( 1821840 1119065 )
NEW met1 ( 1821840 1119065 ) ( 1871280 1119065 )
NEW met1 ( 1871280 1118695 ) ( 1871280 1119065 )
NEW met1 ( 1651440 1118695 ) ( 1821840 1118695 )
NEW met1 ( 1871280 1118695 ) ( 2225520 1118695 )
NEW met1 ( 2225520 1119065 ) M1M2_PR
NEW met1 ( 1651440 1119065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[59\] ( soc la_input[59] ) ( mgmt_buffers la_data_in_mprj[59] )
+ ROUTED met2 ( 1587840 1263550 0 ) ( 1588080 1263550 )
NEW met2 ( 1588080 1263550 ) ( 1588080 1271505 )
NEW met2 ( 2221680 1270210 ) ( 2221680 1271505 )
NEW met3 ( 2221680 1270210 ) ( 2256480 1270210 )
NEW met1 ( 1588080 1271505 ) ( 2221680 1271505 )
NEW met4 ( 2256480 1169570 ) ( 2256480 1270210 )
NEW met2 ( 2072640 1117770 0 ) ( 2072640 1119250 )
NEW met2 ( 2071920 1119250 ) ( 2072640 1119250 )
NEW met2 ( 2071920 1119250 ) ( 2071920 1169570 )
NEW met3 ( 2071920 1169570 ) ( 2256480 1169570 )
NEW met1 ( 1588080 1271505 ) M1M2_PR
NEW met3 ( 2256480 1169570 ) M3M4_PR_M
NEW met1 ( 2221680 1271505 ) M1M2_PR
NEW met2 ( 2221680 1270210 ) via2_FR
NEW met3 ( 2256480 1270210 ) M3M4_PR_M
NEW met2 ( 2071920 1169570 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[5\] ( soc la_input[5] ) ( mgmt_buffers la_data_in_mprj[5] )
+ ROUTED met2 ( 2227200 1117770 0 ) ( 2227200 1119250 )
NEW met2 ( 2226960 1119250 ) ( 2227200 1119250 )
NEW met2 ( 2226960 1119250 ) ( 2226960 1162170 )
NEW met2 ( 1653360 1162170 ) ( 1653360 1199170 0 )
NEW met3 ( 1653360 1162170 ) ( 2226960 1162170 )
NEW met2 ( 2226960 1162170 ) via2_FR
NEW met2 ( 1653360 1162170 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[60\] ( soc la_input[60] ) ( mgmt_buffers la_data_in_mprj[60] )
+ ROUTED met2 ( 1589760 1263550 0 ) ( 1589760 1264290 )
NEW met2 ( 1589760 1264290 ) ( 1590000 1264290 )
NEW met2 ( 1590000 1264290 ) ( 1590000 1283530 )
NEW met4 ( 2219040 1139230 ) ( 2219040 1283530 )
NEW met3 ( 2070960 1139230 ) ( 2219040 1139230 )
NEW met2 ( 2070960 1117770 0 ) ( 2070960 1139230 )
NEW met3 ( 1590000 1283530 ) ( 2219040 1283530 )
NEW met3 ( 2219040 1139230 ) M3M4_PR_M
NEW met2 ( 1590000 1283530 ) via2_FR
NEW met3 ( 2219040 1283530 ) M3M4_PR_M
NEW met2 ( 2070960 1139230 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[61\] ( soc la_input[61] ) ( mgmt_buffers la_data_in_mprj[61] )
+ ROUTED met2 ( 2229120 1117770 0 ) ( 2229120 1119250 )
NEW met2 ( 2228880 1119250 ) ( 2229120 1119250 )
NEW met2 ( 2228880 1119250 ) ( 2228880 1163650 )
NEW met2 ( 1655280 1163650 ) ( 1655280 1197690 )
NEW met2 ( 1655040 1197690 ) ( 1655280 1197690 )
NEW met2 ( 1655040 1197690 ) ( 1655040 1199170 0 )
NEW met3 ( 1655280 1163650 ) ( 2228880 1163650 )
NEW met2 ( 2228880 1163650 ) via2_FR
NEW met2 ( 1655280 1163650 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[62\] ( soc la_input[62] ) ( mgmt_buffers la_data_in_mprj[62] )
+ ROUTED met2 ( 2231760 1179745 ) ( 2231760 1196765 )
NEW met1 ( 2231760 1196765 ) ( 2239920 1196765 )
NEW met2 ( 2239920 1196765 ) ( 2239920 1196950 )
NEW met2 ( 1591440 1263550 ) ( 1591680 1263550 0 )
NEW met2 ( 1591440 1263550 ) ( 1591440 1271875 )
NEW met3 ( 2239920 1196950 ) ( 2250720 1196950 )
NEW met2 ( 2226960 1267250 ) ( 2226960 1271875 )
NEW met3 ( 2226960 1267250 ) ( 2250720 1267250 )
NEW met1 ( 1591440 1271875 ) ( 2226960 1271875 )
NEW met4 ( 2250720 1196950 ) ( 2250720 1267250 )
NEW met2 ( 2069040 1117770 0 ) ( 2069040 1147185 )
NEW met2 ( 2069040 1147185 ) ( 2069520 1147185 )
NEW met2 ( 2069520 1147185 ) ( 2069520 1169385 )
NEW met2 ( 2125680 1169385 ) ( 2125680 1179745 )
NEW met1 ( 2069520 1169385 ) ( 2125680 1169385 )
NEW met1 ( 2125680 1179745 ) ( 2231760 1179745 )
NEW met1 ( 2231760 1179745 ) M1M2_PR
NEW met1 ( 2231760 1196765 ) M1M2_PR
NEW met1 ( 2239920 1196765 ) M1M2_PR
NEW met2 ( 2239920 1196950 ) via2_FR
NEW met1 ( 1591440 1271875 ) M1M2_PR
NEW met3 ( 2250720 1196950 ) M3M4_PR_M
NEW met1 ( 2226960 1271875 ) M1M2_PR
NEW met2 ( 2226960 1267250 ) via2_FR
NEW met3 ( 2250720 1267250 ) M3M4_PR_M
NEW met1 ( 2069520 1169385 ) M1M2_PR
NEW met1 ( 2125680 1169385 ) M1M2_PR
NEW met1 ( 2125680 1179745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[63\] ( soc la_input[63] ) ( mgmt_buffers la_data_in_mprj[63] )
+ ROUTED met2 ( 2230800 1117770 0 ) ( 2230800 1172345 )
NEW met2 ( 1656960 1197690 ) ( 1657200 1197690 )
NEW met2 ( 1656960 1197690 ) ( 1656960 1199170 0 )
NEW met1 ( 1657200 1187885 ) ( 1692720 1187885 )
NEW met2 ( 1657200 1187885 ) ( 1657200 1197690 )
NEW met2 ( 1950960 1177895 ) ( 1950960 1180855 )
NEW met1 ( 1950960 1180855 ) ( 1997520 1180855 )
NEW met2 ( 1997520 1177895 ) ( 1997520 1180855 )
NEW met3 ( 1692720 1179930 ) ( 1785360 1179930 )
NEW met2 ( 1785360 1177895 ) ( 1785360 1179930 )
NEW met2 ( 1692720 1179930 ) ( 1692720 1187885 )
NEW met1 ( 1785360 1177895 ) ( 1950960 1177895 )
NEW met2 ( 2088240 1177895 ) ( 2088720 1177895 )
NEW met2 ( 2088720 1173085 ) ( 2088720 1177895 )
NEW met1 ( 2088720 1173085 ) ( 2099760 1173085 )
NEW met2 ( 2099760 1168275 ) ( 2099760 1173085 )
NEW met1 ( 2099760 1168275 ) ( 2130960 1168275 )
NEW met2 ( 2130960 1168275 ) ( 2130960 1172345 )
NEW met1 ( 1997520 1177895 ) ( 2088240 1177895 )
NEW met1 ( 2130960 1172345 ) ( 2230800 1172345 )
NEW met1 ( 2230800 1172345 ) M1M2_PR
NEW met1 ( 1657200 1187885 ) M1M2_PR
NEW met1 ( 1692720 1187885 ) M1M2_PR
NEW met1 ( 1950960 1177895 ) M1M2_PR
NEW met1 ( 1950960 1180855 ) M1M2_PR
NEW met1 ( 1997520 1180855 ) M1M2_PR
NEW met1 ( 1997520 1177895 ) M1M2_PR
NEW met2 ( 1692720 1179930 ) via2_FR
NEW met2 ( 1785360 1179930 ) via2_FR
NEW met1 ( 1785360 1177895 ) M1M2_PR
NEW met1 ( 2088240 1177895 ) M1M2_PR
NEW met1 ( 2088720 1173085 ) M1M2_PR
NEW met1 ( 2099760 1173085 ) M1M2_PR
NEW met1 ( 2099760 1168275 ) M1M2_PR
NEW met1 ( 2130960 1168275 ) M1M2_PR
NEW met1 ( 2130960 1172345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[64\] ( soc la_input[64] ) ( mgmt_buffers la_data_in_mprj[64] )
+ ROUTED met3 ( 1950240 1159210 ) ( 1950240 1159950 )
NEW met2 ( 1593360 1263550 0 ) ( 1593360 1267250 )
NEW met3 ( 1444320 1159210 ) ( 1950240 1159210 )
NEW met3 ( 1950240 1159950 ) ( 2067120 1159950 )
NEW met4 ( 1444320 1159210 ) ( 1444320 1267250 )
NEW met3 ( 1444320 1267250 ) ( 1593360 1267250 )
NEW met2 ( 2067120 1117770 0 ) ( 2067120 1159950 )
NEW met2 ( 1593360 1267250 ) via2_FR
NEW met3 ( 1444320 1159210 ) M3M4_PR_M
NEW met2 ( 2067120 1159950 ) via2_FR
NEW met3 ( 1444320 1267250 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[65\] ( soc la_input[65] ) ( mgmt_buffers la_data_in_mprj[65] )
+ ROUTED met2 ( 2232720 1117770 0 ) ( 2232720 1161430 )
NEW met2 ( 1658640 1161430 ) ( 1658640 1199170 0 )
NEW met3 ( 1658640 1161430 ) ( 2232720 1161430 )
NEW met2 ( 2232720 1161430 ) via2_FR
NEW met2 ( 1658640 1161430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[66\] ( soc la_input[66] ) ( mgmt_buffers la_data_in_mprj[66] )
+ ROUTED met2 ( 2032080 1147185 ) ( 2032080 1156250 )
NEW met3 ( 1948560 1156250 ) ( 2032080 1156250 )
NEW met2 ( 1948560 1156250 ) ( 1948560 1160690 )
NEW met2 ( 1595280 1263550 0 ) ( 1595280 1284270 )
NEW met3 ( 1435680 1160690 ) ( 1948560 1160690 )
NEW met1 ( 2032080 1147185 ) ( 2065200 1147185 )
NEW met2 ( 2065200 1117770 0 ) ( 2065200 1147185 )
NEW met3 ( 1435680 1284270 ) ( 1439520 1284270 )
NEW met3 ( 1439520 1284085 ) ( 1439520 1284270 )
NEW met3 ( 1439520 1284085 ) ( 1440240 1284085 )
NEW met3 ( 1440240 1284085 ) ( 1440240 1284270 )
NEW met4 ( 1435680 1160690 ) ( 1435680 1284270 )
NEW met3 ( 1440240 1284270 ) ( 1595280 1284270 )
NEW met3 ( 1435680 1160690 ) M3M4_PR_M
NEW met1 ( 2032080 1147185 ) M1M2_PR
NEW met2 ( 2032080 1156250 ) via2_FR
NEW met2 ( 1948560 1156250 ) via2_FR
NEW met2 ( 1948560 1160690 ) via2_FR
NEW met2 ( 1595280 1284270 ) via2_FR
NEW met1 ( 2065200 1147185 ) M1M2_PR
NEW met3 ( 1435680 1284270 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[67\] ( soc la_input[67] ) ( mgmt_buffers la_data_in_mprj[67] )
+ ROUTED met2 ( 1660560 1187145 ) ( 1660560 1199170 0 )
NEW met2 ( 2234640 1117770 0 ) ( 2234640 1121285 )
NEW met2 ( 2234160 1121285 ) ( 2234640 1121285 )
NEW met2 ( 2234160 1121285 ) ( 2234160 1187145 )
NEW met1 ( 1660560 1187145 ) ( 2234160 1187145 )
NEW met1 ( 1660560 1187145 ) M1M2_PR
NEW met1 ( 2234160 1187145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[68\] ( soc la_input[68] ) ( mgmt_buffers la_data_in_mprj[68] )
+ ROUTED met3 ( 1949280 1159950 ) ( 1949280 1160690 )
NEW met3 ( 1583520 1267990 ) ( 1583520 1268730 )
NEW met3 ( 1583520 1268730 ) ( 1597200 1268730 )
NEW met2 ( 1597200 1263550 0 ) ( 1597200 1268730 )
NEW met3 ( 1442400 1159950 ) ( 1949280 1159950 )
NEW met4 ( 1442400 1159950 ) ( 1442400 1267990 )
NEW met3 ( 1442400 1267990 ) ( 1583520 1267990 )
NEW met2 ( 2063280 1119250 ) ( 2063280 1160690 )
NEW met2 ( 2063280 1119250 ) ( 2063520 1119250 )
NEW met2 ( 2063520 1117770 0 ) ( 2063520 1119250 )
NEW met3 ( 1949280 1160690 ) ( 2063280 1160690 )
NEW met2 ( 1597200 1268730 ) via2_FR
NEW met3 ( 1442400 1159950 ) M3M4_PR_M
NEW met3 ( 1442400 1267990 ) M3M4_PR_M
NEW met2 ( 2063280 1160690 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[69\] ( soc la_input[69] ) ( mgmt_buffers la_data_in_mprj[69] )
+ ROUTED met2 ( 2236560 1117770 0 ) ( 2236560 1186775 )
NEW met2 ( 1662480 1186775 ) ( 1662480 1199170 0 )
NEW met1 ( 1662480 1186775 ) ( 2236560 1186775 )
NEW met1 ( 2236560 1186775 ) M1M2_PR
NEW met1 ( 1662480 1186775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[6\] ( soc la_input[6] ) ( mgmt_buffers la_data_in_mprj[6] )
+ ROUTED met4 ( 2205600 1171050 ) ( 2205600 1196950 )
NEW met3 ( 2205600 1196950 ) ( 2212320 1196950 )
NEW met2 ( 1598880 1263550 0 ) ( 1599120 1263550 )
NEW met2 ( 1599120 1263550 ) ( 1599120 1274835 )
NEW met2 ( 2203920 1271690 ) ( 2203920 1274835 )
NEW met3 ( 2203920 1271690 ) ( 2212320 1271690 )
NEW met4 ( 2212320 1196950 ) ( 2212320 1271690 )
NEW met3 ( 2061840 1171050 ) ( 2205600 1171050 )
NEW met2 ( 2061600 1117770 0 ) ( 2061600 1119250 )
NEW met2 ( 2061600 1119250 ) ( 2061840 1119250 )
NEW met2 ( 2061840 1119250 ) ( 2061840 1171050 )
NEW met1 ( 1599120 1274835 ) ( 2203920 1274835 )
NEW met3 ( 2205600 1171050 ) M3M4_PR_M
NEW met3 ( 2205600 1196950 ) M3M4_PR_M
NEW met3 ( 2212320 1196950 ) M3M4_PR_M
NEW met1 ( 1599120 1274835 ) M1M2_PR
NEW met1 ( 2203920 1274835 ) M1M2_PR
NEW met2 ( 2203920 1271690 ) via2_FR
NEW met3 ( 2212320 1271690 ) M3M4_PR_M
NEW met2 ( 2061840 1171050 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[70\] ( soc la_input[70] ) ( mgmt_buffers la_data_in_mprj[70] )
+ ROUTED met2 ( 2238240 1117770 0 ) ( 2238240 1119250 )
NEW met2 ( 2238000 1119250 ) ( 2238240 1119250 )
NEW met2 ( 2238000 1119250 ) ( 2238000 1164390 )
NEW met2 ( 1664400 1164390 ) ( 1664400 1199170 0 )
NEW met3 ( 1664400 1164390 ) ( 2238000 1164390 )
NEW met2 ( 2238000 1164390 ) via2_FR
NEW met2 ( 1664400 1164390 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[71\] ( soc la_input[71] ) ( mgmt_buffers la_data_in_mprj[71] )
+ ROUTED met2 ( 2226480 1196950 ) ( 2226480 1197505 )
NEW met3 ( 2226480 1196950 ) ( 2226720 1196950 )
NEW met2 ( 1600800 1263550 0 ) ( 1600800 1264290 )
NEW met2 ( 1600800 1264290 ) ( 1601040 1264290 )
NEW met2 ( 1601040 1264290 ) ( 1601040 1284270 )
NEW met4 ( 2226720 1196950 ) ( 2226720 1284270 )
NEW met3 ( 1601040 1284270 ) ( 2226720 1284270 )
NEW met2 ( 2059920 1117770 0 ) ( 2059920 1156065 )
NEW met2 ( 2059920 1156065 ) ( 2060400 1156065 )
NEW met2 ( 2060400 1156065 ) ( 2060400 1197505 )
NEW met1 ( 2060400 1197505 ) ( 2226480 1197505 )
NEW met1 ( 2226480 1197505 ) M1M2_PR
NEW met2 ( 2226480 1196950 ) via2_FR
NEW met3 ( 2226720 1196950 ) M3M4_PR_M
NEW met2 ( 1601040 1284270 ) via2_FR
NEW met3 ( 2226720 1284270 ) M3M4_PR_M
NEW met1 ( 2060400 1197505 ) M1M2_PR
NEW met3 ( 2226480 1196950 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[72\] ( soc la_input[72] ) ( mgmt_buffers la_data_in_mprj[72] )
+ ROUTED met2 ( 2240160 1117770 0 ) ( 2240160 1119250 )
NEW met2 ( 2240160 1119250 ) ( 2240400 1119250 )
NEW met2 ( 2240400 1119250 ) ( 2240400 1185665 )
NEW met2 ( 1666320 1185665 ) ( 1666320 1197690 )
NEW met2 ( 1666080 1197690 ) ( 1666320 1197690 )
NEW met2 ( 1666080 1197690 ) ( 1666080 1199170 0 )
NEW met1 ( 1666320 1185665 ) ( 2240400 1185665 )
NEW met1 ( 2240400 1185665 ) M1M2_PR
NEW met1 ( 1666320 1185665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[73\] ( soc la_input[73] ) ( mgmt_buffers la_data_in_mprj[73] )
+ ROUTED met3 ( 2240880 1196210 ) ( 2244000 1196210 )
NEW met2 ( 1602480 1263550 ) ( 1602720 1263550 0 )
NEW met2 ( 1602480 1263550 ) ( 1602480 1280570 )
NEW met2 ( 2240880 1125725 ) ( 2240880 1196210 )
NEW met4 ( 2244000 1196210 ) ( 2244000 1281310 )
NEW met2 ( 2058000 1138490 ) ( 2058480 1138490 )
NEW met2 ( 2058480 1138490 ) ( 2058480 1141265 )
NEW met1 ( 2058480 1141265 ) ( 2115600 1141265 )
NEW met2 ( 2058000 1117770 0 ) ( 2058000 1138490 )
NEW met2 ( 2115600 1125725 ) ( 2115600 1141265 )
NEW met1 ( 2115600 1125725 ) ( 2240880 1125725 )
NEW met2 ( 2045040 1279090 ) ( 2045040 1280570 )
NEW met3 ( 2045040 1279090 ) ( 2107920 1279090 )
NEW met2 ( 2107920 1279090 ) ( 2107920 1279645 )
NEW met1 ( 2107920 1279645 ) ( 2122320 1279645 )
NEW met2 ( 2122320 1279645 ) ( 2122320 1281310 )
NEW met3 ( 1602480 1280570 ) ( 2045040 1280570 )
NEW met3 ( 2122320 1281310 ) ( 2244000 1281310 )
NEW met2 ( 2240880 1196210 ) via2_FR
NEW met3 ( 2244000 1196210 ) M3M4_PR_M
NEW met2 ( 1602480 1280570 ) via2_FR
NEW met1 ( 2240880 1125725 ) M1M2_PR
NEW met3 ( 2244000 1281310 ) M3M4_PR_M
NEW met1 ( 2058480 1141265 ) M1M2_PR
NEW met1 ( 2115600 1141265 ) M1M2_PR
NEW met1 ( 2115600 1125725 ) M1M2_PR
NEW met2 ( 2045040 1280570 ) via2_FR
NEW met2 ( 2045040 1279090 ) via2_FR
NEW met2 ( 2107920 1279090 ) via2_FR
NEW met1 ( 2107920 1279645 ) M1M2_PR
NEW met1 ( 2122320 1279645 ) M1M2_PR
NEW met2 ( 2122320 1281310 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[74\] ( soc la_input[74] ) ( mgmt_buffers la_data_in_mprj[74] )
+ ROUTED met2 ( 2180400 1148665 ) ( 2180400 1159025 )
NEW met1 ( 2180400 1148665 ) ( 2219280 1148665 )
NEW met1 ( 2219280 1148665 ) ( 2219280 1149035 )
NEW met1 ( 2219280 1149035 ) ( 2227440 1149035 )
NEW met1 ( 2227440 1148665 ) ( 2227440 1149035 )
NEW met1 ( 2227440 1148665 ) ( 2241840 1148665 )
NEW met2 ( 2241840 1117770 0 ) ( 2241840 1148665 )
NEW met2 ( 1668240 1159025 ) ( 1668240 1197690 )
NEW met2 ( 1668000 1197690 ) ( 1668240 1197690 )
NEW met2 ( 1668000 1197690 ) ( 1668000 1199170 0 )
NEW met1 ( 1668240 1159025 ) ( 2180400 1159025 )
NEW met1 ( 2180400 1159025 ) M1M2_PR
NEW met1 ( 2180400 1148665 ) M1M2_PR
NEW met1 ( 2241840 1148665 ) M1M2_PR
NEW met1 ( 1668240 1159025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[75\] ( soc la_input[75] ) ( mgmt_buffers la_data_in_mprj[75] )
+ ROUTED met2 ( 2056080 1117770 0 ) ( 2056080 1155510 )
NEW met2 ( 2055600 1155510 ) ( 2056080 1155510 )
NEW met2 ( 1456080 1197505 ) ( 1456080 1197690 )
NEW met3 ( 1455840 1197690 ) ( 1456080 1197690 )
NEW met2 ( 1604400 1263550 0 ) ( 1604400 1274095 )
NEW met2 ( 2009520 1196395 ) ( 2009520 1197505 )
NEW met1 ( 2009520 1197505 ) ( 2032560 1197505 )
NEW met1 ( 2032560 1197135 ) ( 2032560 1197505 )
NEW met1 ( 2032560 1197135 ) ( 2051760 1197135 )
NEW met1 ( 2051760 1196765 ) ( 2051760 1197135 )
NEW met1 ( 2051760 1196765 ) ( 2055600 1196765 )
NEW met2 ( 2055600 1155510 ) ( 2055600 1196765 )
NEW met2 ( 1907760 1197505 ) ( 1907760 1198245 )
NEW met1 ( 1907760 1198245 ) ( 1944720 1198245 )
NEW met2 ( 1944720 1196395 ) ( 1944720 1198245 )
NEW met1 ( 1944720 1196395 ) ( 2009520 1196395 )
NEW met3 ( 1455840 1265030 ) ( 1476720 1265030 )
NEW met2 ( 1476720 1265030 ) ( 1476720 1274095 )
NEW met4 ( 1455840 1197690 ) ( 1455840 1265030 )
NEW met1 ( 1476720 1274095 ) ( 1604400 1274095 )
NEW met1 ( 1456080 1197505 ) ( 1907760 1197505 )
NEW met1 ( 1604400 1274095 ) M1M2_PR
NEW met1 ( 1456080 1197505 ) M1M2_PR
NEW met2 ( 1456080 1197690 ) via2_FR
NEW met3 ( 1455840 1197690 ) M3M4_PR_M
NEW met1 ( 2009520 1196395 ) M1M2_PR
NEW met1 ( 2009520 1197505 ) M1M2_PR
NEW met1 ( 2055600 1196765 ) M1M2_PR
NEW met1 ( 1907760 1197505 ) M1M2_PR
NEW met1 ( 1907760 1198245 ) M1M2_PR
NEW met1 ( 1944720 1198245 ) M1M2_PR
NEW met1 ( 1944720 1196395 ) M1M2_PR
NEW met3 ( 1455840 1265030 ) M3M4_PR_M
NEW met2 ( 1476720 1265030 ) via2_FR
NEW met1 ( 1476720 1274095 ) M1M2_PR
NEW met3 ( 1455840 1197690 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[76\] ( soc la_input[76] ) ( mgmt_buffers la_data_in_mprj[76] )
+ ROUTED met2 ( 2243760 1117770 0 ) ( 2243760 1130905 )
NEW met2 ( 1669680 1134605 ) ( 1669680 1199170 0 )
NEW met2 ( 2147760 1130905 ) ( 2147760 1134605 )
NEW met1 ( 1669680 1134605 ) ( 2147760 1134605 )
NEW met1 ( 2147760 1130905 ) ( 2243760 1130905 )
NEW met1 ( 2243760 1130905 ) M1M2_PR
NEW met1 ( 1669680 1134605 ) M1M2_PR
NEW met1 ( 2147760 1134605 ) M1M2_PR
NEW met1 ( 2147760 1130905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[77\] ( soc la_input[77] ) ( mgmt_buffers la_data_in_mprj[77] )
+ ROUTED met2 ( 1598640 1270950 ) ( 1598640 1280570 )
NEW met3 ( 1598640 1270950 ) ( 1606320 1270950 )
NEW met2 ( 2054160 1117770 0 ) ( 2054160 1156065 )
NEW met2 ( 2053680 1156065 ) ( 2054160 1156065 )
NEW met2 ( 1465680 1196395 ) ( 1465680 1196950 )
NEW met3 ( 1453920 1196950 ) ( 1465680 1196950 )
NEW met4 ( 1453920 1196950 ) ( 1453920 1280570 )
NEW met3 ( 1453920 1280570 ) ( 1598640 1280570 )
NEW met2 ( 1606320 1263550 0 ) ( 1606320 1270950 )
NEW met2 ( 2053680 1156065 ) ( 2053680 1197690 )
NEW met1 ( 1907760 1196765 ) ( 1907760 1197135 )
NEW met1 ( 2010000 1196395 ) ( 2010000 1196765 )
NEW met1 ( 2010000 1196395 ) ( 2033040 1196395 )
NEW met2 ( 2033040 1196395 ) ( 2033040 1197505 )
NEW met1 ( 2033040 1197505 ) ( 2044560 1197505 )
NEW met2 ( 2044560 1197505 ) ( 2044560 1197690 )
NEW met1 ( 1907760 1196765 ) ( 2010000 1196765 )
NEW met3 ( 2044560 1197690 ) ( 2053680 1197690 )
NEW met1 ( 1742160 1196395 ) ( 1742160 1197135 )
NEW met1 ( 1465680 1196395 ) ( 1742160 1196395 )
NEW met1 ( 1742160 1197135 ) ( 1907760 1197135 )
NEW met2 ( 1598640 1280570 ) via2_FR
NEW met2 ( 1598640 1270950 ) via2_FR
NEW met2 ( 1606320 1270950 ) via2_FR
NEW met1 ( 1465680 1196395 ) M1M2_PR
NEW met2 ( 1465680 1196950 ) via2_FR
NEW met3 ( 1453920 1196950 ) M3M4_PR_M
NEW met3 ( 1453920 1280570 ) M3M4_PR_M
NEW met2 ( 2053680 1197690 ) via2_FR
NEW met1 ( 2033040 1196395 ) M1M2_PR
NEW met1 ( 2033040 1197505 ) M1M2_PR
NEW met1 ( 2044560 1197505 ) M1M2_PR
NEW met2 ( 2044560 1197690 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[78\] ( soc la_input[78] ) ( mgmt_buffers la_data_in_mprj[78] )
+ ROUTED met2 ( 2245680 1117770 0 ) ( 2245680 1124615 )
NEW met2 ( 1671600 1178635 ) ( 1671600 1199170 0 )
NEW met1 ( 1994640 1177895 ) ( 1994640 1178635 )
NEW met1 ( 1994640 1177895 ) ( 1996080 1177895 )
NEW met2 ( 1996080 1177895 ) ( 1996080 1178635 )
NEW met1 ( 1671600 1178635 ) ( 1994640 1178635 )
NEW met2 ( 2103120 1178635 ) ( 2104080 1178635 )
NEW met1 ( 2104080 1178635 ) ( 2182320 1178635 )
NEW met2 ( 2182320 1124615 ) ( 2182320 1178635 )
NEW met1 ( 1996080 1178635 ) ( 2103120 1178635 )
NEW met1 ( 2182320 1124615 ) ( 2245680 1124615 )
NEW met1 ( 2245680 1124615 ) M1M2_PR
NEW met1 ( 1671600 1178635 ) M1M2_PR
NEW met1 ( 1996080 1177895 ) M1M2_PR
NEW met1 ( 1996080 1178635 ) M1M2_PR
NEW met1 ( 2103120 1178635 ) M1M2_PR
NEW met1 ( 2104080 1178635 ) M1M2_PR
NEW met1 ( 2182320 1178635 ) M1M2_PR
NEW met1 ( 2182320 1124615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[79\] ( soc la_input[79] ) ( mgmt_buffers la_data_in_mprj[79] )
+ ROUTED met2 ( 2221680 1196395 ) ( 2221680 1196950 )
NEW met3 ( 2221680 1196950 ) ( 2221920 1196950 )
NEW met2 ( 1608240 1263550 0 ) ( 1608240 1274465 )
NEW met2 ( 2218320 1271690 ) ( 2218320 1274465 )
NEW met3 ( 2218320 1271690 ) ( 2221920 1271690 )
NEW met4 ( 2221920 1196950 ) ( 2221920 1271690 )
NEW met1 ( 1608240 1274465 ) ( 2218320 1274465 )
NEW met2 ( 2052480 1117770 0 ) ( 2052480 1119250 )
NEW met2 ( 2052480 1119250 ) ( 2053200 1119250 )
NEW met2 ( 2053200 1119250 ) ( 2053200 1130905 )
NEW met1 ( 2053200 1130905 ) ( 2060880 1130905 )
NEW met2 ( 2060880 1130905 ) ( 2060880 1196395 )
NEW met1 ( 2060880 1196395 ) ( 2221680 1196395 )
NEW met1 ( 2221680 1196395 ) M1M2_PR
NEW met2 ( 2221680 1196950 ) via2_FR
NEW met3 ( 2221920 1196950 ) M3M4_PR_M
NEW met1 ( 1608240 1274465 ) M1M2_PR
NEW met1 ( 2218320 1274465 ) M1M2_PR
NEW met2 ( 2218320 1271690 ) via2_FR
NEW met3 ( 2221920 1271690 ) M3M4_PR_M
NEW met1 ( 2053200 1130905 ) M1M2_PR
NEW met1 ( 2060880 1130905 ) M1M2_PR
NEW met1 ( 2060880 1196395 ) M1M2_PR
NEW met3 ( 2221680 1196950 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[7\] ( soc la_input[7] ) ( mgmt_buffers la_data_in_mprj[7] )
+ ROUTED met2 ( 1673520 1187515 ) ( 1673520 1199170 0 )
NEW met2 ( 2247120 1145890 ) ( 2247120 1187515 )
NEW met2 ( 2247120 1145890 ) ( 2247600 1145890 )
NEW met1 ( 1673520 1187515 ) ( 2247120 1187515 )
NEW met2 ( 2247600 1117770 0 ) ( 2247600 1145890 )
NEW met1 ( 1673520 1187515 ) M1M2_PR
NEW met1 ( 2247120 1187515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[80\] ( soc la_input[80] ) ( mgmt_buffers la_data_in_mprj[80] )
+ ROUTED met2 ( 2222160 1196210 ) ( 2222160 1197135 )
NEW met3 ( 2222160 1196210 ) ( 2225760 1196210 )
NEW met2 ( 1609920 1263550 0 ) ( 1610160 1263550 )
NEW met2 ( 1610160 1263550 ) ( 1610160 1285010 )
NEW met4 ( 2225760 1196210 ) ( 2225760 1285010 )
NEW met1 ( 2051760 1197505 ) ( 2054160 1197505 )
NEW met1 ( 2054160 1197135 ) ( 2054160 1197505 )
NEW met1 ( 2054160 1197135 ) ( 2222160 1197135 )
NEW met2 ( 2050560 1117770 0 ) ( 2050560 1119250 )
NEW met2 ( 2050560 1119250 ) ( 2050800 1119250 )
NEW met2 ( 2050800 1119250 ) ( 2050800 1119990 )
NEW met2 ( 2050800 1119990 ) ( 2052720 1119990 )
NEW met2 ( 2052720 1119990 ) ( 2052720 1132570 )
NEW met2 ( 2051760 1132570 ) ( 2052720 1132570 )
NEW met2 ( 2051760 1132570 ) ( 2051760 1197505 )
NEW met3 ( 1610160 1285010 ) ( 2225760 1285010 )
NEW met1 ( 2222160 1197135 ) M1M2_PR
NEW met2 ( 2222160 1196210 ) via2_FR
NEW met3 ( 2225760 1196210 ) M3M4_PR_M
NEW met2 ( 1610160 1285010 ) via2_FR
NEW met3 ( 2225760 1285010 ) M3M4_PR_M
NEW met1 ( 2051760 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[81\] ( soc la_input[81] ) ( mgmt_buffers la_data_in_mprj[81] )
+ ROUTED met2 ( 2159280 1140155 ) ( 2159280 1159765 )
NEW met1 ( 2159280 1140155 ) ( 2178960 1140155 )
NEW met2 ( 2178960 1139045 ) ( 2178960 1140155 )
NEW met2 ( 1675440 1159765 ) ( 1675440 1199170 0 )
NEW met1 ( 1675440 1159765 ) ( 2159280 1159765 )
NEW met1 ( 2178960 1139045 ) ( 2249040 1139045 )
NEW met2 ( 2249280 1117770 0 ) ( 2249280 1119250 )
NEW met2 ( 2249040 1119250 ) ( 2249280 1119250 )
NEW met2 ( 2249040 1119250 ) ( 2249040 1139045 )
NEW met1 ( 2159280 1159765 ) M1M2_PR
NEW met1 ( 2159280 1140155 ) M1M2_PR
NEW met1 ( 2178960 1140155 ) M1M2_PR
NEW met1 ( 2178960 1139045 ) M1M2_PR
NEW met1 ( 1675440 1159765 ) M1M2_PR
NEW met1 ( 2249040 1139045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[82\] ( soc la_input[82] ) ( mgmt_buffers la_data_in_mprj[82] )
+ ROUTED met2 ( 2220720 1196210 ) ( 2220720 1196765 )
NEW met3 ( 2220720 1196210 ) ( 2220960 1196210 )
NEW met2 ( 1611840 1263550 0 ) ( 1611840 1264290 )
NEW met2 ( 1611840 1264290 ) ( 1612080 1264290 )
NEW met2 ( 1612080 1264290 ) ( 1612080 1274095 )
NEW met2 ( 2217840 1272430 ) ( 2217840 1274095 )
NEW met3 ( 2217840 1272430 ) ( 2220960 1272430 )
NEW met4 ( 2220960 1196210 ) ( 2220960 1272430 )
NEW met1 ( 1612080 1274095 ) ( 2217840 1274095 )
NEW met2 ( 2048880 1117770 0 ) ( 2048880 1153475 )
NEW met1 ( 2048880 1153475 ) ( 2070480 1153475 )
NEW met2 ( 2070480 1153475 ) ( 2070480 1196765 )
NEW met1 ( 2070480 1196765 ) ( 2220720 1196765 )
NEW met1 ( 2220720 1196765 ) M1M2_PR
NEW met2 ( 2220720 1196210 ) via2_FR
NEW met3 ( 2220960 1196210 ) M3M4_PR_M
NEW met1 ( 1612080 1274095 ) M1M2_PR
NEW met1 ( 2217840 1274095 ) M1M2_PR
NEW met2 ( 2217840 1272430 ) via2_FR
NEW met3 ( 2220960 1272430 ) M3M4_PR_M
NEW met1 ( 2048880 1153475 ) M1M2_PR
NEW met1 ( 2070480 1153475 ) M1M2_PR
NEW met1 ( 2070480 1196765 ) M1M2_PR
NEW met3 ( 2220720 1196210 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_mprj\[83\] ( soc la_input[83] ) ( mgmt_buffers la_data_in_mprj[83] )
+ ROUTED met2 ( 1677360 1186405 ) ( 1677360 1197690 )
NEW met2 ( 1677120 1197690 ) ( 1677360 1197690 )
NEW met2 ( 1677120 1197690 ) ( 1677120 1199170 0 )
NEW met1 ( 1677360 1186405 ) ( 2251440 1186405 )
NEW met2 ( 2251200 1117770 0 ) ( 2251200 1119250 )
NEW met2 ( 2251200 1119250 ) ( 2251440 1119250 )
NEW met2 ( 2251440 1119250 ) ( 2251440 1186405 )
NEW met1 ( 1677360 1186405 ) M1M2_PR
NEW met1 ( 2251440 1186405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[84\] ( soc la_input[84] ) ( mgmt_buffers la_data_in_mprj[84] )
+ ROUTED met2 ( 1612560 1282050 ) ( 1614000 1282050 )
NEW met2 ( 1612560 1281125 ) ( 1612560 1282050 )
NEW met3 ( 1441200 1241350 ) ( 1442640 1241350 )
NEW met2 ( 1442640 1241350 ) ( 1442640 1244310 )
NEW met3 ( 1442640 1244310 ) ( 1443360 1244310 )
NEW met4 ( 1443360 1244310 ) ( 1443360 1265030 )
NEW met3 ( 1443360 1265030 ) ( 1444560 1265030 )
NEW met2 ( 1444560 1265030 ) ( 1444560 1281125 )
NEW met2 ( 2046960 1117770 0 ) ( 2046960 1140155 )
NEW met2 ( 2046480 1140155 ) ( 2046960 1140155 )
NEW met2 ( 2046480 1140155 ) ( 2046480 1197875 )
NEW met2 ( 1613760 1263550 0 ) ( 1613760 1264290 )
NEW met2 ( 1613760 1264290 ) ( 1614000 1264290 )
NEW met2 ( 1614000 1264290 ) ( 1614000 1282050 )
NEW met1 ( 1444560 1281125 ) ( 1612560 1281125 )
NEW met1 ( 1441200 1197505 ) ( 1447920 1197505 )
NEW met1 ( 1447920 1197505 ) ( 1447920 1197875 )
NEW met2 ( 1441200 1197505 ) ( 1441200 1241350 )
NEW met1 ( 1447920 1197875 ) ( 2046480 1197875 )
NEW met1 ( 1612560 1281125 ) M1M2_PR
NEW met2 ( 1441200 1241350 ) via2_FR
NEW met2 ( 1442640 1241350 ) via2_FR
NEW met2 ( 1442640 1244310 ) via2_FR
NEW met3 ( 1443360 1244310 ) M3M4_PR_M
NEW met3 ( 1443360 1265030 ) M3M4_PR_M
NEW met2 ( 1444560 1265030 ) via2_FR
NEW met1 ( 1444560 1281125 ) M1M2_PR
NEW met1 ( 2046480 1197875 ) M1M2_PR
NEW met1 ( 1441200 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[85\] ( soc la_input[85] ) ( mgmt_buffers la_data_in_mprj[85] )
+ ROUTED met1 ( 2194320 1142745 ) ( 2194320 1143115 )
NEW met2 ( 1679280 1161245 ) ( 1679280 1197690 )
NEW met2 ( 1679040 1197690 ) ( 1679280 1197690 )
NEW met2 ( 1679040 1197690 ) ( 1679040 1199170 0 )
NEW met2 ( 2131440 1142745 ) ( 2131440 1161245 )
NEW met1 ( 1679280 1161245 ) ( 2131440 1161245 )
NEW met1 ( 2131440 1142745 ) ( 2194320 1142745 )
NEW met1 ( 2194320 1143115 ) ( 2252880 1143115 )
NEW met2 ( 2252880 1117770 0 ) ( 2252880 1143115 )
NEW met1 ( 1679280 1161245 ) M1M2_PR
NEW met1 ( 2131440 1161245 ) M1M2_PR
NEW met1 ( 2131440 1142745 ) M1M2_PR
NEW met1 ( 2252880 1143115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[86\] ( soc la_input[86] ) ( mgmt_buffers la_data_in_mprj[86] )
+ ROUTED met2 ( 1994640 1183445 ) ( 1994640 1189365 )
NEW met2 ( 1615440 1263550 0 ) ( 1615440 1267805 )
NEW met1 ( 1612560 1267805 ) ( 1615440 1267805 )
NEW met2 ( 1612560 1267805 ) ( 1612560 1268915 )
NEW met1 ( 1441680 1238205 ) ( 1441680 1241905 )
NEW met2 ( 1441680 1241905 ) ( 1441680 1268915 )
NEW met1 ( 1441680 1268915 ) ( 1612560 1268915 )
NEW met2 ( 2045040 1117770 0 ) ( 2045040 1154770 )
NEW met2 ( 2045040 1154770 ) ( 2045520 1154770 )
NEW met2 ( 2045520 1154770 ) ( 2045520 1183445 )
NEW met1 ( 1994640 1183445 ) ( 2045520 1183445 )
NEW met1 ( 1441680 1222665 ) ( 1441680 1223775 )
NEW met2 ( 1441680 1210085 ) ( 1441680 1222665 )
NEW met1 ( 1441680 1208975 ) ( 1441680 1210085 )
NEW met2 ( 1441680 1189365 ) ( 1441680 1208975 )
NEW met2 ( 1441680 1223775 ) ( 1441680 1238205 )
NEW met2 ( 1627920 1188810 ) ( 1627920 1189365 )
NEW met3 ( 1627920 1188810 ) ( 1629840 1188810 )
NEW met2 ( 1629840 1188810 ) ( 1629840 1189365 )
NEW met1 ( 1441680 1189365 ) ( 1627920 1189365 )
NEW met1 ( 1629840 1189365 ) ( 1994640 1189365 )
NEW met1 ( 1994640 1189365 ) M1M2_PR
NEW met1 ( 1994640 1183445 ) M1M2_PR
NEW met1 ( 1615440 1267805 ) M1M2_PR
NEW met1 ( 1612560 1267805 ) M1M2_PR
NEW met1 ( 1612560 1268915 ) M1M2_PR
NEW met1 ( 1441680 1238205 ) M1M2_PR
NEW met1 ( 1441680 1241905 ) M1M2_PR
NEW met1 ( 1441680 1268915 ) M1M2_PR
NEW met1 ( 2045520 1183445 ) M1M2_PR
NEW met1 ( 1441680 1223775 ) M1M2_PR
NEW met1 ( 1441680 1222665 ) M1M2_PR
NEW met1 ( 1441680 1210085 ) M1M2_PR
NEW met1 ( 1441680 1208975 ) M1M2_PR
NEW met1 ( 1441680 1189365 ) M1M2_PR
NEW met1 ( 1627920 1189365 ) M1M2_PR
NEW met2 ( 1627920 1188810 ) via2_FR
NEW met2 ( 1629840 1188810 ) via2_FR
NEW met1 ( 1629840 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[87\] ( soc la_input[87] ) ( mgmt_buffers la_data_in_mprj[87] )
+ ROUTED met2 ( 1680720 1186035 ) ( 1680720 1199170 0 )
NEW met1 ( 1680720 1186035 ) ( 2254320 1186035 )
NEW met2 ( 2254800 1117770 0 ) ( 2254800 1119250 )
NEW met2 ( 2254320 1119250 ) ( 2254800 1119250 )
NEW met2 ( 2254320 1119250 ) ( 2254320 1186035 )
NEW met1 ( 1680720 1186035 ) M1M2_PR
NEW met1 ( 2254320 1186035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[88\] ( soc la_input[88] ) ( mgmt_buffers la_data_in_mprj[88] )
+ ROUTED met2 ( 2217840 1196025 ) ( 2217840 1196950 )
NEW met3 ( 2217840 1196950 ) ( 2220000 1196950 )
NEW met2 ( 1617360 1263550 0 ) ( 1617360 1270210 )
NEW met2 ( 2043120 1117770 0 ) ( 2043120 1125910 )
NEW met2 ( 2042640 1125910 ) ( 2043120 1125910 )
NEW met2 ( 2042640 1125910 ) ( 2042640 1196025 )
NEW met4 ( 2220000 1196950 ) ( 2220000 1270210 )
NEW met1 ( 2042640 1196025 ) ( 2217840 1196025 )
NEW met3 ( 1617360 1270210 ) ( 2220000 1270210 )
NEW met1 ( 2042640 1196025 ) M1M2_PR
NEW met1 ( 2217840 1196025 ) M1M2_PR
NEW met2 ( 2217840 1196950 ) via2_FR
NEW met3 ( 2220000 1196950 ) M3M4_PR_M
NEW met2 ( 1617360 1270210 ) via2_FR
NEW met3 ( 2220000 1270210 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[89\] ( soc la_input[89] ) ( mgmt_buffers la_data_in_mprj[89] )
+ ROUTED met2 ( 1682640 1164575 ) ( 1682640 1199170 0 )
NEW met2 ( 2068080 1151255 ) ( 2068080 1163835 )
NEW met1 ( 2068080 1151255 ) ( 2140080 1151255 )
NEW met2 ( 2140080 1144595 ) ( 2140080 1151255 )
NEW met1 ( 2140080 1144595 ) ( 2256720 1144595 )
NEW met2 ( 2256720 1117770 0 ) ( 2256720 1144595 )
NEW met2 ( 1993680 1163835 ) ( 1993680 1164575 )
NEW met1 ( 1682640 1164575 ) ( 1993680 1164575 )
NEW met1 ( 1993680 1163835 ) ( 2068080 1163835 )
NEW met1 ( 1682640 1164575 ) M1M2_PR
NEW met1 ( 2068080 1163835 ) M1M2_PR
NEW met1 ( 2068080 1151255 ) M1M2_PR
NEW met1 ( 2140080 1151255 ) M1M2_PR
NEW met1 ( 2140080 1144595 ) M1M2_PR
NEW met1 ( 2256720 1144595 ) M1M2_PR
NEW met1 ( 1993680 1164575 ) M1M2_PR
NEW met1 ( 1993680 1163835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[8\] ( soc la_input[8] ) ( mgmt_buffers la_data_in_mprj[8] )
+ ROUTED met2 ( 1619280 1263550 0 ) ( 1619280 1270950 )
NEW met2 ( 2041440 1117770 0 ) ( 2041440 1119250 )
NEW met2 ( 2041200 1119250 ) ( 2041440 1119250 )
NEW met2 ( 2041200 1119250 ) ( 2041200 1187330 )
NEW met4 ( 2222880 1187330 ) ( 2222880 1270950 )
NEW met3 ( 2041200 1187330 ) ( 2222880 1187330 )
NEW met3 ( 1619280 1270950 ) ( 2222880 1270950 )
NEW met2 ( 2041200 1187330 ) via2_FR
NEW met3 ( 2222880 1187330 ) M3M4_PR_M
NEW met2 ( 1619280 1270950 ) via2_FR
NEW met3 ( 2222880 1270950 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[90\] ( soc la_input[90] ) ( mgmt_buffers la_data_in_mprj[90] )
+ ROUTED met2 ( 2021520 1151625 ) ( 2021520 1157915 )
NEW met1 ( 2214960 1151625 ) ( 2214960 1152365 )
NEW met1 ( 2214960 1152365 ) ( 2215920 1152365 )
NEW met1 ( 2215920 1151625 ) ( 2215920 1152365 )
NEW met2 ( 1684560 1157915 ) ( 1684560 1199170 0 )
NEW met1 ( 1684560 1157915 ) ( 2021520 1157915 )
NEW met1 ( 2021520 1151625 ) ( 2214960 1151625 )
NEW met1 ( 2215920 1151625 ) ( 2258640 1151625 )
NEW met2 ( 2258640 1117770 0 ) ( 2258640 1151625 )
NEW met1 ( 2021520 1157915 ) M1M2_PR
NEW met1 ( 2021520 1151625 ) M1M2_PR
NEW met1 ( 1684560 1157915 ) M1M2_PR
NEW met1 ( 2258640 1151625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[91\] ( soc la_input[91] ) ( mgmt_buffers la_data_in_mprj[91] )
+ ROUTED met1 ( 1612080 1278165 ) ( 1621200 1278165 )
NEW met2 ( 1612080 1278165 ) ( 1612080 1281495 )
NEW met2 ( 2039520 1117770 0 ) ( 2039520 1119250 )
NEW met2 ( 2039280 1119250 ) ( 2039520 1119250 )
NEW met2 ( 2039280 1119250 ) ( 2039280 1191955 )
NEW met2 ( 1950960 1190105 ) ( 1950960 1191955 )
NEW met1 ( 1950960 1191955 ) ( 2039280 1191955 )
NEW met2 ( 1620960 1263550 0 ) ( 1621200 1263550 )
NEW met2 ( 1621200 1263550 ) ( 1621200 1278165 )
NEW met3 ( 1460640 1282790 ) ( 1554960 1282790 )
NEW met2 ( 1554960 1281495 ) ( 1554960 1282790 )
NEW met1 ( 1554960 1281495 ) ( 1612080 1281495 )
NEW met1 ( 1526640 1190105 ) ( 1526640 1190475 )
NEW met1 ( 1526640 1190475 ) ( 1626960 1190475 )
NEW met1 ( 1626960 1190105 ) ( 1626960 1190475 )
NEW met2 ( 1729200 1190105 ) ( 1729200 1195285 )
NEW met1 ( 1729200 1195285 ) ( 1827600 1195285 )
NEW met2 ( 1827600 1190105 ) ( 1827600 1195285 )
NEW met2 ( 1827600 1190105 ) ( 1828560 1190105 )
NEW met1 ( 1828560 1190105 ) ( 1950960 1190105 )
NEW met3 ( 1460640 1191030 ) ( 1525680 1191030 )
NEW met2 ( 1525680 1190105 ) ( 1525680 1191030 )
NEW met4 ( 1460640 1191030 ) ( 1460640 1282790 )
NEW met1 ( 1525680 1190105 ) ( 1526640 1190105 )
NEW met1 ( 1626960 1190105 ) ( 1727760 1190105 )
NEW met2 ( 1727760 1190105 ) ( 1729200 1190105 )
NEW met1 ( 2039280 1191955 ) M1M2_PR
NEW met1 ( 1621200 1278165 ) M1M2_PR
NEW met1 ( 1612080 1278165 ) M1M2_PR
NEW met1 ( 1612080 1281495 ) M1M2_PR
NEW met1 ( 1950960 1190105 ) M1M2_PR
NEW met1 ( 1950960 1191955 ) M1M2_PR
NEW met3 ( 1460640 1282790 ) M3M4_PR_M
NEW met2 ( 1554960 1282790 ) via2_FR
NEW met1 ( 1554960 1281495 ) M1M2_PR
NEW met1 ( 1729200 1195285 ) M1M2_PR
NEW met1 ( 1827600 1195285 ) M1M2_PR
NEW met1 ( 1828560 1190105 ) M1M2_PR
NEW met3 ( 1460640 1191030 ) M3M4_PR_M
NEW met2 ( 1525680 1191030 ) via2_FR
NEW met1 ( 1525680 1190105 ) M1M2_PR
NEW met1 ( 1727760 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[92\] ( soc la_input[92] ) ( mgmt_buffers la_data_in_mprj[92] )
+ ROUTED met2 ( 1792560 1185295 ) ( 1792560 1193065 )
NEW met2 ( 1686480 1193065 ) ( 1686480 1199170 0 )
NEW met1 ( 1686480 1193065 ) ( 1792560 1193065 )
NEW met1 ( 1792560 1185295 ) ( 2259120 1185295 )
NEW met2 ( 2260320 1117770 0 ) ( 2260320 1119065 )
NEW met1 ( 2259120 1119065 ) ( 2260320 1119065 )
NEW met2 ( 2259120 1119065 ) ( 2259120 1185295 )
NEW met1 ( 1792560 1193065 ) M1M2_PR
NEW met1 ( 1792560 1185295 ) M1M2_PR
NEW met1 ( 1686480 1193065 ) M1M2_PR
NEW met1 ( 2259120 1185295 ) M1M2_PR
NEW met1 ( 2260320 1119065 ) M1M2_PR
NEW met1 ( 2259120 1119065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[93\] ( soc la_input[93] ) ( mgmt_buffers la_data_in_mprj[93] )
+ ROUTED met2 ( 1981680 1182890 ) ( 1981680 1188070 )
NEW met3 ( 1981680 1182890 ) ( 2033520 1182890 )
NEW met2 ( 2033520 1165685 ) ( 2033520 1182890 )
NEW met1 ( 2033520 1165685 ) ( 2037840 1165685 )
NEW met3 ( 1581600 1270950 ) ( 1581600 1271690 )
NEW met3 ( 1581600 1271690 ) ( 1622640 1271690 )
NEW met2 ( 1622640 1265030 ) ( 1622640 1271690 )
NEW met2 ( 1622640 1265030 ) ( 1622880 1265030 )
NEW met2 ( 1622880 1263550 0 ) ( 1622880 1265030 )
NEW met2 ( 2037840 1117770 0 ) ( 2037840 1165685 )
NEW met3 ( 1467360 1188070 ) ( 1981680 1188070 )
NEW met4 ( 1467360 1188070 ) ( 1467360 1270950 )
NEW met3 ( 1467360 1270950 ) ( 1581600 1270950 )
NEW met2 ( 1981680 1188070 ) via2_FR
NEW met2 ( 1981680 1182890 ) via2_FR
NEW met2 ( 2033520 1182890 ) via2_FR
NEW met1 ( 2033520 1165685 ) M1M2_PR
NEW met1 ( 2037840 1165685 ) M1M2_PR
NEW met2 ( 1622640 1271690 ) via2_FR
NEW met3 ( 1467360 1188070 ) M3M4_PR_M
NEW met3 ( 1467360 1270950 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_mprj\[94\] ( soc la_input[94] ) ( mgmt_buffers la_data_in_mprj[94] )
+ ROUTED met2 ( 2146800 1133495 ) ( 2146800 1151255 )
NEW met2 ( 1688400 1161615 ) ( 1688400 1197690 )
NEW met2 ( 1688160 1197690 ) ( 1688400 1197690 )
NEW met2 ( 1688160 1197690 ) ( 1688160 1199170 0 )
NEW met2 ( 2143920 1151255 ) ( 2143920 1161615 )
NEW met1 ( 1688400 1161615 ) ( 2143920 1161615 )
NEW met1 ( 2143920 1151255 ) ( 2146800 1151255 )
NEW met2 ( 2262240 1117770 0 ) ( 2262240 1119250 )
NEW met2 ( 2262000 1119250 ) ( 2262240 1119250 )
NEW met2 ( 2262000 1119250 ) ( 2262000 1133495 )
NEW met1 ( 2146800 1133495 ) ( 2262000 1133495 )
NEW met1 ( 2146800 1151255 ) M1M2_PR
NEW met1 ( 2146800 1133495 ) M1M2_PR
NEW met1 ( 1688400 1161615 ) M1M2_PR
NEW met1 ( 2143920 1161615 ) M1M2_PR
NEW met1 ( 2143920 1151255 ) M1M2_PR
NEW met1 ( 2262000 1133495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[95\] ( soc la_input[95] ) ( mgmt_buffers la_data_in_mprj[95] )
+ ROUTED met2 ( 1624560 1263550 ) ( 1624800 1263550 0 )
NEW met2 ( 1624560 1263550 ) ( 1624560 1273170 )
NEW met4 ( 2233440 1188070 ) ( 2233440 1279830 )
NEW met2 ( 1687440 1273170 ) ( 1687440 1279830 )
NEW met3 ( 1624560 1273170 ) ( 1687440 1273170 )
NEW met3 ( 1687440 1279830 ) ( 2233440 1279830 )
NEW met2 ( 2035920 1117770 0 ) ( 2035920 1165315 )
NEW met1 ( 2035920 1165315 ) ( 2070000 1165315 )
NEW met2 ( 2070000 1165315 ) ( 2070000 1188070 )
NEW met3 ( 2070000 1188070 ) ( 2233440 1188070 )
NEW met3 ( 2233440 1188070 ) M3M4_PR_M
NEW met2 ( 1624560 1273170 ) via2_FR
NEW met3 ( 2233440 1279830 ) M3M4_PR_M
NEW met2 ( 1687440 1273170 ) via2_FR
NEW met2 ( 1687440 1279830 ) via2_FR
NEW met1 ( 2035920 1165315 ) M1M2_PR
NEW met1 ( 2070000 1165315 ) M1M2_PR
NEW met2 ( 2070000 1188070 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[96\] ( soc la_input[96] ) ( mgmt_buffers la_data_in_mprj[96] )
+ ROUTED met2 ( 2200560 1142375 ) ( 2200560 1156990 )
NEW met2 ( 1689840 1156990 ) ( 1689840 1197690 )
NEW met2 ( 1689840 1197690 ) ( 1690080 1197690 )
NEW met2 ( 1690080 1197690 ) ( 1690080 1199170 0 )
NEW met3 ( 1689840 1156990 ) ( 2200560 1156990 )
NEW met1 ( 2200560 1142375 ) ( 2263920 1142375 )
NEW met2 ( 2263920 1117770 0 ) ( 2263920 1142375 )
NEW met1 ( 2200560 1142375 ) M1M2_PR
NEW met2 ( 2200560 1156990 ) via2_FR
NEW met2 ( 1689840 1156990 ) via2_FR
NEW met1 ( 2263920 1142375 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[97\] ( soc la_input[97] ) ( mgmt_buffers la_data_in_mprj[97] )
+ ROUTED met2 ( 1626480 1263550 0 ) ( 1626480 1271690 )
NEW met2 ( 2185200 1267250 ) ( 2185200 1271690 )
NEW met3 ( 2185200 1267250 ) ( 2224800 1267250 )
NEW met4 ( 2224800 1182890 ) ( 2224800 1267250 )
NEW met3 ( 1626480 1271690 ) ( 2185200 1271690 )
NEW met2 ( 2034000 1117770 0 ) ( 2034000 1148850 )
NEW met2 ( 2034000 1148850 ) ( 2034480 1148850 )
NEW met2 ( 2034480 1148850 ) ( 2034480 1182890 )
NEW met3 ( 2034480 1182890 ) ( 2224800 1182890 )
NEW met3 ( 2224800 1182890 ) M3M4_PR_M
NEW met2 ( 1626480 1271690 ) via2_FR
NEW met2 ( 2185200 1271690 ) via2_FR
NEW met2 ( 2185200 1267250 ) via2_FR
NEW met3 ( 2224800 1267250 ) M3M4_PR_M
NEW met2 ( 2034480 1182890 ) via2_FR
+ USE SIGNAL ;
- la_data_in_mprj\[98\] ( soc la_input[98] ) ( mgmt_buffers la_data_in_mprj[98] )
+ ROUTED met2 ( 1690320 1156435 ) ( 1690320 1183630 )
NEW met2 ( 1690320 1183630 ) ( 1691760 1183630 )
NEW met2 ( 1691760 1183630 ) ( 1691760 1199170 0 )
NEW met2 ( 2265840 1117770 0 ) ( 2265840 1143485 )
NEW met2 ( 2018160 1154770 ) ( 2018160 1157175 )
NEW met2 ( 2018160 1154770 ) ( 2020080 1154770 )
NEW met2 ( 2020080 1144225 ) ( 2020080 1154770 )
NEW met1 ( 2020080 1144225 ) ( 2093520 1144225 )
NEW met1 ( 2093520 1143485 ) ( 2093520 1144225 )
NEW met1 ( 2093520 1143485 ) ( 2265840 1143485 )
NEW met2 ( 1956240 1156435 ) ( 1956240 1157175 )
NEW met2 ( 1956240 1157175 ) ( 1957200 1157175 )
NEW met1 ( 1690320 1156435 ) ( 1956240 1156435 )
NEW met1 ( 1957200 1157175 ) ( 2018160 1157175 )
NEW met1 ( 1690320 1156435 ) M1M2_PR
NEW met1 ( 2265840 1143485 ) M1M2_PR
NEW met1 ( 2018160 1157175 ) M1M2_PR
NEW met1 ( 2020080 1144225 ) M1M2_PR
NEW met1 ( 1956240 1156435 ) M1M2_PR
NEW met1 ( 1957200 1157175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[99\] ( soc la_input[99] ) ( mgmt_buffers la_data_in_mprj[99] )
+ ROUTED met1 ( 1995120 1188995 ) ( 1995120 1189365 )
NEW met2 ( 1412880 1188995 ) ( 1412880 1284085 )
NEW met2 ( 1628400 1263550 0 ) ( 1628400 1284085 )
NEW met2 ( 2032080 1117770 0 ) ( 2032080 1141635 )
NEW met2 ( 2030160 1141635 ) ( 2032080 1141635 )
NEW met2 ( 2030160 1141635 ) ( 2030160 1189365 )
NEW met1 ( 1995120 1189365 ) ( 2030160 1189365 )
NEW met1 ( 1412880 1284085 ) ( 1628400 1284085 )
NEW met1 ( 1412880 1188995 ) ( 1995120 1188995 )
NEW met1 ( 1412880 1188995 ) M1M2_PR
NEW met1 ( 1412880 1284085 ) M1M2_PR
NEW met1 ( 1628400 1284085 ) M1M2_PR
NEW met1 ( 2030160 1189365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_mprj\[9\] ( soc la_input[9] ) ( mgmt_buffers la_data_in_mprj[9] )
+ ROUTED met2 ( 1994160 1178265 ) ( 1994160 1184185 )
NEW met2 ( 1694160 1177155 ) ( 1694160 1178450 )
NEW met2 ( 1693680 1178450 ) ( 1694160 1178450 )
NEW met2 ( 1693680 1178450 ) ( 1693680 1199170 0 )
NEW met2 ( 2094960 1183075 ) ( 2094960 1184185 )
NEW met1 ( 1994160 1184185 ) ( 2094960 1184185 )
NEW met2 ( 2267280 1179930 ) ( 2267280 1183075 )
NEW met2 ( 2267280 1179930 ) ( 2267760 1179930 )
NEW met1 ( 2094960 1183075 ) ( 2267280 1183075 )
NEW met2 ( 2267760 1117770 0 ) ( 2267760 1179930 )
NEW met1 ( 1801200 1177155 ) ( 1801200 1177525 )
NEW met1 ( 1801200 1177525 ) ( 1876560 1177525 )
NEW met2 ( 1876560 1177525 ) ( 1876560 1178265 )
NEW met1 ( 1694160 1177155 ) ( 1801200 1177155 )
NEW met1 ( 1876560 1178265 ) ( 1994160 1178265 )
NEW met1 ( 1994160 1178265 ) M1M2_PR
NEW met1 ( 1994160 1184185 ) M1M2_PR
NEW met1 ( 1694160 1177155 ) M1M2_PR
NEW met1 ( 2094960 1184185 ) M1M2_PR
NEW met1 ( 2094960 1183075 ) M1M2_PR
NEW met1 ( 2267280 1183075 ) M1M2_PR
NEW met1 ( 1876560 1177525 ) M1M2_PR
NEW met1 ( 1876560 1178265 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[0\] ( mprj la_data_in[0] ) ( mgmt_buffers la_data_in_core[0] )
+ ROUTED met2 ( 959760 1307395 ) ( 959760 1377510 0 )
NEW met2 ( 1444320 1263550 0 ) ( 1444560 1263550 )
NEW met2 ( 1444560 1263550 ) ( 1444560 1264290 )
NEW met2 ( 1444080 1264290 ) ( 1444560 1264290 )
NEW met2 ( 1444080 1264290 ) ( 1444080 1307395 )
NEW met1 ( 959760 1307395 ) ( 1444080 1307395 )
NEW met1 ( 959760 1307395 ) M1M2_PR
NEW met1 ( 1444080 1307395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[100\] ( mprj la_data_in[100] ) ( mgmt_buffers la_data_in_core[100] )
+ ROUTED met1 ( 1972080 1342915 ) ( 1972080 1343655 )
NEW met2 ( 2195760 1343470 ) ( 2195760 1343655 )
NEW met2 ( 2195760 1343470 ) ( 2196720 1343470 )
NEW met2 ( 2196720 1343470 ) ( 2196720 1343655 )
NEW met1 ( 1936560 1342915 ) ( 1972080 1342915 )
NEW met1 ( 1972080 1343655 ) ( 2195760 1343655 )
NEW met2 ( 2743920 1343655 ) ( 2743920 1377510 0 )
NEW met1 ( 2196720 1343655 ) ( 2743920 1343655 )
NEW met3 ( 1443360 1259110 0 ) ( 1443360 1264290 )
NEW met3 ( 1443360 1264290 ) ( 1445280 1264290 )
NEW met3 ( 1445280 1264290 ) ( 1445280 1265030 )
NEW met3 ( 1445280 1265030 ) ( 1446000 1265030 )
NEW met2 ( 1446000 1265030 ) ( 1446000 1273910 )
NEW met3 ( 1446000 1273910 ) ( 1936560 1273910 )
NEW met2 ( 1936560 1273910 ) ( 1936560 1342915 )
NEW met1 ( 2195760 1343655 ) M1M2_PR
NEW met1 ( 2196720 1343655 ) M1M2_PR
NEW met1 ( 1936560 1342915 ) M1M2_PR
NEW met1 ( 2743920 1343655 ) M1M2_PR
NEW met2 ( 1446000 1265030 ) via2_FR
NEW met2 ( 1446000 1273910 ) via2_FR
NEW met2 ( 1936560 1273910 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[101\] ( mprj la_data_in[101] ) ( mgmt_buffers la_data_in_core[101] )
+ ROUTED met1 ( 2195760 1344025 ) ( 2195760 1344395 )
NEW met1 ( 2195760 1344395 ) ( 2232720 1344395 )
NEW met1 ( 2232720 1344395 ) ( 2232720 1344765 )
NEW met2 ( 2761200 1345505 ) ( 2761200 1377510 0 )
NEW met1 ( 1887600 1344025 ) ( 2195760 1344025 )
NEW met1 ( 2296080 1344025 ) ( 2296080 1344765 )
NEW met1 ( 2232720 1344765 ) ( 2296080 1344765 )
NEW met2 ( 1446240 1263550 0 ) ( 1446240 1264290 )
NEW met2 ( 1446240 1264290 ) ( 1446480 1264290 )
NEW met2 ( 1446480 1264290 ) ( 1446480 1333295 )
NEW met1 ( 1446480 1333295 ) ( 1887600 1333295 )
NEW met2 ( 1887600 1333295 ) ( 1887600 1344025 )
NEW met1 ( 2661360 1345135 ) ( 2661360 1345505 )
NEW met1 ( 2661360 1345505 ) ( 2761200 1345505 )
NEW met2 ( 2304240 1344025 ) ( 2304240 1344765 )
NEW met1 ( 2304240 1344765 ) ( 2354640 1344765 )
NEW met1 ( 2354640 1344395 ) ( 2354640 1344765 )
NEW met1 ( 2354640 1344395 ) ( 2397840 1344395 )
NEW met1 ( 2397840 1344395 ) ( 2397840 1344765 )
NEW met1 ( 2296080 1344025 ) ( 2304240 1344025 )
NEW met2 ( 2548560 1344765 ) ( 2548560 1345135 )
NEW met2 ( 2548560 1345135 ) ( 2549040 1345135 )
NEW met1 ( 2397840 1344765 ) ( 2548560 1344765 )
NEW met1 ( 2549040 1345135 ) ( 2661360 1345135 )
NEW met1 ( 2761200 1345505 ) M1M2_PR
NEW met1 ( 1887600 1344025 ) M1M2_PR
NEW met1 ( 1446480 1333295 ) M1M2_PR
NEW met1 ( 1887600 1333295 ) M1M2_PR
NEW met1 ( 2304240 1344025 ) M1M2_PR
NEW met1 ( 2304240 1344765 ) M1M2_PR
NEW met1 ( 2548560 1344765 ) M1M2_PR
NEW met1 ( 2549040 1345135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[102\] ( mprj la_data_in[102] ) ( mgmt_buffers la_data_in_core[102] )
+ ROUTED met2 ( 1418640 1256150 ) ( 1418640 1333850 )
NEW met2 ( 2779440 1333850 ) ( 2779440 1377510 0 )
NEW met3 ( 1418640 1256150 ) ( 1441440 1256150 0 )
NEW met3 ( 1418640 1333850 ) ( 2779440 1333850 )
NEW met2 ( 1418640 1256150 ) via2_FR
NEW met2 ( 1418640 1333850 ) via2_FR
NEW met2 ( 2779440 1333850 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[103\] ( mprj la_data_in[103] ) ( mgmt_buffers la_data_in_core[103] )
+ ROUTED met2 ( 2797200 1335330 ) ( 2797200 1377510 0 )
NEW met2 ( 1447920 1263550 ) ( 1448160 1263550 0 )
NEW met2 ( 1447920 1263550 ) ( 1447920 1335330 )
NEW met3 ( 1447920 1335330 ) ( 2797200 1335330 )
NEW met2 ( 2797200 1335330 ) via2_FR
NEW met2 ( 1447920 1335330 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[104\] ( mprj la_data_in[104] ) ( mgmt_buffers la_data_in_core[104] )
+ ROUTED met2 ( 2814960 1334590 ) ( 2814960 1377510 0 )
NEW met2 ( 1449840 1263550 0 ) ( 1449840 1334590 )
NEW met3 ( 1449840 1334590 ) ( 2814960 1334590 )
NEW met2 ( 2814960 1334590 ) via2_FR
NEW met2 ( 1449840 1334590 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[105\] ( mprj la_data_in[105] ) ( mgmt_buffers la_data_in_core[105] )
+ ROUTED met2 ( 2832720 1352535 ) ( 2832720 1377510 0 )
NEW met1 ( 2541840 1352535 ) ( 2832720 1352535 )
NEW met2 ( 2541840 1267065 ) ( 2541840 1352535 )
NEW met3 ( 1442400 1253190 0 ) ( 1442400 1255410 )
NEW met3 ( 1442400 1255410 ) ( 1442640 1255410 )
NEW met2 ( 1442640 1255410 ) ( 1442640 1263550 )
NEW met2 ( 1442160 1263550 ) ( 1442640 1263550 )
NEW met2 ( 1442160 1263550 ) ( 1442160 1264845 )
NEW met2 ( 1442160 1264845 ) ( 1442640 1264845 )
NEW met2 ( 1442640 1264845 ) ( 1442640 1267065 )
NEW met1 ( 1442640 1267065 ) ( 2541840 1267065 )
NEW met1 ( 2832720 1352535 ) M1M2_PR
NEW met1 ( 2541840 1352535 ) M1M2_PR
NEW met1 ( 2541840 1267065 ) M1M2_PR
NEW met2 ( 1442640 1255410 ) via2_FR
NEW met1 ( 1442640 1267065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[106\] ( mprj la_data_in[106] ) ( mgmt_buffers la_data_in_core[106] )
+ ROUTED met2 ( 2850480 1333110 ) ( 2850480 1377510 0 )
NEW met2 ( 1451760 1263550 0 ) ( 1451760 1333110 )
NEW met3 ( 1451760 1333110 ) ( 2850480 1333110 )
NEW met2 ( 2850480 1333110 ) via2_FR
NEW met2 ( 1451760 1333110 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[107\] ( mprj la_data_in[107] ) ( mgmt_buffers la_data_in_core[107] )
+ ROUTED met2 ( 1419120 1250970 ) ( 1419120 1332370 )
NEW met3 ( 1419120 1250970 ) ( 1441440 1250970 0 )
NEW met3 ( 1419120 1332370 ) ( 2868720 1332370 )
NEW met2 ( 2868720 1332370 ) ( 2868720 1377510 0 )
NEW met2 ( 1419120 1250970 ) via2_FR
NEW met2 ( 1419120 1332370 ) via2_FR
NEW met2 ( 2868720 1332370 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[108\] ( mprj la_data_in[108] ) ( mgmt_buffers la_data_in_core[108] )
+ ROUTED met1 ( 2161680 1351795 ) ( 2162640 1351795 )
NEW met1 ( 2162640 1351425 ) ( 2162640 1351795 )
NEW met2 ( 2161680 1329410 ) ( 2161680 1351795 )
NEW met2 ( 2886480 1351425 ) ( 2886480 1377510 0 )
NEW met1 ( 2162640 1351425 ) ( 2886480 1351425 )
NEW met2 ( 1453680 1263550 0 ) ( 1453680 1329410 )
NEW met3 ( 1453680 1329410 ) ( 2161680 1329410 )
NEW met1 ( 2161680 1351795 ) M1M2_PR
NEW met2 ( 2161680 1329410 ) via2_FR
NEW met1 ( 2886480 1351425 ) M1M2_PR
NEW met2 ( 1453680 1329410 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[109\] ( mprj la_data_in[109] ) ( mgmt_buffers la_data_in_core[109] )
+ ROUTED met2 ( 2160720 1328670 ) ( 2160720 1351055 )
NEW met2 ( 2904240 1351055 ) ( 2904240 1377510 0 )
NEW met1 ( 2160720 1351055 ) ( 2904240 1351055 )
NEW met2 ( 1455360 1263550 0 ) ( 1455600 1263550 )
NEW met2 ( 1455600 1263550 ) ( 1455600 1328670 )
NEW met3 ( 1455600 1328670 ) ( 2160720 1328670 )
NEW met1 ( 2160720 1351055 ) M1M2_PR
NEW met2 ( 2160720 1328670 ) via2_FR
NEW met1 ( 2904240 1351055 ) M1M2_PR
NEW met2 ( 1455600 1328670 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[10\] ( mprj la_data_in[10] ) ( mgmt_buffers la_data_in_core[10] )
+ ROUTED met1 ( 1138320 1344025 ) ( 1150800 1344025 )
NEW met2 ( 1138320 1344025 ) ( 1138320 1377510 0 )
NEW met2 ( 1150800 1252635 ) ( 1150800 1344025 )
NEW met2 ( 1413840 1248010 ) ( 1413840 1252635 )
NEW met1 ( 1150800 1252635 ) ( 1413840 1252635 )
NEW met3 ( 1413840 1248010 ) ( 1441440 1248010 0 )
NEW met1 ( 1150800 1344025 ) M1M2_PR
NEW met1 ( 1138320 1344025 ) M1M2_PR
NEW met1 ( 1150800 1252635 ) M1M2_PR
NEW met2 ( 1413840 1248010 ) via2_FR
NEW met1 ( 1413840 1252635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[110\] ( mprj la_data_in[110] ) ( mgmt_buffers la_data_in_core[110] )
+ ROUTED met2 ( 1457280 1263550 0 ) ( 1457280 1264290 )
NEW met2 ( 1457280 1264290 ) ( 1457520 1264290 )
NEW met2 ( 1457520 1264290 ) ( 1457520 1310170 )
NEW met3 ( 1457520 1310170 ) ( 2922000 1310170 )
NEW met2 ( 2922000 1310170 ) ( 2922000 1377510 0 )
NEW met2 ( 1457520 1310170 ) via2_FR
NEW met2 ( 2922000 1310170 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[111\] ( mprj la_data_in[111] ) ( mgmt_buffers la_data_in_core[111] )
+ ROUTED met2 ( 1419600 1245050 ) ( 1419600 1331630 )
NEW met3 ( 1419600 1245050 ) ( 1441440 1245050 0 )
NEW met3 ( 1419600 1331630 ) ( 2939760 1331630 )
NEW met2 ( 2939760 1331630 ) ( 2939760 1377510 0 )
NEW met2 ( 1419600 1245050 ) via2_FR
NEW met2 ( 1419600 1331630 ) via2_FR
NEW met2 ( 2939760 1331630 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[112\] ( mprj la_data_in[112] ) ( mgmt_buffers la_data_in_core[112] )
+ ROUTED met2 ( 2958000 1309430 ) ( 2958000 1377510 0 )
NEW met2 ( 1458960 1263550 ) ( 1459200 1263550 0 )
NEW met2 ( 1458960 1263550 ) ( 1458960 1309430 )
NEW met3 ( 1458960 1309430 ) ( 2958000 1309430 )
NEW met2 ( 2958000 1309430 ) via2_FR
NEW met2 ( 1458960 1309430 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[113\] ( mprj la_data_in[113] ) ( mgmt_buffers la_data_in_core[113] )
+ ROUTED met2 ( 2975760 1308690 ) ( 2975760 1377510 0 )
NEW met2 ( 1460880 1263550 0 ) ( 1460880 1308690 )
NEW met3 ( 1460880 1308690 ) ( 2975760 1308690 )
NEW met2 ( 2975760 1308690 ) via2_FR
NEW met2 ( 1460880 1308690 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[114\] ( mprj la_data_in[114] ) ( mgmt_buffers la_data_in_core[114] )
+ ROUTED met2 ( 2993520 1349205 ) ( 2993520 1377510 0 )
NEW met4 ( 1424160 1242830 ) ( 1424160 1339030 )
NEW met2 ( 2174640 1339030 ) ( 2174640 1349205 )
NEW met1 ( 2174640 1349205 ) ( 2993520 1349205 )
NEW met3 ( 1424160 1242830 ) ( 1441440 1242830 0 )
NEW met3 ( 1424160 1339030 ) ( 2174640 1339030 )
NEW met1 ( 2174640 1349205 ) M1M2_PR
NEW met1 ( 2993520 1349205 ) M1M2_PR
NEW met3 ( 1424160 1242830 ) M3M4_PR_M
NEW met3 ( 1424160 1339030 ) M3M4_PR_M
NEW met2 ( 2174640 1339030 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[115\] ( mprj la_data_in[115] ) ( mgmt_buffers la_data_in_core[115] )
+ ROUTED met2 ( 3011280 1307950 ) ( 3011280 1377510 0 )
NEW met2 ( 1462800 1263550 0 ) ( 1462800 1307950 )
NEW met3 ( 1462800 1307950 ) ( 3011280 1307950 )
NEW met2 ( 3011280 1307950 ) via2_FR
NEW met2 ( 1462800 1307950 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[116\] ( mprj la_data_in[116] ) ( mgmt_buffers la_data_in_core[116] )
+ ROUTED met2 ( 3029040 1346985 ) ( 3029040 1377510 0 )
NEW met4 ( 1425120 1239870 ) ( 1425120 1330890 )
NEW met2 ( 2160240 1330890 ) ( 2160240 1346985 )
NEW met1 ( 2160240 1346985 ) ( 3029040 1346985 )
NEW met3 ( 1425120 1239870 ) ( 1441440 1239870 0 )
NEW met3 ( 1425120 1330890 ) ( 2160240 1330890 )
NEW met1 ( 2160240 1346985 ) M1M2_PR
NEW met1 ( 3029040 1346985 ) M1M2_PR
NEW met3 ( 1425120 1239870 ) M3M4_PR_M
NEW met3 ( 1425120 1330890 ) M3M4_PR_M
NEW met2 ( 2160240 1330890 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[117\] ( mprj la_data_in[117] ) ( mgmt_buffers la_data_in_core[117] )
+ ROUTED met2 ( 3046800 1307210 ) ( 3046800 1377510 0 )
NEW met2 ( 1464720 1263550 0 ) ( 1464720 1307210 )
NEW met3 ( 1464720 1307210 ) ( 3046800 1307210 )
NEW met2 ( 3046800 1307210 ) via2_FR
NEW met2 ( 1464720 1307210 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[118\] ( mprj la_data_in[118] ) ( mgmt_buffers la_data_in_core[118] )
+ ROUTED met2 ( 1466400 1263550 0 ) ( 1466640 1263550 )
NEW met2 ( 1466640 1263550 ) ( 1466640 1306470 )
NEW met3 ( 1466640 1306470 ) ( 3065040 1306470 )
NEW met2 ( 3065040 1306470 ) ( 3065040 1377510 0 )
NEW met2 ( 1466640 1306470 ) via2_FR
NEW met2 ( 3065040 1306470 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[119\] ( mprj la_data_in[119] ) ( mgmt_buffers la_data_in_core[119] )
+ ROUTED met3 ( 1420320 1242090 ) ( 1424160 1242090 )
NEW met4 ( 1420320 1242090 ) ( 1420320 1330150 )
NEW met4 ( 1424160 1236910 ) ( 1424160 1242090 )
NEW met2 ( 2145840 1330150 ) ( 2145840 1346615 )
NEW met3 ( 1424160 1236910 ) ( 1441440 1236910 0 )
NEW met2 ( 3082320 1346615 ) ( 3082320 1377510 0 )
NEW met1 ( 2145840 1346615 ) ( 3082320 1346615 )
NEW met3 ( 1420320 1330150 ) ( 2145840 1330150 )
NEW met3 ( 1424160 1236910 ) M3M4_PR_M
NEW met1 ( 2145840 1346615 ) M1M2_PR
NEW met3 ( 1424160 1242090 ) M3M4_PR_M
NEW met3 ( 1420320 1242090 ) M3M4_PR_M
NEW met3 ( 1420320 1330150 ) M3M4_PR_M
NEW met2 ( 2145840 1330150 ) via2_FR
NEW met1 ( 3082320 1346615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[11\] ( mprj la_data_in[11] ) ( mgmt_buffers la_data_in_core[11] )
+ ROUTED met1 ( 1156080 1309615 ) ( 1170960 1309615 )
NEW met1 ( 1170960 1308875 ) ( 1170960 1309615 )
NEW met2 ( 1156080 1309615 ) ( 1156080 1377510 0 )
NEW met2 ( 1468320 1263550 0 ) ( 1468320 1264290 )
NEW met2 ( 1468080 1264290 ) ( 1468320 1264290 )
NEW met2 ( 1385520 1274835 ) ( 1385520 1308875 )
NEW met1 ( 1385520 1274835 ) ( 1468080 1274835 )
NEW met1 ( 1170960 1308875 ) ( 1385520 1308875 )
NEW met2 ( 1468080 1264290 ) ( 1468080 1274835 )
NEW met1 ( 1156080 1309615 ) M1M2_PR
NEW met1 ( 1385520 1308875 ) M1M2_PR
NEW met1 ( 1385520 1274835 ) M1M2_PR
NEW met1 ( 1468080 1274835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[120\] ( mprj la_data_in[120] ) ( mgmt_buffers la_data_in_core[120] )
+ ROUTED met4 ( 1422240 1234690 ) ( 1422240 1337550 )
NEW met3 ( 1422240 1234690 ) ( 1441440 1234690 0 )
NEW met2 ( 3100560 1347355 ) ( 3100560 1377510 0 )
NEW met1 ( 2295600 1347355 ) ( 3100560 1347355 )
NEW met3 ( 1422240 1337550 ) ( 2295600 1337550 )
NEW met2 ( 2295600 1337550 ) ( 2295600 1347355 )
NEW met3 ( 1422240 1234690 ) M3M4_PR_M
NEW met3 ( 1422240 1337550 ) M3M4_PR_M
NEW met1 ( 2295600 1347355 ) M1M2_PR
NEW met1 ( 3100560 1347355 ) M1M2_PR
NEW met2 ( 2295600 1337550 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[121\] ( mprj la_data_in[121] ) ( mgmt_buffers la_data_in_core[121] )
+ ROUTED met2 ( 1470000 1263550 ) ( 1470240 1263550 0 )
NEW met2 ( 1470000 1263550 ) ( 1470000 1305730 )
NEW met3 ( 1470000 1305730 ) ( 3118320 1305730 )
NEW met2 ( 3118320 1305730 ) ( 3118320 1377510 0 )
NEW met2 ( 1470000 1305730 ) via2_FR
NEW met2 ( 3118320 1305730 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[122\] ( mprj la_data_in[122] ) ( mgmt_buffers la_data_in_core[122] )
+ ROUTED met2 ( 1471920 1263550 0 ) ( 1471920 1304990 )
NEW met3 ( 1471920 1304990 ) ( 3136080 1304990 )
NEW met2 ( 3136080 1304990 ) ( 3136080 1377510 0 )
NEW met2 ( 1471920 1304990 ) via2_FR
NEW met2 ( 3136080 1304990 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[123\] ( mprj la_data_in[123] ) ( mgmt_buffers la_data_in_core[123] )
+ ROUTED met2 ( 1613520 1269470 ) ( 1613520 1281125 )
NEW met3 ( 1443360 1231730 0 ) ( 1443360 1233210 )
NEW met4 ( 1443360 1233210 ) ( 1443360 1243570 )
NEW met3 ( 1441200 1243570 ) ( 1443360 1243570 )
NEW met2 ( 1441200 1243570 ) ( 1441200 1269470 )
NEW met3 ( 1441200 1269470 ) ( 1613520 1269470 )
NEW met1 ( 1613520 1281125 ) ( 3153840 1281125 )
NEW met2 ( 3153360 1296110 ) ( 3153840 1296110 )
NEW met2 ( 3153360 1296110 ) ( 3153360 1378250 )
NEW met2 ( 3153360 1378250 ) ( 3154320 1378250 0 )
NEW met2 ( 3153840 1281125 ) ( 3153840 1296110 )
NEW met2 ( 1613520 1269470 ) via2_FR
NEW met1 ( 1613520 1281125 ) M1M2_PR
NEW met1 ( 3153840 1281125 ) M1M2_PR
NEW met3 ( 1443360 1233210 ) M3M4_PR_M
NEW met3 ( 1443360 1243570 ) M3M4_PR_M
NEW met2 ( 1441200 1243570 ) via2_FR
NEW met2 ( 1441200 1269470 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[124\] ( mprj la_data_in[124] ) ( mgmt_buffers la_data_in_core[124] )
+ ROUTED met2 ( 3171600 1304250 ) ( 3171600 1377510 0 )
NEW met2 ( 1473840 1263550 0 ) ( 1473840 1304250 )
NEW met3 ( 1473840 1304250 ) ( 3171600 1304250 )
NEW met2 ( 3171600 1304250 ) via2_FR
NEW met2 ( 1473840 1304250 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[125\] ( mprj la_data_in[125] ) ( mgmt_buffers la_data_in_core[125] )
+ ROUTED met2 ( 3188400 1377510 ) ( 3189840 1377510 0 )
NEW met4 ( 1421280 1228770 ) ( 1421280 1273170 )
NEW met2 ( 1613040 1273170 ) ( 1613040 1281495 )
NEW met2 ( 3188400 1281495 ) ( 3188400 1377510 )
NEW met3 ( 1421280 1228770 ) ( 1441440 1228770 0 )
NEW met3 ( 1421280 1273170 ) ( 1613040 1273170 )
NEW met1 ( 1613040 1281495 ) ( 3188400 1281495 )
NEW met3 ( 1421280 1228770 ) M3M4_PR_M
NEW met3 ( 1421280 1273170 ) M3M4_PR_M
NEW met2 ( 1613040 1273170 ) via2_FR
NEW met1 ( 1613040 1281495 ) M1M2_PR
NEW met1 ( 3188400 1281495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[126\] ( mprj la_data_in[126] ) ( mgmt_buffers la_data_in_core[126] )
+ ROUTED met2 ( 3207600 1303510 ) ( 3207600 1377510 0 )
NEW met2 ( 1475760 1263550 0 ) ( 1475760 1303510 )
NEW met3 ( 1475760 1303510 ) ( 3207600 1303510 )
NEW met2 ( 3207600 1303510 ) via2_FR
NEW met2 ( 1475760 1303510 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[127\] ( mprj la_data_in[127] ) ( mgmt_buffers la_data_in_core[127] )
+ ROUTED met2 ( 3225360 1302770 ) ( 3225360 1377510 0 )
NEW met2 ( 1477440 1263550 0 ) ( 1477680 1263550 )
NEW met2 ( 1477680 1263550 ) ( 1477680 1302770 )
NEW met3 ( 1477680 1302770 ) ( 3225360 1302770 )
NEW met2 ( 3225360 1302770 ) via2_FR
NEW met2 ( 1477680 1302770 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[12\] ( mprj la_data_in[12] ) ( mgmt_buffers la_data_in_core[12] )
+ ROUTED met1 ( 1173360 1344025 ) ( 1179600 1344025 )
NEW met2 ( 1173360 1344025 ) ( 1173360 1377510 0 )
NEW met2 ( 1411920 1226550 ) ( 1411920 1238205 )
NEW met2 ( 1179600 1238205 ) ( 1179600 1344025 )
NEW met1 ( 1179600 1238205 ) ( 1411920 1238205 )
NEW met3 ( 1411920 1226550 ) ( 1441440 1226550 0 )
NEW met1 ( 1179600 1238205 ) M1M2_PR
NEW met1 ( 1179600 1344025 ) M1M2_PR
NEW met1 ( 1173360 1344025 ) M1M2_PR
NEW met2 ( 1411920 1226550 ) via2_FR
NEW met1 ( 1411920 1238205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[13\] ( mprj la_data_in[13] ) ( mgmt_buffers la_data_in_core[13] )
+ ROUTED met2 ( 1191600 1309245 ) ( 1191600 1377510 0 )
NEW met2 ( 1479360 1263550 0 ) ( 1479360 1264290 )
NEW met2 ( 1479120 1264290 ) ( 1479360 1264290 )
NEW met2 ( 1479120 1264290 ) ( 1479120 1309245 )
NEW met1 ( 1191600 1309245 ) ( 1479120 1309245 )
NEW met1 ( 1191600 1309245 ) M1M2_PR
NEW met1 ( 1479120 1309245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[14\] ( mprj la_data_in[14] ) ( mgmt_buffers la_data_in_core[14] )
+ ROUTED met2 ( 1208400 1377510 ) ( 1209360 1377510 0 )
NEW met2 ( 1411440 1223590 ) ( 1411440 1223775 )
NEW met2 ( 1208400 1223775 ) ( 1208400 1377510 )
NEW met1 ( 1208400 1223775 ) ( 1411440 1223775 )
NEW met3 ( 1411440 1223590 ) ( 1441440 1223590 0 )
NEW met1 ( 1208400 1223775 ) M1M2_PR
NEW met2 ( 1411440 1223590 ) via2_FR
NEW met1 ( 1411440 1223775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[15\] ( mprj la_data_in[15] ) ( mgmt_buffers la_data_in_core[15] )
+ ROUTED met2 ( 1227120 1309615 ) ( 1227120 1377510 0 )
NEW met2 ( 1481040 1263550 ) ( 1481280 1263550 0 )
NEW met2 ( 1481040 1263550 ) ( 1481040 1309615 )
NEW met1 ( 1227120 1309615 ) ( 1481040 1309615 )
NEW met1 ( 1227120 1309615 ) M1M2_PR
NEW met1 ( 1481040 1309615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[16\] ( mprj la_data_in[16] ) ( mgmt_buffers la_data_in_core[16] )
+ ROUTED met2 ( 1245360 1309985 ) ( 1245360 1377510 0 )
NEW met1 ( 1245360 1309985 ) ( 1482960 1309985 )
NEW met2 ( 1482960 1263550 0 ) ( 1482960 1265215 )
NEW met2 ( 1481520 1265215 ) ( 1482960 1265215 )
NEW met2 ( 1481520 1265215 ) ( 1481520 1279645 )
NEW met2 ( 1481520 1279645 ) ( 1482960 1279645 )
NEW met2 ( 1482960 1279645 ) ( 1482960 1309985 )
NEW met1 ( 1245360 1309985 ) M1M2_PR
NEW met1 ( 1482960 1309985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[17\] ( mprj la_data_in[17] ) ( mgmt_buffers la_data_in_core[17] )
+ ROUTED met2 ( 1421520 1220630 ) ( 1421520 1223405 )
NEW met1 ( 1266960 1223405 ) ( 1421520 1223405 )
NEW met1 ( 1262640 1344025 ) ( 1266960 1344025 )
NEW met2 ( 1262640 1344025 ) ( 1262640 1377510 0 )
NEW met3 ( 1421520 1220630 ) ( 1441440 1220630 0 )
NEW met2 ( 1266960 1223405 ) ( 1266960 1344025 )
NEW met2 ( 1421520 1220630 ) via2_FR
NEW met1 ( 1421520 1223405 ) M1M2_PR
NEW met1 ( 1266960 1223405 ) M1M2_PR
NEW met1 ( 1266960 1344025 ) M1M2_PR
NEW met1 ( 1262640 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[18\] ( mprj la_data_in[18] ) ( mgmt_buffers la_data_in_core[18] )
+ ROUTED met2 ( 1280880 1310355 ) ( 1280880 1377510 0 )
NEW met2 ( 1484880 1263550 0 ) ( 1484880 1310355 )
NEW met1 ( 1280880 1310355 ) ( 1484880 1310355 )
NEW met1 ( 1280880 1310355 ) M1M2_PR
NEW met1 ( 1484880 1310355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[19\] ( mprj la_data_in[19] ) ( mgmt_buffers la_data_in_core[19] )
+ ROUTED met2 ( 1411440 1218410 ) ( 1411440 1223035 )
NEW met1 ( 1310160 1223035 ) ( 1411440 1223035 )
NEW met1 ( 1298640 1344025 ) ( 1310160 1344025 )
NEW met2 ( 1298640 1344025 ) ( 1298640 1377510 0 )
NEW met3 ( 1411440 1218410 ) ( 1441440 1218410 0 )
NEW met2 ( 1310160 1223035 ) ( 1310160 1344025 )
NEW met2 ( 1411440 1218410 ) via2_FR
NEW met1 ( 1411440 1223035 ) M1M2_PR
NEW met1 ( 1310160 1223035 ) M1M2_PR
NEW met1 ( 1310160 1344025 ) M1M2_PR
NEW met1 ( 1298640 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[1\] ( mprj la_data_in[1] ) ( mgmt_buffers la_data_in_core[1] )
+ ROUTED met2 ( 977520 1306285 ) ( 977520 1377510 0 )
NEW met2 ( 1486800 1263550 0 ) ( 1486800 1306285 )
NEW met1 ( 977520 1306285 ) ( 1486800 1306285 )
NEW met1 ( 977520 1306285 ) M1M2_PR
NEW met1 ( 1486800 1306285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[20\] ( mprj la_data_in[20] ) ( mgmt_buffers la_data_in_core[20] )
+ ROUTED met2 ( 1316400 1302955 ) ( 1316400 1377510 0 )
NEW met2 ( 1488480 1263550 0 ) ( 1488720 1263550 )
NEW met2 ( 1488720 1263550 ) ( 1488720 1302955 )
NEW met1 ( 1316400 1302955 ) ( 1488720 1302955 )
NEW met1 ( 1316400 1302955 ) M1M2_PR
NEW met1 ( 1488720 1302955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[21\] ( mprj la_data_in[21] ) ( mgmt_buffers la_data_in_core[21] )
+ ROUTED met2 ( 1415280 1215450 ) ( 1415280 1222665 )
NEW met1 ( 1338960 1222665 ) ( 1415280 1222665 )
NEW met1 ( 1334160 1344025 ) ( 1338960 1344025 )
NEW met2 ( 1334160 1344025 ) ( 1334160 1377510 0 )
NEW met3 ( 1415280 1215450 ) ( 1441440 1215450 0 )
NEW met2 ( 1338960 1222665 ) ( 1338960 1344025 )
NEW met2 ( 1415280 1215450 ) via2_FR
NEW met1 ( 1415280 1222665 ) M1M2_PR
NEW met1 ( 1338960 1222665 ) M1M2_PR
NEW met1 ( 1338960 1344025 ) M1M2_PR
NEW met1 ( 1334160 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[22\] ( mprj la_data_in[22] ) ( mgmt_buffers la_data_in_core[22] )
+ ROUTED met2 ( 1351920 1302585 ) ( 1351920 1377510 0 )
NEW met2 ( 1490400 1263550 0 ) ( 1490400 1264290 )
NEW met2 ( 1490160 1264290 ) ( 1490400 1264290 )
NEW met2 ( 1490160 1264290 ) ( 1490160 1302585 )
NEW met1 ( 1351920 1302585 ) ( 1490160 1302585 )
NEW met1 ( 1351920 1302585 ) M1M2_PR
NEW met1 ( 1490160 1302585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[23\] ( mprj la_data_in[23] ) ( mgmt_buffers la_data_in_core[23] )
+ ROUTED met1 ( 1382160 1222295 ) ( 1412400 1222295 )
NEW met2 ( 1412400 1212490 ) ( 1412400 1222295 )
NEW met1 ( 1370160 1344025 ) ( 1382160 1344025 )
NEW met2 ( 1370160 1344025 ) ( 1370160 1377510 0 )
NEW met2 ( 1382160 1222295 ) ( 1382160 1344025 )
NEW met3 ( 1412400 1212490 ) ( 1441440 1212490 0 )
NEW met1 ( 1382160 1222295 ) M1M2_PR
NEW met1 ( 1412400 1222295 ) M1M2_PR
NEW met2 ( 1412400 1212490 ) via2_FR
NEW met1 ( 1382160 1344025 ) M1M2_PR
NEW met1 ( 1370160 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[24\] ( mprj la_data_in[24] ) ( mgmt_buffers la_data_in_core[24] )
+ ROUTED met2 ( 1387920 1302215 ) ( 1387920 1377510 0 )
NEW met2 ( 1492080 1263550 ) ( 1492320 1263550 0 )
NEW met2 ( 1492080 1263550 ) ( 1492080 1302215 )
NEW met1 ( 1387920 1302215 ) ( 1492080 1302215 )
NEW met1 ( 1387920 1302215 ) M1M2_PR
NEW met1 ( 1492080 1302215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[25\] ( mprj la_data_in[25] ) ( mgmt_buffers la_data_in_core[25] )
+ ROUTED met2 ( 1405680 1341435 ) ( 1405680 1377510 0 )
NEW met1 ( 1405680 1341435 ) ( 1494000 1341435 )
NEW met2 ( 1494000 1263550 0 ) ( 1494000 1341435 )
NEW met1 ( 1405680 1341435 ) M1M2_PR
NEW met1 ( 1494000 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[26\] ( mprj la_data_in[26] ) ( mgmt_buffers la_data_in_core[26] )
+ ROUTED met2 ( 1411440 1225070 ) ( 1411920 1225070 )
NEW met2 ( 1411920 1211750 ) ( 1411920 1225070 )
NEW met2 ( 1422000 1377510 ) ( 1423440 1377510 0 )
NEW met1 ( 1411440 1288525 ) ( 1422000 1288525 )
NEW met2 ( 1411440 1225070 ) ( 1411440 1288525 )
NEW met2 ( 1422000 1288525 ) ( 1422000 1377510 )
NEW met3 ( 1441440 1209900 0 ) ( 1441440 1211750 )
NEW met3 ( 1411920 1211750 ) ( 1441440 1211750 )
NEW met2 ( 1411920 1211750 ) via2_FR
NEW met1 ( 1411440 1288525 ) M1M2_PR
NEW met1 ( 1422000 1288525 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[27\] ( mprj la_data_in[27] ) ( mgmt_buffers la_data_in_core[27] )
+ ROUTED met1 ( 1441200 1342545 ) ( 1495920 1342545 )
NEW met2 ( 1441200 1342545 ) ( 1441200 1377510 0 )
NEW met2 ( 1495920 1263550 0 ) ( 1495920 1342545 )
NEW met1 ( 1495920 1342545 ) M1M2_PR
NEW met1 ( 1441200 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[28\] ( mprj la_data_in[28] ) ( mgmt_buffers la_data_in_core[28] )
+ ROUTED met2 ( 1424880 1207310 ) ( 1424880 1268175 )
NEW met3 ( 1424880 1207310 ) ( 1441440 1207310 0 )
NEW met2 ( 1454640 1377510 ) ( 1458960 1377510 0 )
NEW met1 ( 1424880 1268175 ) ( 1454640 1268175 )
NEW met2 ( 1454640 1268175 ) ( 1454640 1377510 )
NEW met2 ( 1424880 1207310 ) via2_FR
NEW met1 ( 1424880 1268175 ) M1M2_PR
NEW met1 ( 1454640 1268175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[29\] ( mprj la_data_in[29] ) ( mgmt_buffers la_data_in_core[29] )
+ ROUTED met1 ( 1477200 1343655 ) ( 1497840 1343655 )
NEW met2 ( 1477200 1343655 ) ( 1477200 1377510 0 )
NEW met2 ( 1497840 1263550 0 ) ( 1497840 1343655 )
NEW met1 ( 1497840 1343655 ) M1M2_PR
NEW met1 ( 1477200 1343655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[2\] ( mprj la_data_in[2] ) ( mgmt_buffers la_data_in_core[2] )
+ ROUTED met2 ( 995280 1307025 ) ( 995280 1377510 0 )
NEW met2 ( 1499520 1263550 0 ) ( 1499760 1263550 )
NEW met2 ( 1499760 1263550 ) ( 1499760 1307025 )
NEW met1 ( 995280 1307025 ) ( 1499760 1307025 )
NEW met1 ( 995280 1307025 ) M1M2_PR
NEW met1 ( 1499760 1307025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[30\] ( mprj la_data_in[30] ) ( mgmt_buffers la_data_in_core[30] )
+ ROUTED met1 ( 1494960 1344025 ) ( 1501680 1344025 )
NEW met2 ( 1494960 1344025 ) ( 1494960 1377510 0 )
NEW met2 ( 1501440 1263550 0 ) ( 1501440 1265030 )
NEW met2 ( 1501440 1265030 ) ( 1501680 1265030 )
NEW met2 ( 1501680 1265030 ) ( 1501680 1344025 )
NEW met1 ( 1501680 1344025 ) M1M2_PR
NEW met1 ( 1494960 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[31\] ( mprj la_data_in[31] ) ( mgmt_buffers la_data_in_core[31] )
+ ROUTED met1 ( 1503120 1341805 ) ( 1512240 1341805 )
NEW met2 ( 1512240 1341805 ) ( 1512240 1377510 0 )
NEW met2 ( 1503120 1263550 ) ( 1503360 1263550 0 )
NEW met2 ( 1503120 1263550 ) ( 1503120 1341805 )
NEW met1 ( 1503120 1341805 ) M1M2_PR
NEW met1 ( 1512240 1341805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[32\] ( mprj la_data_in[32] ) ( mgmt_buffers la_data_in_core[32] )
+ ROUTED met1 ( 1505040 1343655 ) ( 1530480 1343655 )
NEW met2 ( 1530480 1343655 ) ( 1530480 1377510 0 )
NEW met2 ( 1505040 1263550 0 ) ( 1505040 1343655 )
NEW met1 ( 1505040 1343655 ) M1M2_PR
NEW met1 ( 1530480 1343655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[33\] ( mprj la_data_in[33] ) ( mgmt_buffers la_data_in_core[33] )
+ ROUTED met2 ( 1548240 1339955 ) ( 1548240 1377510 0 )
NEW met1 ( 1506960 1339955 ) ( 1548240 1339955 )
NEW met2 ( 1506960 1263550 0 ) ( 1506960 1339955 )
NEW met1 ( 1548240 1339955 ) M1M2_PR
NEW met1 ( 1506960 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[34\] ( mprj la_data_in[34] ) ( mgmt_buffers la_data_in_core[34] )
+ ROUTED met2 ( 1566480 1340325 ) ( 1566480 1377510 0 )
NEW met1 ( 1508880 1340325 ) ( 1566480 1340325 )
NEW met2 ( 1508880 1263550 0 ) ( 1508880 1340325 )
NEW met1 ( 1566480 1340325 ) M1M2_PR
NEW met1 ( 1508880 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[35\] ( mprj la_data_in[35] ) ( mgmt_buffers la_data_in_core[35] )
+ ROUTED met2 ( 1583760 1340695 ) ( 1583760 1377510 0 )
NEW met2 ( 1510560 1263550 0 ) ( 1510560 1265030 )
NEW met2 ( 1509840 1265030 ) ( 1510560 1265030 )
NEW met2 ( 1509840 1265030 ) ( 1509840 1310355 )
NEW met2 ( 1509840 1310355 ) ( 1510320 1310355 )
NEW met1 ( 1509360 1310725 ) ( 1510320 1310725 )
NEW met2 ( 1509360 1310725 ) ( 1509360 1340695 )
NEW met2 ( 1510320 1310355 ) ( 1510320 1310725 )
NEW met1 ( 1509360 1340695 ) ( 1583760 1340695 )
NEW met1 ( 1583760 1340695 ) M1M2_PR
NEW met1 ( 1510320 1310725 ) M1M2_PR
NEW met1 ( 1509360 1310725 ) M1M2_PR
NEW met1 ( 1509360 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[36\] ( mprj la_data_in[36] ) ( mgmt_buffers la_data_in_core[36] )
+ ROUTED met2 ( 1599600 1274465 ) ( 1599600 1275390 )
NEW met2 ( 1599120 1275390 ) ( 1599600 1275390 )
NEW met2 ( 1440240 1238945 ) ( 1440720 1238945 )
NEW met2 ( 1440240 1238945 ) ( 1440240 1240795 )
NEW met1 ( 1440240 1240795 ) ( 1440720 1240795 )
NEW met1 ( 1440720 1240795 ) ( 1440720 1241535 )
NEW met2 ( 1440720 1241535 ) ( 1440720 1274465 )
NEW met2 ( 1599120 1338290 ) ( 1599600 1338290 )
NEW met2 ( 1599600 1338290 ) ( 1599600 1377510 )
NEW met2 ( 1599600 1377510 ) ( 1601520 1377510 0 )
NEW met2 ( 1599120 1275390 ) ( 1599120 1338290 )
NEW met1 ( 1440720 1274465 ) ( 1599600 1274465 )
NEW met2 ( 1447200 1197875 ) ( 1447200 1199170 0 )
NEW met1 ( 1440720 1197875 ) ( 1447200 1197875 )
NEW met2 ( 1440720 1197875 ) ( 1440720 1238945 )
NEW met1 ( 1599600 1274465 ) M1M2_PR
NEW met1 ( 1440240 1240795 ) M1M2_PR
NEW met1 ( 1440720 1241535 ) M1M2_PR
NEW met1 ( 1440720 1274465 ) M1M2_PR
NEW met1 ( 1447200 1197875 ) M1M2_PR
NEW met1 ( 1440720 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[37\] ( mprj la_data_in[37] ) ( mgmt_buffers la_data_in_core[37] )
+ ROUTED met2 ( 1619760 1305915 ) ( 1619760 1377510 0 )
NEW met2 ( 1512480 1263550 0 ) ( 1512480 1265030 )
NEW met2 ( 1512480 1265030 ) ( 1513200 1265030 )
NEW met2 ( 1513200 1265030 ) ( 1513200 1305915 )
NEW met1 ( 1513200 1305915 ) ( 1619760 1305915 )
NEW met1 ( 1619760 1305915 ) M1M2_PR
NEW met1 ( 1513200 1305915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[38\] ( mprj la_data_in[38] ) ( mgmt_buffers la_data_in_core[38] )
+ ROUTED met2 ( 1636080 1377510 ) ( 1637520 1377510 0 )
NEW met2 ( 1611600 1278165 ) ( 1611600 1284455 )
NEW met1 ( 1611600 1284455 ) ( 1636080 1284455 )
NEW met2 ( 1636080 1284455 ) ( 1636080 1377510 )
NEW met2 ( 1448880 1197690 ) ( 1448880 1199170 0 )
NEW met3 ( 1447200 1197690 ) ( 1448880 1197690 )
NEW met4 ( 1447200 1197690 ) ( 1447200 1265030 )
NEW met3 ( 1447200 1265030 ) ( 1447440 1265030 )
NEW met2 ( 1447440 1265030 ) ( 1447440 1278165 )
NEW met1 ( 1447440 1278165 ) ( 1611600 1278165 )
NEW met1 ( 1611600 1278165 ) M1M2_PR
NEW met1 ( 1611600 1284455 ) M1M2_PR
NEW met1 ( 1636080 1284455 ) M1M2_PR
NEW met2 ( 1448880 1197690 ) via2_FR
NEW met3 ( 1447200 1197690 ) M3M4_PR_M
NEW met3 ( 1447200 1265030 ) M3M4_PR_M
NEW met2 ( 1447440 1265030 ) via2_FR
NEW met1 ( 1447440 1278165 ) M1M2_PR
NEW met3 ( 1447200 1265030 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_user\[39\] ( mprj la_data_in[39] ) ( mgmt_buffers la_data_in_core[39] )
+ ROUTED met1 ( 1572240 1306285 ) ( 1572240 1306655 )
NEW met2 ( 1514160 1263550 ) ( 1514400 1263550 0 )
NEW met2 ( 1514160 1263550 ) ( 1514160 1306285 )
NEW met1 ( 1514160 1306285 ) ( 1572240 1306285 )
NEW met1 ( 1572240 1306655 ) ( 1655280 1306655 )
NEW met2 ( 1655280 1306655 ) ( 1655280 1377510 0 )
NEW met1 ( 1514160 1306285 ) M1M2_PR
NEW met1 ( 1655280 1306655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[3\] ( mprj la_data_in[3] ) ( mgmt_buffers la_data_in_core[3] )
+ ROUTED met1 ( 1013040 1345135 ) ( 1021680 1345135 )
NEW met2 ( 1013040 1345135 ) ( 1013040 1377510 0 )
NEW met2 ( 1021680 1180115 ) ( 1021680 1345135 )
NEW met2 ( 1450800 1180115 ) ( 1450800 1199170 0 )
NEW met1 ( 1021680 1180115 ) ( 1450800 1180115 )
NEW met1 ( 1021680 1180115 ) M1M2_PR
NEW met1 ( 1021680 1345135 ) M1M2_PR
NEW met1 ( 1013040 1345135 ) M1M2_PR
NEW met1 ( 1450800 1180115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[40\] ( mprj la_data_in[40] ) ( mgmt_buffers la_data_in_core[40] )
+ ROUTED met2 ( 1516080 1263550 0 ) ( 1516080 1308505 )
NEW met1 ( 1516080 1308505 ) ( 1673040 1308505 )
NEW met2 ( 1673040 1308505 ) ( 1673040 1377510 0 )
NEW met1 ( 1516080 1308505 ) M1M2_PR
NEW met1 ( 1673040 1308505 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[41\] ( mprj la_data_in[41] ) ( mgmt_buffers la_data_in_core[41] )
+ ROUTED met2 ( 1686480 1377510 ) ( 1690800 1377510 0 )
NEW met2 ( 1686480 1277795 ) ( 1686480 1377510 )
NEW met2 ( 1452720 1198245 ) ( 1452720 1199170 0 )
NEW met1 ( 1443120 1198245 ) ( 1452720 1198245 )
NEW met2 ( 1443120 1198245 ) ( 1443120 1277795 )
NEW met2 ( 1554000 1277610 ) ( 1554000 1277795 )
NEW met2 ( 1554000 1277610 ) ( 1554480 1277610 )
NEW met2 ( 1554480 1277610 ) ( 1554480 1277795 )
NEW met1 ( 1443120 1277795 ) ( 1554000 1277795 )
NEW met1 ( 1554480 1277795 ) ( 1686480 1277795 )
NEW met1 ( 1686480 1277795 ) M1M2_PR
NEW met1 ( 1452720 1198245 ) M1M2_PR
NEW met1 ( 1443120 1198245 ) M1M2_PR
NEW met1 ( 1443120 1277795 ) M1M2_PR
NEW met1 ( 1554000 1277795 ) M1M2_PR
NEW met1 ( 1554480 1277795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[42\] ( mprj la_data_in[42] ) ( mgmt_buffers la_data_in_core[42] )
+ ROUTED met2 ( 1518000 1263550 0 ) ( 1518000 1304065 )
NEW met1 ( 1518000 1304065 ) ( 1709040 1304065 )
NEW met2 ( 1709040 1304065 ) ( 1709040 1377510 0 )
NEW met1 ( 1518000 1304065 ) M1M2_PR
NEW met1 ( 1709040 1304065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[43\] ( mprj la_data_in[43] ) ( mgmt_buffers la_data_in_core[43] )
+ ROUTED met2 ( 1454640 1197690 ) ( 1454640 1199170 0 )
NEW met3 ( 1454640 1197690 ) ( 1454880 1197690 )
NEW met2 ( 1725360 1377510 ) ( 1726800 1377510 0 )
NEW met4 ( 1454880 1197690 ) ( 1454880 1279830 )
NEW met4 ( 1686240 1277055 ) ( 1686240 1279830 )
NEW met4 ( 1686240 1277055 ) ( 1688160 1277055 )
NEW met4 ( 1688160 1273170 ) ( 1688160 1277055 )
NEW met3 ( 1688160 1273170 ) ( 1725360 1273170 )
NEW met3 ( 1454880 1279830 ) ( 1686240 1279830 )
NEW met2 ( 1725360 1273170 ) ( 1725360 1377510 )
NEW met2 ( 1454640 1197690 ) via2_FR
NEW met3 ( 1454880 1197690 ) M3M4_PR_M
NEW met3 ( 1454880 1279830 ) M3M4_PR_M
NEW met3 ( 1686240 1279830 ) M3M4_PR_M
NEW met3 ( 1688160 1273170 ) M3M4_PR_M
NEW met2 ( 1725360 1273170 ) via2_FR
NEW met3 ( 1454640 1197690 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_user\[44\] ( mprj la_data_in[44] ) ( mgmt_buffers la_data_in_core[44] )
+ ROUTED met2 ( 1744560 1308135 ) ( 1744560 1377510 0 )
NEW met2 ( 1519920 1263550 0 ) ( 1519920 1308135 )
NEW met1 ( 1519920 1308135 ) ( 1744560 1308135 )
NEW met1 ( 1744560 1308135 ) M1M2_PR
NEW met1 ( 1519920 1308135 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[45\] ( mprj la_data_in[45] ) ( mgmt_buffers la_data_in_core[45] )
+ ROUTED met2 ( 1757520 1377510 ) ( 1762320 1377510 0 )
NEW met2 ( 1456320 1198430 ) ( 1456320 1199170 0 )
NEW met2 ( 1456320 1198430 ) ( 1457040 1198430 )
NEW met2 ( 1457040 1197690 ) ( 1457040 1198430 )
NEW met3 ( 1456800 1197690 ) ( 1457040 1197690 )
NEW met4 ( 1456800 1197690 ) ( 1456800 1279090 )
NEW met4 ( 1757280 1279090 ) ( 1757280 1285750 )
NEW met3 ( 1757280 1285750 ) ( 1757520 1285750 )
NEW met3 ( 1456800 1279090 ) ( 1757280 1279090 )
NEW met2 ( 1757520 1285750 ) ( 1757520 1377510 )
NEW met2 ( 1457040 1197690 ) via2_FR
NEW met3 ( 1456800 1197690 ) M3M4_PR_M
NEW met3 ( 1456800 1279090 ) M3M4_PR_M
NEW met3 ( 1757280 1279090 ) M3M4_PR_M
NEW met3 ( 1757280 1285750 ) M3M4_PR_M
NEW met2 ( 1757520 1285750 ) via2_FR
NEW met3 ( 1457040 1197690 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1757280 1285750 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_in_user\[46\] ( mprj la_data_in[46] ) ( mgmt_buffers la_data_in_core[46] )
+ ROUTED met2 ( 1780080 1298145 ) ( 1780080 1377510 0 )
NEW met2 ( 1521600 1263550 0 ) ( 1521840 1263550 )
NEW met2 ( 1521840 1263550 ) ( 1521840 1298145 )
NEW met1 ( 1521840 1298145 ) ( 1780080 1298145 )
NEW met1 ( 1780080 1298145 ) M1M2_PR
NEW met1 ( 1521840 1298145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[47\] ( mprj la_data_in[47] ) ( mgmt_buffers la_data_in_core[47] )
+ ROUTED met2 ( 1796880 1377510 ) ( 1798320 1377510 0 )
NEW met2 ( 1796880 1278350 ) ( 1796880 1377510 )
NEW met2 ( 1458240 1198430 ) ( 1458240 1199170 0 )
NEW met2 ( 1458000 1198430 ) ( 1458240 1198430 )
NEW met2 ( 1458000 1197690 ) ( 1458000 1198430 )
NEW met3 ( 1458000 1197690 ) ( 1458720 1197690 )
NEW met4 ( 1458720 1197690 ) ( 1458720 1278350 )
NEW met3 ( 1458720 1278350 ) ( 1796880 1278350 )
NEW met2 ( 1796880 1278350 ) via2_FR
NEW met2 ( 1458000 1197690 ) via2_FR
NEW met3 ( 1458720 1197690 ) M3M4_PR_M
NEW met3 ( 1458720 1278350 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[48\] ( mprj la_data_in[48] ) ( mgmt_buffers la_data_in_core[48] )
+ ROUTED met1 ( 1543440 1302955 ) ( 1543440 1303325 )
NEW met2 ( 1816080 1302955 ) ( 1816080 1377510 0 )
NEW met2 ( 1523520 1263550 0 ) ( 1523520 1264290 )
NEW met2 ( 1523520 1264290 ) ( 1523760 1264290 )
NEW met2 ( 1523760 1264290 ) ( 1523760 1303325 )
NEW met1 ( 1523760 1303325 ) ( 1543440 1303325 )
NEW met1 ( 1543440 1302955 ) ( 1816080 1302955 )
NEW met1 ( 1816080 1302955 ) M1M2_PR
NEW met1 ( 1523760 1303325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[49\] ( mprj la_data_in[49] ) ( mgmt_buffers la_data_in_core[49] )
+ ROUTED met1 ( 1822320 1344025 ) ( 1833360 1344025 )
NEW met2 ( 1833360 1344025 ) ( 1833360 1377510 0 )
NEW met2 ( 1822320 1277610 ) ( 1822320 1344025 )
NEW met2 ( 1459920 1197690 ) ( 1459920 1199170 0 )
NEW met3 ( 1459680 1197690 ) ( 1459920 1197690 )
NEW met4 ( 1459680 1197690 ) ( 1459680 1277610 )
NEW met3 ( 1459680 1277610 ) ( 1822320 1277610 )
NEW met1 ( 1822320 1344025 ) M1M2_PR
NEW met1 ( 1833360 1344025 ) M1M2_PR
NEW met2 ( 1822320 1277610 ) via2_FR
NEW met2 ( 1459920 1197690 ) via2_FR
NEW met3 ( 1459680 1197690 ) M3M4_PR_M
NEW met3 ( 1459680 1277610 ) M3M4_PR_M
NEW met3 ( 1459920 1197690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_in_user\[4\] ( mprj la_data_in[4] ) ( mgmt_buffers la_data_in_core[4] )
+ ROUTED met2 ( 1030800 1307765 ) ( 1030800 1377510 0 )
NEW met2 ( 1525200 1263550 ) ( 1525440 1263550 0 )
NEW met2 ( 1525200 1263550 ) ( 1525200 1307765 )
NEW met1 ( 1030800 1307765 ) ( 1525200 1307765 )
NEW met1 ( 1030800 1307765 ) M1M2_PR
NEW met1 ( 1525200 1307765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[50\] ( mprj la_data_in[50] ) ( mgmt_buffers la_data_in_core[50] )
+ ROUTED met2 ( 1821840 1276870 ) ( 1821840 1277610 )
NEW met2 ( 1821360 1277610 ) ( 1821840 1277610 )
NEW met2 ( 1821360 1277610 ) ( 1821360 1343655 )
NEW met2 ( 1461840 1197690 ) ( 1461840 1199170 0 )
NEW met3 ( 1461600 1197690 ) ( 1461840 1197690 )
NEW met2 ( 1851600 1343655 ) ( 1851600 1377510 0 )
NEW met1 ( 1821360 1343655 ) ( 1851600 1343655 )
NEW met4 ( 1461600 1197690 ) ( 1461600 1276870 )
NEW met3 ( 1461600 1276870 ) ( 1821840 1276870 )
NEW met1 ( 1821360 1343655 ) M1M2_PR
NEW met2 ( 1821840 1276870 ) via2_FR
NEW met2 ( 1461840 1197690 ) via2_FR
NEW met3 ( 1461600 1197690 ) M3M4_PR_M
NEW met1 ( 1851600 1343655 ) M1M2_PR
NEW met3 ( 1461600 1276870 ) M3M4_PR_M
NEW met3 ( 1461840 1197690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_in_user\[51\] ( mprj la_data_in[51] ) ( mgmt_buffers la_data_in_core[51] )
+ ROUTED met2 ( 1867920 1377510 ) ( 1869360 1377510 0 )
NEW met2 ( 1527120 1263550 0 ) ( 1527120 1282050 )
NEW met2 ( 1527120 1282050 ) ( 1528560 1282050 )
NEW met2 ( 1528560 1282050 ) ( 1528560 1304435 )
NEW met1 ( 1528560 1304435 ) ( 1867920 1304435 )
NEW met2 ( 1867920 1304435 ) ( 1867920 1377510 )
NEW met1 ( 1528560 1304435 ) M1M2_PR
NEW met1 ( 1867920 1304435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[52\] ( mprj la_data_in[52] ) ( mgmt_buffers la_data_in_core[52] )
+ ROUTED met2 ( 1463760 1197690 ) ( 1463760 1199170 0 )
NEW met3 ( 1463520 1197690 ) ( 1463760 1197690 )
NEW met2 ( 1887600 1377510 0 ) ( 1889040 1377510 )
NEW met4 ( 1463520 1197690 ) ( 1463520 1276130 )
NEW met3 ( 1463520 1276130 ) ( 1889040 1276130 )
NEW met2 ( 1889040 1276130 ) ( 1889040 1377510 )
NEW met2 ( 1463760 1197690 ) via2_FR
NEW met3 ( 1463520 1197690 ) M3M4_PR_M
NEW met3 ( 1463520 1276130 ) M3M4_PR_M
NEW met2 ( 1889040 1276130 ) via2_FR
NEW met3 ( 1463760 1197690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_in_user\[53\] ( mprj la_data_in[53] ) ( mgmt_buffers la_data_in_core[53] )
+ ROUTED met2 ( 1903920 1377510 ) ( 1905360 1377510 0 )
NEW met2 ( 1529040 1263550 0 ) ( 1529040 1303695 )
NEW met1 ( 1529040 1303695 ) ( 1903920 1303695 )
NEW met2 ( 1903920 1303695 ) ( 1903920 1377510 )
NEW met1 ( 1529040 1303695 ) M1M2_PR
NEW met1 ( 1903920 1303695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[54\] ( mprj la_data_in[54] ) ( mgmt_buffers la_data_in_core[54] )
+ ROUTED met2 ( 1465680 1197690 ) ( 1465680 1199170 0 )
NEW met3 ( 1465440 1197690 ) ( 1465680 1197690 )
NEW met4 ( 1465440 1197690 ) ( 1465440 1275390 )
NEW met3 ( 1465440 1275390 ) ( 1917360 1275390 )
NEW met1 ( 1917360 1313685 ) ( 1920240 1313685 )
NEW met2 ( 1920240 1313685 ) ( 1920240 1377510 )
NEW met2 ( 1920240 1377510 ) ( 1922640 1377510 0 )
NEW met2 ( 1917360 1275390 ) ( 1917360 1313685 )
NEW met2 ( 1465680 1197690 ) via2_FR
NEW met3 ( 1465440 1197690 ) M3M4_PR_M
NEW met3 ( 1465440 1275390 ) M3M4_PR_M
NEW met2 ( 1917360 1275390 ) via2_FR
NEW met1 ( 1917360 1313685 ) M1M2_PR
NEW met1 ( 1920240 1313685 ) M1M2_PR
NEW met3 ( 1465680 1197690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_data_in_user\[55\] ( mprj la_data_in[55] ) ( mgmt_buffers la_data_in_core[55] )
+ ROUTED met2 ( 1530960 1263550 0 ) ( 1530960 1298515 )
NEW met1 ( 1530960 1298515 ) ( 1940880 1298515 )
NEW met2 ( 1940880 1298515 ) ( 1940880 1377510 0 )
NEW met1 ( 1530960 1298515 ) M1M2_PR
NEW met1 ( 1940880 1298515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[56\] ( mprj la_data_in[56] ) ( mgmt_buffers la_data_in_core[56] )
+ ROUTED met1 ( 1950000 1344395 ) ( 1958640 1344395 )
NEW met2 ( 1958640 1344395 ) ( 1958640 1377510 0 )
NEW met2 ( 1950000 1274650 ) ( 1950000 1344395 )
NEW met2 ( 1467360 1198430 ) ( 1467360 1199170 0 )
NEW met2 ( 1467120 1198430 ) ( 1467360 1198430 )
NEW met2 ( 1467120 1196950 ) ( 1467120 1198430 )
NEW met3 ( 1466400 1196950 ) ( 1467120 1196950 )
NEW met4 ( 1466400 1196950 ) ( 1466400 1274650 )
NEW met3 ( 1466400 1274650 ) ( 1950000 1274650 )
NEW met1 ( 1950000 1344395 ) M1M2_PR
NEW met1 ( 1958640 1344395 ) M1M2_PR
NEW met2 ( 1950000 1274650 ) via2_FR
NEW met2 ( 1467120 1196950 ) via2_FR
NEW met3 ( 1466400 1196950 ) M3M4_PR_M
NEW met3 ( 1466400 1274650 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[57\] ( mprj la_data_in[57] ) ( mgmt_buffers la_data_in_core[57] )
+ ROUTED met2 ( 1976400 1298885 ) ( 1976400 1377510 0 )
NEW met2 ( 1532640 1263550 0 ) ( 1532880 1263550 )
NEW met2 ( 1532880 1263550 ) ( 1532880 1298885 )
NEW met1 ( 1532880 1298885 ) ( 1976400 1298885 )
NEW met1 ( 1976400 1298885 ) M1M2_PR
NEW met1 ( 1532880 1298885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[58\] ( mprj la_data_in[58] ) ( mgmt_buffers la_data_in_core[58] )
+ ROUTED met2 ( 1994640 1342730 ) ( 1994640 1377510 0 )
NEW met2 ( 1469280 1198430 ) ( 1469280 1199170 0 )
NEW met2 ( 1469040 1198430 ) ( 1469280 1198430 )
NEW met2 ( 1469040 1195470 ) ( 1469040 1198430 )
NEW met3 ( 1452960 1195470 ) ( 1469040 1195470 )
NEW met3 ( 1452960 1342730 ) ( 1994640 1342730 )
NEW met4 ( 1452960 1195470 ) ( 1452960 1342730 )
NEW met2 ( 1994640 1342730 ) via2_FR
NEW met2 ( 1469040 1195470 ) via2_FR
NEW met3 ( 1452960 1195470 ) M3M4_PR_M
NEW met3 ( 1452960 1342730 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[59\] ( mprj la_data_in[59] ) ( mgmt_buffers la_data_in_core[59] )
+ ROUTED met1 ( 1977840 1298515 ) ( 1977840 1299255 )
NEW met1 ( 1977840 1298515 ) ( 2011920 1298515 )
NEW met2 ( 2011920 1298515 ) ( 2011920 1377510 0 )
NEW met2 ( 1534560 1263550 0 ) ( 1534560 1264475 )
NEW met2 ( 1534560 1264475 ) ( 1534800 1264475 )
NEW met2 ( 1534800 1264475 ) ( 1534800 1299255 )
NEW met1 ( 1534800 1299255 ) ( 1977840 1299255 )
NEW met1 ( 2011920 1298515 ) M1M2_PR
NEW met1 ( 1534800 1299255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[5\] ( mprj la_data_in[5] ) ( mgmt_buffers la_data_in_core[5] )
+ ROUTED met2 ( 1049040 1377510 0 ) ( 1050000 1377510 )
NEW met2 ( 1470960 1179745 ) ( 1470960 1199170 0 )
NEW met1 ( 1050000 1179745 ) ( 1470960 1179745 )
NEW met2 ( 1050000 1179745 ) ( 1050000 1377510 )
NEW met1 ( 1050000 1179745 ) M1M2_PR
NEW met1 ( 1470960 1179745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[60\] ( mprj la_data_in[60] ) ( mgmt_buffers la_data_in_core[60] )
+ ROUTED met1 ( 1995120 1299625 ) ( 1995120 1299995 )
NEW met1 ( 1995120 1299625 ) ( 2030160 1299625 )
NEW met2 ( 2030160 1299625 ) ( 2030160 1377510 0 )
NEW met2 ( 1536240 1263550 ) ( 1536480 1263550 0 )
NEW met2 ( 1536240 1263550 ) ( 1536240 1299995 )
NEW met1 ( 1536240 1299995 ) ( 1995120 1299995 )
NEW met1 ( 2030160 1299625 ) M1M2_PR
NEW met1 ( 1536240 1299995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[61\] ( mprj la_data_in[61] ) ( mgmt_buffers la_data_in_core[61] )
+ ROUTED met2 ( 1472880 1179930 ) ( 1472880 1199170 0 )
NEW met3 ( 1448160 1179930 ) ( 1472880 1179930 )
NEW met2 ( 2047920 1343470 ) ( 2047920 1377510 0 )
NEW met3 ( 1453920 1343470 ) ( 2047920 1343470 )
NEW met3 ( 1448160 1287230 ) ( 1453920 1287230 )
NEW met4 ( 1448160 1179930 ) ( 1448160 1287230 )
NEW met4 ( 1453920 1287230 ) ( 1453920 1343470 )
NEW met2 ( 1472880 1179930 ) via2_FR
NEW met3 ( 1448160 1179930 ) M3M4_PR_M
NEW met3 ( 1453920 1343470 ) M3M4_PR_M
NEW met2 ( 2047920 1343470 ) via2_FR
NEW met3 ( 1448160 1287230 ) M3M4_PR_M
NEW met3 ( 1453920 1287230 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[62\] ( mprj la_data_in[62] ) ( mgmt_buffers la_data_in_core[62] )
+ ROUTED met1 ( 1994640 1299255 ) ( 1994640 1299625 )
NEW met1 ( 1994640 1299255 ) ( 2012400 1299255 )
NEW met1 ( 2012400 1298515 ) ( 2012400 1299255 )
NEW met2 ( 1538160 1263550 0 ) ( 1538160 1299625 )
NEW met1 ( 1538160 1299625 ) ( 1994640 1299625 )
NEW met1 ( 2012400 1298515 ) ( 2065680 1298515 )
NEW met2 ( 2065680 1298515 ) ( 2065680 1377510 0 )
NEW met1 ( 1538160 1299625 ) M1M2_PR
NEW met1 ( 2065680 1298515 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[63\] ( mprj la_data_in[63] ) ( mgmt_buffers la_data_in_core[63] )
+ ROUTED met2 ( 1474800 1197690 ) ( 1474800 1199170 0 )
NEW met3 ( 1470240 1197690 ) ( 1474800 1197690 )
NEW met3 ( 1470240 1197690 ) ( 1470240 1198430 )
NEW met3 ( 1451040 1198430 ) ( 1470240 1198430 )
NEW met3 ( 1451040 1197690 ) ( 1451040 1198430 )
NEW met2 ( 2083440 1344210 ) ( 2083440 1377510 0 )
NEW met3 ( 1451040 1344210 ) ( 2083440 1344210 )
NEW met4 ( 1451040 1197690 ) ( 1451040 1344210 )
NEW met2 ( 1474800 1197690 ) via2_FR
NEW met3 ( 1451040 1197690 ) M3M4_PR_M
NEW met3 ( 1451040 1344210 ) M3M4_PR_M
NEW met2 ( 2083440 1344210 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[64\] ( mprj la_data_in[64] ) ( mgmt_buffers la_data_in_core[64] )
+ ROUTED met2 ( 1540080 1263550 0 ) ( 1540080 1300365 )
NEW met1 ( 1540080 1300365 ) ( 2101200 1300365 )
NEW met2 ( 2101200 1300365 ) ( 2101200 1377510 0 )
NEW met1 ( 1540080 1300365 ) M1M2_PR
NEW met1 ( 2101200 1300365 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[65\] ( mprj la_data_in[65] ) ( mgmt_buffers la_data_in_core[65] )
+ ROUTED met2 ( 1476720 1180670 ) ( 1476720 1199170 0 )
NEW met3 ( 1452000 1180670 ) ( 1476720 1180670 )
NEW met2 ( 2118960 1344950 ) ( 2118960 1377510 0 )
NEW met3 ( 1452000 1344950 ) ( 2118960 1344950 )
NEW met4 ( 1452000 1180670 ) ( 1452000 1344950 )
NEW met2 ( 1476720 1180670 ) via2_FR
NEW met3 ( 1452000 1180670 ) M3M4_PR_M
NEW met3 ( 1452000 1344950 ) M3M4_PR_M
NEW met2 ( 2118960 1344950 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[66\] ( mprj la_data_in[66] ) ( mgmt_buffers la_data_in_core[66] )
+ ROUTED met2 ( 1542000 1263550 0 ) ( 1542000 1300735 )
NEW met1 ( 1542000 1300735 ) ( 2137200 1300735 )
NEW met2 ( 2137200 1300735 ) ( 2137200 1377510 0 )
NEW met1 ( 1542000 1300735 ) M1M2_PR
NEW met1 ( 2137200 1300735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[67\] ( mprj la_data_in[67] ) ( mgmt_buffers la_data_in_core[67] )
+ ROUTED met2 ( 2154960 1314610 ) ( 2154960 1377510 0 )
NEW met2 ( 1478400 1198430 ) ( 1478400 1199170 0 )
NEW met2 ( 1478160 1198430 ) ( 1478400 1198430 )
NEW met2 ( 1478160 1179190 ) ( 1478160 1198430 )
NEW met3 ( 1449120 1179190 ) ( 1478160 1179190 )
NEW met4 ( 1449120 1179190 ) ( 1449120 1314610 )
NEW met3 ( 1449120 1314610 ) ( 2154960 1314610 )
NEW met2 ( 2154960 1314610 ) via2_FR
NEW met2 ( 1478160 1179190 ) via2_FR
NEW met3 ( 1449120 1179190 ) M3M4_PR_M
NEW met3 ( 1449120 1314610 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[68\] ( mprj la_data_in[68] ) ( mgmt_buffers la_data_in_core[68] )
+ ROUTED met2 ( 1543680 1263550 0 ) ( 1543920 1263550 )
NEW met2 ( 1543920 1263550 ) ( 1543920 1303325 )
NEW met1 ( 1543920 1303325 ) ( 1566480 1303325 )
NEW met2 ( 1566480 1301845 ) ( 1566480 1303325 )
NEW met2 ( 2172720 1301845 ) ( 2172720 1377510 0 )
NEW met1 ( 1566480 1301845 ) ( 2172720 1301845 )
NEW met1 ( 1543920 1303325 ) M1M2_PR
NEW met1 ( 1566480 1303325 ) M1M2_PR
NEW met1 ( 1566480 1301845 ) M1M2_PR
NEW met1 ( 2172720 1301845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[69\] ( mprj la_data_in[69] ) ( mgmt_buffers la_data_in_core[69] )
+ ROUTED met2 ( 2190480 1315350 ) ( 2190480 1377510 0 )
NEW met2 ( 1480320 1198430 ) ( 1480320 1199170 0 )
NEW met2 ( 1480080 1198430 ) ( 1480320 1198430 )
NEW met2 ( 1480080 1194730 ) ( 1480080 1198430 )
NEW met3 ( 1457760 1194730 ) ( 1480080 1194730 )
NEW met4 ( 1457760 1194730 ) ( 1457760 1315350 )
NEW met3 ( 1457760 1315350 ) ( 2190480 1315350 )
NEW met2 ( 2190480 1315350 ) via2_FR
NEW met2 ( 1480080 1194730 ) via2_FR
NEW met3 ( 1457760 1194730 ) M3M4_PR_M
NEW met3 ( 1457760 1315350 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[6\] ( mprj la_data_in[6] ) ( mgmt_buffers la_data_in_core[6] )
+ ROUTED met2 ( 1545600 1263550 0 ) ( 1545600 1264290 )
NEW met2 ( 1545360 1264290 ) ( 1545600 1264290 )
NEW met2 ( 1545360 1264290 ) ( 1545360 1301475 )
NEW met2 ( 1066800 1301475 ) ( 1066800 1377510 0 )
NEW met1 ( 1066800 1301475 ) ( 1545360 1301475 )
NEW met1 ( 1545360 1301475 ) M1M2_PR
NEW met1 ( 1066800 1301475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[70\] ( mprj la_data_in[70] ) ( mgmt_buffers la_data_in_core[70] )
+ ROUTED met2 ( 2208240 1316830 ) ( 2208240 1377510 0 )
NEW met2 ( 1482000 1177710 ) ( 1482000 1199170 0 )
NEW met3 ( 1445280 1177710 ) ( 1482000 1177710 )
NEW met4 ( 1445280 1177710 ) ( 1445280 1316830 )
NEW met3 ( 1445280 1316830 ) ( 2208240 1316830 )
NEW met2 ( 2208240 1316830 ) via2_FR
NEW met2 ( 1482000 1177710 ) via2_FR
NEW met3 ( 1445280 1177710 ) M3M4_PR_M
NEW met3 ( 1445280 1316830 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[71\] ( mprj la_data_in[71] ) ( mgmt_buffers la_data_in_core[71] )
+ ROUTED met2 ( 1547280 1263550 ) ( 1547520 1263550 0 )
NEW met2 ( 1547280 1263550 ) ( 1547280 1301475 )
NEW met2 ( 2226480 1301475 ) ( 2226480 1377510 0 )
NEW met1 ( 1547280 1301475 ) ( 2226480 1301475 )
NEW met1 ( 1547280 1301475 ) M1M2_PR
NEW met1 ( 2226480 1301475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[72\] ( mprj la_data_in[72] ) ( mgmt_buffers la_data_in_core[72] )
+ ROUTED met2 ( 2244240 1338290 ) ( 2244240 1377510 0 )
NEW met2 ( 1483920 1178450 ) ( 1483920 1199170 0 )
NEW met3 ( 1450080 1178450 ) ( 1483920 1178450 )
NEW met4 ( 1450080 1178450 ) ( 1450080 1338290 )
NEW met3 ( 1450080 1338290 ) ( 2244240 1338290 )
NEW met2 ( 2244240 1338290 ) via2_FR
NEW met2 ( 1483920 1178450 ) via2_FR
NEW met3 ( 1450080 1178450 ) M3M4_PR_M
NEW met3 ( 1450080 1338290 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[73\] ( mprj la_data_in[73] ) ( mgmt_buffers la_data_in_core[73] )
+ ROUTED met2 ( 1549200 1263550 0 ) ( 1549200 1302215 )
NEW met1 ( 1549200 1302215 ) ( 2262000 1302215 )
NEW met2 ( 2262000 1302215 ) ( 2262000 1377510 0 )
NEW met1 ( 1549200 1302215 ) M1M2_PR
NEW met1 ( 2262000 1302215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[74\] ( mprj la_data_in[74] ) ( mgmt_buffers la_data_in_core[74] )
+ ROUTED met4 ( 1438560 1175490 ) ( 1438560 1324230 )
NEW met2 ( 1485840 1175490 ) ( 1485840 1199170 0 )
NEW met3 ( 1438560 1175490 ) ( 1485840 1175490 )
NEW met3 ( 1438560 1324230 ) ( 2279760 1324230 )
NEW met2 ( 2279760 1324230 ) ( 2279760 1377510 0 )
NEW met3 ( 1438560 1175490 ) M3M4_PR_M
NEW met3 ( 1438560 1324230 ) M3M4_PR_M
NEW met2 ( 1485840 1175490 ) via2_FR
NEW met2 ( 2279760 1324230 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[75\] ( mprj la_data_in[75] ) ( mgmt_buffers la_data_in_core[75] )
+ ROUTED met2 ( 1551120 1263550 0 ) ( 1551120 1302585 )
NEW met1 ( 1551120 1302585 ) ( 2297520 1302585 )
NEW met2 ( 2297520 1302585 ) ( 2297520 1377510 0 )
NEW met1 ( 1551120 1302585 ) M1M2_PR
NEW met1 ( 2297520 1302585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[76\] ( mprj la_data_in[76] ) ( mgmt_buffers la_data_in_core[76] )
+ ROUTED met2 ( 1990800 1172345 ) ( 1990800 1184925 )
NEW met2 ( 1487760 1179190 ) ( 1487760 1199170 0 )
NEW met2 ( 1713840 1172345 ) ( 1713840 1179190 )
NEW met3 ( 1487760 1179190 ) ( 1713840 1179190 )
NEW met1 ( 1713840 1172345 ) ( 1990800 1172345 )
NEW met1 ( 1990800 1184925 ) ( 2304240 1184925 )
NEW met2 ( 2314320 1377510 ) ( 2315760 1377510 0 )
NEW met1 ( 2304240 1288895 ) ( 2314320 1288895 )
NEW met2 ( 2304240 1184925 ) ( 2304240 1288895 )
NEW met2 ( 2314320 1288895 ) ( 2314320 1377510 )
NEW met1 ( 1990800 1172345 ) M1M2_PR
NEW met1 ( 1990800 1184925 ) M1M2_PR
NEW met2 ( 1487760 1179190 ) via2_FR
NEW met1 ( 1713840 1172345 ) M1M2_PR
NEW met2 ( 1713840 1179190 ) via2_FR
NEW met1 ( 2304240 1184925 ) M1M2_PR
NEW met1 ( 2304240 1288895 ) M1M2_PR
NEW met1 ( 2314320 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[77\] ( mprj la_data_in[77] ) ( mgmt_buffers la_data_in_core[77] )
+ ROUTED met2 ( 2333040 1310355 ) ( 2333040 1377510 0 )
NEW met2 ( 1553040 1263550 0 ) ( 1553040 1274095 )
NEW met2 ( 1551600 1274095 ) ( 1553040 1274095 )
NEW met2 ( 1551600 1274095 ) ( 1551600 1310355 )
NEW met1 ( 1551600 1310355 ) ( 2333040 1310355 )
NEW met1 ( 2333040 1310355 ) M1M2_PR
NEW met1 ( 1551600 1310355 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[78\] ( mprj la_data_in[78] ) ( mgmt_buffers la_data_in_core[78] )
+ ROUTED met2 ( 2350800 1336810 ) ( 2350800 1377510 0 )
NEW met2 ( 1489440 1198430 ) ( 1489440 1199170 0 )
NEW met2 ( 1489200 1198430 ) ( 1489440 1198430 )
NEW met2 ( 1489200 1196210 ) ( 1489200 1198430 )
NEW met3 ( 1464480 1196210 ) ( 1489200 1196210 )
NEW met4 ( 1464480 1196210 ) ( 1464480 1336810 )
NEW met3 ( 1464480 1336810 ) ( 2350800 1336810 )
NEW met2 ( 2350800 1336810 ) via2_FR
NEW met2 ( 1489200 1196210 ) via2_FR
NEW met3 ( 1464480 1196210 ) M3M4_PR_M
NEW met3 ( 1464480 1336810 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[79\] ( mprj la_data_in[79] ) ( mgmt_buffers la_data_in_core[79] )
+ ROUTED met2 ( 2369040 1309615 ) ( 2369040 1377510 0 )
NEW met2 ( 1554720 1263550 0 ) ( 1554960 1263550 )
NEW met2 ( 1554960 1263550 ) ( 1554960 1272245 )
NEW met1 ( 1550640 1272245 ) ( 1554960 1272245 )
NEW met2 ( 1550640 1272245 ) ( 1550640 1309615 )
NEW met1 ( 1550640 1309615 ) ( 2369040 1309615 )
NEW met1 ( 2369040 1309615 ) M1M2_PR
NEW met1 ( 1554960 1272245 ) M1M2_PR
NEW met1 ( 1550640 1272245 ) M1M2_PR
NEW met1 ( 1550640 1309615 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[7\] ( mprj la_data_in[7] ) ( mgmt_buffers la_data_in_core[7] )
+ ROUTED met2 ( 1491120 1180485 ) ( 1491120 1197690 )
NEW met2 ( 1491120 1197690 ) ( 1491360 1197690 )
NEW met2 ( 1491360 1197690 ) ( 1491360 1199170 0 )
NEW met1 ( 1094160 1180485 ) ( 1491120 1180485 )
NEW met1 ( 1092240 1245605 ) ( 1094160 1245605 )
NEW met2 ( 1092240 1245605 ) ( 1092240 1278905 )
NEW met1 ( 1092240 1278905 ) ( 1094160 1278905 )
NEW met2 ( 1094160 1180485 ) ( 1094160 1245605 )
NEW met1 ( 1084080 1301845 ) ( 1094160 1301845 )
NEW met2 ( 1084080 1301845 ) ( 1084080 1377510 0 )
NEW met2 ( 1094160 1278905 ) ( 1094160 1301845 )
NEW met1 ( 1094160 1180485 ) M1M2_PR
NEW met1 ( 1491120 1180485 ) M1M2_PR
NEW met1 ( 1094160 1245605 ) M1M2_PR
NEW met1 ( 1092240 1245605 ) M1M2_PR
NEW met1 ( 1092240 1278905 ) M1M2_PR
NEW met1 ( 1094160 1278905 ) M1M2_PR
NEW met1 ( 1094160 1301845 ) M1M2_PR
NEW met1 ( 1084080 1301845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[80\] ( mprj la_data_in[80] ) ( mgmt_buffers la_data_in_core[80] )
+ ROUTED met2 ( 1556640 1263550 0 ) ( 1556640 1264290 )
NEW met2 ( 1556640 1264290 ) ( 1556880 1264290 )
NEW met2 ( 1556880 1264290 ) ( 1556880 1309985 )
NEW met2 ( 2386800 1309985 ) ( 2386800 1377510 0 )
NEW met1 ( 1556880 1309985 ) ( 2386800 1309985 )
NEW met1 ( 1556880 1309985 ) M1M2_PR
NEW met1 ( 2386800 1309985 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[81\] ( mprj la_data_in[81] ) ( mgmt_buffers la_data_in_core[81] )
+ ROUTED met2 ( 2405040 1336070 ) ( 2405040 1377510 0 )
NEW met2 ( 1493040 1176970 ) ( 1493040 1199170 0 )
NEW met3 ( 1446240 1176970 ) ( 1493040 1176970 )
NEW met4 ( 1446240 1176970 ) ( 1446240 1336070 )
NEW met3 ( 1446240 1336070 ) ( 2405040 1336070 )
NEW met2 ( 2405040 1336070 ) via2_FR
NEW met2 ( 1493040 1176970 ) via2_FR
NEW met3 ( 1446240 1176970 ) M3M4_PR_M
NEW met3 ( 1446240 1336070 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_in_user\[82\] ( mprj la_data_in[82] ) ( mgmt_buffers la_data_in_core[82] )
+ ROUTED met2 ( 1558320 1263550 ) ( 1558560 1263550 0 )
NEW met2 ( 1558320 1263550 ) ( 1558320 1309245 )
NEW met2 ( 2422320 1309245 ) ( 2422320 1377510 0 )
NEW met1 ( 1558320 1309245 ) ( 2422320 1309245 )
NEW met1 ( 1558320 1309245 ) M1M2_PR
NEW met1 ( 2422320 1309245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[83\] ( mprj la_data_in[83] ) ( mgmt_buffers la_data_in_core[83] )
+ ROUTED met2 ( 2435280 1377510 ) ( 2440080 1377510 0 )
NEW met2 ( 2435280 1182150 ) ( 2435280 1377510 )
NEW met2 ( 1494960 1182150 ) ( 1494960 1199170 0 )
NEW met3 ( 1494960 1182150 ) ( 2435280 1182150 )
NEW met2 ( 2435280 1182150 ) via2_FR
NEW met2 ( 1494960 1182150 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[84\] ( mprj la_data_in[84] ) ( mgmt_buffers la_data_in_core[84] )
+ ROUTED met2 ( 1560240 1263550 0 ) ( 1560240 1308875 )
NEW met1 ( 1560240 1308875 ) ( 2458320 1308875 )
NEW met2 ( 2458320 1308875 ) ( 2458320 1377510 0 )
NEW met1 ( 1560240 1308875 ) M1M2_PR
NEW met1 ( 2458320 1308875 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[85\] ( mprj la_data_in[85] ) ( mgmt_buffers la_data_in_core[85] )
+ ROUTED met2 ( 1496880 1181410 ) ( 1496880 1199170 0 )
NEW met3 ( 1496880 1181410 ) ( 2463600 1181410 )
NEW met2 ( 2474160 1377510 ) ( 2476080 1377510 0 )
NEW met1 ( 2463600 1288895 ) ( 2474160 1288895 )
NEW met2 ( 2463600 1181410 ) ( 2463600 1288895 )
NEW met2 ( 2474160 1288895 ) ( 2474160 1377510 )
NEW met2 ( 1496880 1181410 ) via2_FR
NEW met2 ( 2463600 1181410 ) via2_FR
NEW met1 ( 2463600 1288895 ) M1M2_PR
NEW met1 ( 2474160 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[86\] ( mprj la_data_in[86] ) ( mgmt_buffers la_data_in_core[86] )
+ ROUTED met2 ( 1562160 1263550 0 ) ( 1562160 1304805 )
NEW met1 ( 1562160 1304805 ) ( 1631760 1304805 )
NEW met2 ( 1631760 1304805 ) ( 1631760 1307765 )
NEW met1 ( 1631760 1307765 ) ( 2494320 1307765 )
NEW met2 ( 2494320 1307765 ) ( 2494320 1377510 0 )
NEW met1 ( 1562160 1304805 ) M1M2_PR
NEW met1 ( 1631760 1304805 ) M1M2_PR
NEW met1 ( 1631760 1307765 ) M1M2_PR
NEW met1 ( 2494320 1307765 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[87\] ( mprj la_data_in[87] ) ( mgmt_buffers la_data_in_core[87] )
+ ROUTED met2 ( 1498800 1182890 ) ( 1498800 1199170 0 )
NEW met2 ( 2506800 1377510 ) ( 2511600 1377510 0 )
NEW met2 ( 2506800 1193065 ) ( 2506800 1377510 )
NEW met2 ( 1802640 1182890 ) ( 1802640 1193065 )
NEW met3 ( 1498800 1182890 ) ( 1802640 1182890 )
NEW met1 ( 1802640 1193065 ) ( 2506800 1193065 )
NEW met2 ( 1498800 1182890 ) via2_FR
NEW met1 ( 2506800 1193065 ) M1M2_PR
NEW met2 ( 1802640 1182890 ) via2_FR
NEW met1 ( 1802640 1193065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[88\] ( mprj la_data_in[88] ) ( mgmt_buffers la_data_in_core[88] )
+ ROUTED met2 ( 1564080 1263550 0 ) ( 1564080 1307765 )
NEW met1 ( 1564080 1307765 ) ( 1631280 1307765 )
NEW met1 ( 1631280 1307395 ) ( 1631280 1307765 )
NEW met1 ( 1631280 1307395 ) ( 2529360 1307395 )
NEW met2 ( 2529360 1307395 ) ( 2529360 1377510 0 )
NEW met1 ( 1564080 1307765 ) M1M2_PR
NEW met1 ( 2529360 1307395 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[89\] ( mprj la_data_in[89] ) ( mgmt_buffers la_data_in_core[89] )
+ ROUTED met2 ( 1500720 1183630 ) ( 1500720 1197690 )
NEW met2 ( 1500480 1197690 ) ( 1500720 1197690 )
NEW met2 ( 1500480 1197690 ) ( 1500480 1199170 0 )
NEW met2 ( 2546160 1377510 ) ( 2547600 1377510 0 )
NEW met1 ( 2535600 1288895 ) ( 2546160 1288895 )
NEW met2 ( 2535600 1193805 ) ( 2535600 1288895 )
NEW met2 ( 2546160 1288895 ) ( 2546160 1377510 )
NEW met2 ( 1795920 1183630 ) ( 1795920 1193805 )
NEW met3 ( 1500720 1183630 ) ( 1795920 1183630 )
NEW met1 ( 1795920 1193805 ) ( 2535600 1193805 )
NEW met2 ( 1500720 1183630 ) via2_FR
NEW met1 ( 2535600 1193805 ) M1M2_PR
NEW met1 ( 2535600 1288895 ) M1M2_PR
NEW met1 ( 2546160 1288895 ) M1M2_PR
NEW met2 ( 1795920 1183630 ) via2_FR
NEW met1 ( 1795920 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[8\] ( mprj la_data_in[8] ) ( mgmt_buffers la_data_in_core[8] )
+ ROUTED met2 ( 1565760 1263550 0 ) ( 1566000 1263550 )
NEW met2 ( 1566000 1263550 ) ( 1566000 1301845 )
NEW met2 ( 1102320 1301845 ) ( 1102320 1377510 0 )
NEW met1 ( 1102320 1301845 ) ( 1566000 1301845 )
NEW met1 ( 1566000 1301845 ) M1M2_PR
NEW met1 ( 1102320 1301845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[90\] ( mprj la_data_in[90] ) ( mgmt_buffers la_data_in_core[90] )
+ ROUTED met2 ( 1772880 1185850 ) ( 1772880 1194545 )
NEW met2 ( 2564880 1377510 ) ( 2565360 1377510 0 )
NEW met2 ( 2564880 1194545 ) ( 2564880 1377510 )
NEW met2 ( 1502640 1185850 ) ( 1502640 1197690 )
NEW met2 ( 1502400 1197690 ) ( 1502640 1197690 )
NEW met2 ( 1502400 1197690 ) ( 1502400 1199170 0 )
NEW met3 ( 1502640 1185850 ) ( 1772880 1185850 )
NEW met1 ( 1772880 1194545 ) ( 2564880 1194545 )
NEW met1 ( 2564880 1194545 ) M1M2_PR
NEW met2 ( 1772880 1185850 ) via2_FR
NEW met1 ( 1772880 1194545 ) M1M2_PR
NEW met2 ( 1502640 1185850 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[91\] ( mprj la_data_in[91] ) ( mgmt_buffers la_data_in_core[91] )
+ ROUTED met2 ( 1567680 1263550 0 ) ( 1567680 1264290 )
NEW met2 ( 1567680 1264290 ) ( 1567920 1264290 )
NEW met2 ( 1567920 1264290 ) ( 1567920 1307395 )
NEW met1 ( 1567920 1307395 ) ( 1630800 1307395 )
NEW met1 ( 1630800 1307025 ) ( 1630800 1307395 )
NEW met2 ( 2582640 1307025 ) ( 2582640 1377510 0 )
NEW met1 ( 1630800 1307025 ) ( 2582640 1307025 )
NEW met1 ( 1567920 1307395 ) M1M2_PR
NEW met1 ( 2582640 1307025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[92\] ( mprj la_data_in[92] ) ( mgmt_buffers la_data_in_core[92] )
+ ROUTED met2 ( 1774320 1184370 ) ( 1774320 1194915 )
NEW met2 ( 2599440 1377510 ) ( 2600880 1377510 0 )
NEW met1 ( 2592240 1276315 ) ( 2599440 1276315 )
NEW met2 ( 2592240 1194915 ) ( 2592240 1276315 )
NEW met2 ( 2599440 1276315 ) ( 2599440 1377510 )
NEW met2 ( 1504080 1184370 ) ( 1504080 1199170 0 )
NEW met3 ( 1504080 1184370 ) ( 1774320 1184370 )
NEW met1 ( 1774320 1194915 ) ( 2592240 1194915 )
NEW met1 ( 2592240 1194915 ) M1M2_PR
NEW met2 ( 1774320 1184370 ) via2_FR
NEW met1 ( 1774320 1194915 ) M1M2_PR
NEW met1 ( 2592240 1276315 ) M1M2_PR
NEW met1 ( 2599440 1276315 ) M1M2_PR
NEW met2 ( 1504080 1184370 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[93\] ( mprj la_data_in[93] ) ( mgmt_buffers la_data_in_core[93] )
+ ROUTED met2 ( 1569360 1263550 ) ( 1569600 1263550 0 )
NEW met2 ( 1569360 1263550 ) ( 1569360 1305175 )
NEW met1 ( 1569360 1305175 ) ( 1620720 1305175 )
NEW met2 ( 1620720 1305175 ) ( 1620720 1306285 )
NEW met2 ( 2618640 1306285 ) ( 2618640 1377510 0 )
NEW met1 ( 1620720 1306285 ) ( 2618640 1306285 )
NEW met1 ( 1569360 1305175 ) M1M2_PR
NEW met1 ( 1620720 1305175 ) M1M2_PR
NEW met1 ( 1620720 1306285 ) M1M2_PR
NEW met1 ( 2618640 1306285 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[94\] ( mprj la_data_in[94] ) ( mgmt_buffers la_data_in_core[94] )
+ ROUTED met2 ( 2636400 1377510 ) ( 2636880 1377510 0 )
NEW met2 ( 2636400 1190845 ) ( 2636400 1377510 )
NEW met2 ( 1506000 1187330 ) ( 1506000 1199170 0 )
NEW met2 ( 2016240 1187330 ) ( 2016240 1190845 )
NEW met3 ( 1506000 1187330 ) ( 2016240 1187330 )
NEW met1 ( 2016240 1190845 ) ( 2636400 1190845 )
NEW met1 ( 2636400 1190845 ) M1M2_PR
NEW met2 ( 1506000 1187330 ) via2_FR
NEW met2 ( 2016240 1187330 ) via2_FR
NEW met1 ( 2016240 1190845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[95\] ( mprj la_data_in[95] ) ( mgmt_buffers la_data_in_core[95] )
+ ROUTED met2 ( 1571280 1263550 0 ) ( 1571280 1282790 )
NEW met2 ( 1571280 1282790 ) ( 1572720 1282790 )
NEW met2 ( 1572720 1282790 ) ( 1572720 1306285 )
NEW met1 ( 1572720 1306285 ) ( 1620240 1306285 )
NEW met1 ( 1620240 1305915 ) ( 1620240 1306285 )
NEW met1 ( 1620240 1305915 ) ( 2654640 1305915 )
NEW met2 ( 2654640 1305915 ) ( 2654640 1377510 0 )
NEW met1 ( 1572720 1306285 ) M1M2_PR
NEW met1 ( 2654640 1305915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[96\] ( mprj la_data_in[96] ) ( mgmt_buffers la_data_in_core[96] )
+ ROUTED met2 ( 2030640 1189550 ) ( 2030640 1191585 )
NEW met2 ( 1507920 1189550 ) ( 1507920 1199170 0 )
NEW met3 ( 1507920 1189550 ) ( 2030640 1189550 )
NEW met1 ( 2030640 1191585 ) ( 2664720 1191585 )
NEW met2 ( 2667120 1377510 ) ( 2671920 1377510 0 )
NEW met1 ( 2664720 1238575 ) ( 2667120 1238575 )
NEW met2 ( 2664720 1191585 ) ( 2664720 1238575 )
NEW met2 ( 2667120 1238575 ) ( 2667120 1377510 )
NEW met1 ( 2664720 1191585 ) M1M2_PR
NEW met2 ( 2030640 1189550 ) via2_FR
NEW met1 ( 2030640 1191585 ) M1M2_PR
NEW met2 ( 1507920 1189550 ) via2_FR
NEW met1 ( 2664720 1238575 ) M1M2_PR
NEW met1 ( 2667120 1238575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[97\] ( mprj la_data_in[97] ) ( mgmt_buffers la_data_in_core[97] )
+ ROUTED met2 ( 1573200 1263550 0 ) ( 1573200 1305545 )
NEW met1 ( 1573200 1305545 ) ( 2690160 1305545 )
NEW met2 ( 2690160 1305545 ) ( 2690160 1377510 0 )
NEW met1 ( 1573200 1305545 ) M1M2_PR
NEW met1 ( 2690160 1305545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[98\] ( mprj la_data_in[98] ) ( mgmt_buffers la_data_in_core[98] )
+ ROUTED met2 ( 1785360 1181595 ) ( 1785360 1185110 )
NEW met2 ( 1982640 1181595 ) ( 1982640 1188070 )
NEW met2 ( 1509840 1185110 ) ( 1509840 1199170 0 )
NEW met3 ( 1509840 1185110 ) ( 1785360 1185110 )
NEW met1 ( 1785360 1181595 ) ( 1982640 1181595 )
NEW met2 ( 2056560 1188070 ) ( 2056560 1191955 )
NEW met3 ( 1982640 1188070 ) ( 2056560 1188070 )
NEW met1 ( 2056560 1191955 ) ( 2707440 1191955 )
NEW met1 ( 2707440 1245605 ) ( 2709360 1245605 )
NEW met2 ( 2709360 1245605 ) ( 2709360 1278905 )
NEW met1 ( 2707440 1278905 ) ( 2709360 1278905 )
NEW met2 ( 2707440 1191955 ) ( 2707440 1245605 )
NEW met1 ( 2707440 1330705 ) ( 2710320 1330705 )
NEW met2 ( 2710320 1330705 ) ( 2710320 1377510 )
NEW met2 ( 2707920 1377510 0 ) ( 2710320 1377510 )
NEW met2 ( 2707440 1278905 ) ( 2707440 1330705 )
NEW met1 ( 2707440 1191955 ) M1M2_PR
NEW met1 ( 1785360 1181595 ) M1M2_PR
NEW met2 ( 1785360 1185110 ) via2_FR
NEW met1 ( 1982640 1181595 ) M1M2_PR
NEW met2 ( 1982640 1188070 ) via2_FR
NEW met2 ( 1509840 1185110 ) via2_FR
NEW met2 ( 2056560 1188070 ) via2_FR
NEW met1 ( 2056560 1191955 ) M1M2_PR
NEW met1 ( 2707440 1245605 ) M1M2_PR
NEW met1 ( 2709360 1245605 ) M1M2_PR
NEW met1 ( 2709360 1278905 ) M1M2_PR
NEW met1 ( 2707440 1278905 ) M1M2_PR
NEW met1 ( 2707440 1330705 ) M1M2_PR
NEW met1 ( 2710320 1330705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in_user\[99\] ( mprj la_data_in[99] ) ( mgmt_buffers la_data_in_core[99] )
+ ROUTED met2 ( 1575120 1263550 0 ) ( 1575120 1300550 )
NEW met3 ( 1575120 1300550 ) ( 2726160 1300550 )
NEW met2 ( 2726160 1300550 ) ( 2726160 1377510 0 )
NEW met2 ( 1575120 1300550 ) via2_FR
NEW met2 ( 2726160 1300550 ) via2_FR
+ USE SIGNAL ;
- la_data_in_user\[9\] ( mprj la_data_in[9] ) ( mgmt_buffers la_data_in_core[9] )
+ ROUTED met2 ( 1120080 1377510 0 ) ( 1122960 1377510 )
NEW met2 ( 1511280 1178635 ) ( 1511280 1197690 )
NEW met2 ( 1511280 1197690 ) ( 1511520 1197690 )
NEW met2 ( 1511520 1197690 ) ( 1511520 1199170 0 )
NEW met1 ( 1122960 1178635 ) ( 1511280 1178635 )
NEW met2 ( 1122960 1178635 ) ( 1122960 1377510 )
NEW met1 ( 1122960 1178635 ) M1M2_PR
NEW met1 ( 1511280 1178635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[0\] ( soc la_output[0] ) ( mgmt_buffers la_data_out_mprj[0] )
+ ROUTED met2 ( 1748880 1156065 ) ( 1748880 1199170 0 )
NEW met2 ( 1868880 1150885 ) ( 1868880 1156065 )
NEW met1 ( 1868880 1150885 ) ( 1912560 1150885 )
NEW met1 ( 1748880 1156065 ) ( 1868880 1156065 )
NEW met2 ( 1912560 1117770 0 ) ( 1912560 1150885 )
NEW met1 ( 1748880 1156065 ) M1M2_PR
NEW met1 ( 1868880 1156065 ) M1M2_PR
NEW met1 ( 1868880 1150885 ) M1M2_PR
NEW met1 ( 1912560 1150885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[100\] ( soc la_output[100] ) ( mgmt_buffers la_data_out_mprj[100] )
+ ROUTED met2 ( 1750800 1188255 ) ( 1750800 1199170 0 )
NEW met2 ( 2387280 1117770 0 ) ( 2387280 1188255 )
NEW met1 ( 1750800 1188255 ) ( 2387280 1188255 )
NEW met1 ( 1750800 1188255 ) M1M2_PR
NEW met1 ( 2387280 1188255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[101\] ( soc la_output[101] ) ( mgmt_buffers la_data_out_mprj[101] )
+ ROUTED met2 ( 1841040 1141635 ) ( 1841040 1145705 )
NEW met1 ( 1794000 1145705 ) ( 1841040 1145705 )
NEW met2 ( 1794000 1145705 ) ( 1794000 1147370 )
NEW met3 ( 1770480 1147370 ) ( 1794000 1147370 )
NEW met2 ( 1770480 1147370 ) ( 1770480 1147555 )
NEW met1 ( 1752720 1147555 ) ( 1770480 1147555 )
NEW met2 ( 1752720 1147555 ) ( 1752720 1199170 0 )
NEW met1 ( 1841040 1141635 ) ( 1910640 1141635 )
NEW met2 ( 1910640 1117770 0 ) ( 1910640 1141635 )
NEW met1 ( 1841040 1141635 ) M1M2_PR
NEW met1 ( 1841040 1145705 ) M1M2_PR
NEW met1 ( 1794000 1145705 ) M1M2_PR
NEW met2 ( 1794000 1147370 ) via2_FR
NEW met2 ( 1770480 1147370 ) via2_FR
NEW met1 ( 1770480 1147555 ) M1M2_PR
NEW met1 ( 1752720 1147555 ) M1M2_PR
NEW met1 ( 1910640 1141635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[102\] ( soc la_output[102] ) ( mgmt_buffers la_data_out_mprj[102] )
+ ROUTED met2 ( 1754640 1188625 ) ( 1754640 1197690 )
NEW met2 ( 1754400 1197690 ) ( 1754640 1197690 )
NEW met2 ( 1754400 1197690 ) ( 1754400 1199170 0 )
NEW met2 ( 2389200 1117770 0 ) ( 2389200 1188625 )
NEW met1 ( 1754640 1188625 ) ( 2389200 1188625 )
NEW met1 ( 1754640 1188625 ) M1M2_PR
NEW met1 ( 2389200 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[103\] ( soc la_output[103] ) ( mgmt_buffers la_data_out_mprj[103] )
+ ROUTED met2 ( 1756560 1156805 ) ( 1756560 1197690 )
NEW met2 ( 1756320 1197690 ) ( 1756560 1197690 )
NEW met2 ( 1756320 1197690 ) ( 1756320 1199170 0 )
NEW met2 ( 1863600 1145335 ) ( 1863600 1156805 )
NEW met1 ( 1863600 1145335 ) ( 1908720 1145335 )
NEW met1 ( 1756560 1156805 ) ( 1863600 1156805 )
NEW met2 ( 1908960 1117770 0 ) ( 1908960 1119250 )
NEW met2 ( 1908720 1119250 ) ( 1908960 1119250 )
NEW met2 ( 1908720 1119250 ) ( 1908720 1145335 )
NEW met1 ( 1756560 1156805 ) M1M2_PR
NEW met1 ( 1863600 1156805 ) M1M2_PR
NEW met1 ( 1863600 1145335 ) M1M2_PR
NEW met1 ( 1908720 1145335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[104\] ( soc la_output[104] ) ( mgmt_buffers la_data_out_mprj[104] )
+ ROUTED met2 ( 1758000 1187885 ) ( 1758000 1199170 0 )
NEW met2 ( 2391120 1117770 0 ) ( 2391120 1187885 )
NEW met1 ( 1758000 1187885 ) ( 2391120 1187885 )
NEW met1 ( 1758000 1187885 ) M1M2_PR
NEW met1 ( 2391120 1187885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[105\] ( soc la_output[105] ) ( mgmt_buffers la_data_out_mprj[105] )
+ ROUTED met2 ( 1805520 1142190 ) ( 1805520 1143115 )
NEW met3 ( 1792560 1142190 ) ( 1805520 1142190 )
NEW met2 ( 1792560 1139785 ) ( 1792560 1142190 )
NEW met1 ( 1760400 1139785 ) ( 1792560 1139785 )
NEW met2 ( 1760400 1139785 ) ( 1760400 1184370 )
NEW met2 ( 1759920 1184370 ) ( 1760400 1184370 )
NEW met2 ( 1759920 1184370 ) ( 1759920 1199170 0 )
NEW met2 ( 1907040 1117770 0 ) ( 1907040 1119250 )
NEW met2 ( 1906800 1119250 ) ( 1907040 1119250 )
NEW met2 ( 1906800 1119250 ) ( 1906800 1124615 )
NEW met1 ( 1842000 1124615 ) ( 1906800 1124615 )
NEW met2 ( 1842000 1124615 ) ( 1842000 1143115 )
NEW met1 ( 1805520 1143115 ) ( 1842000 1143115 )
NEW met1 ( 1805520 1143115 ) M1M2_PR
NEW met2 ( 1805520 1142190 ) via2_FR
NEW met2 ( 1792560 1142190 ) via2_FR
NEW met1 ( 1792560 1139785 ) M1M2_PR
NEW met1 ( 1760400 1139785 ) M1M2_PR
NEW met1 ( 1906800 1124615 ) M1M2_PR
NEW met1 ( 1842000 1124615 ) M1M2_PR
NEW met1 ( 1842000 1143115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[106\] ( soc la_output[106] ) ( mgmt_buffers la_data_out_mprj[106] )
+ ROUTED met2 ( 1761840 1170125 ) ( 1761840 1199170 0 )
NEW met2 ( 1994640 1170125 ) ( 1994640 1181595 )
NEW met2 ( 2392800 1117770 0 ) ( 2392800 1119250 )
NEW met2 ( 2392560 1119250 ) ( 2392800 1119250 )
NEW met2 ( 2392560 1119250 ) ( 2392560 1181595 )
NEW met1 ( 1761840 1170125 ) ( 1994640 1170125 )
NEW met1 ( 1994640 1181595 ) ( 2392560 1181595 )
NEW met1 ( 1761840 1170125 ) M1M2_PR
NEW met1 ( 1994640 1170125 ) M1M2_PR
NEW met1 ( 1994640 1181595 ) M1M2_PR
NEW met1 ( 2392560 1181595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[107\] ( soc la_output[107] ) ( mgmt_buffers la_data_out_mprj[107] )
+ ROUTED met2 ( 1838160 1151625 ) ( 1838160 1167535 )
NEW met1 ( 1814160 1167535 ) ( 1838160 1167535 )
NEW met2 ( 1814160 1167535 ) ( 1814160 1170495 )
NEW met1 ( 1763760 1170495 ) ( 1814160 1170495 )
NEW met2 ( 1763760 1170495 ) ( 1763760 1199170 0 )
NEW met2 ( 1851600 1145705 ) ( 1851600 1151625 )
NEW met1 ( 1851600 1145705 ) ( 1905360 1145705 )
NEW met1 ( 1838160 1151625 ) ( 1851600 1151625 )
NEW met2 ( 1905360 1117770 0 ) ( 1905360 1145705 )
NEW met1 ( 1838160 1151625 ) M1M2_PR
NEW met1 ( 1838160 1167535 ) M1M2_PR
NEW met1 ( 1814160 1167535 ) M1M2_PR
NEW met1 ( 1814160 1170495 ) M1M2_PR
NEW met1 ( 1763760 1170495 ) M1M2_PR
NEW met1 ( 1851600 1151625 ) M1M2_PR
NEW met1 ( 1851600 1145705 ) M1M2_PR
NEW met1 ( 1905360 1145705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[108\] ( soc la_output[108] ) ( mgmt_buffers la_data_out_mprj[108] )
+ ROUTED met2 ( 1765680 1167905 ) ( 1765680 1197690 )
NEW met2 ( 1765440 1197690 ) ( 1765680 1197690 )
NEW met2 ( 1765440 1197690 ) ( 1765440 1199170 0 )
NEW met2 ( 1993680 1167905 ) ( 1993680 1181965 )
NEW met2 ( 2394720 1117770 0 ) ( 2394720 1119250 )
NEW met2 ( 2394480 1119250 ) ( 2394720 1119250 )
NEW met2 ( 2394480 1119250 ) ( 2394480 1181965 )
NEW met1 ( 1765680 1167905 ) ( 1993680 1167905 )
NEW met1 ( 1993680 1181965 ) ( 2394480 1181965 )
NEW met1 ( 1765680 1167905 ) M1M2_PR
NEW met1 ( 1993680 1167905 ) M1M2_PR
NEW met1 ( 1993680 1181965 ) M1M2_PR
NEW met1 ( 2394480 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[109\] ( soc la_output[109] ) ( mgmt_buffers la_data_out_mprj[109] )
+ ROUTED met2 ( 1832400 1144225 ) ( 1832400 1167165 )
NEW met1 ( 1826160 1167165 ) ( 1832400 1167165 )
NEW met2 ( 1826160 1167165 ) ( 1826160 1168090 )
NEW met2 ( 1825680 1168090 ) ( 1826160 1168090 )
NEW met2 ( 1825680 1168090 ) ( 1825680 1169015 )
NEW met1 ( 1805040 1169015 ) ( 1825680 1169015 )
NEW met2 ( 1805040 1169015 ) ( 1805040 1171975 )
NEW met1 ( 1779120 1171975 ) ( 1805040 1171975 )
NEW met1 ( 1779120 1171605 ) ( 1779120 1171975 )
NEW met1 ( 1767600 1171605 ) ( 1779120 1171605 )
NEW met2 ( 1767600 1171605 ) ( 1767600 1197690 )
NEW met2 ( 1767360 1197690 ) ( 1767600 1197690 )
NEW met2 ( 1767360 1197690 ) ( 1767360 1199170 0 )
NEW met1 ( 1832400 1144225 ) ( 1903440 1144225 )
NEW met2 ( 1903440 1117770 0 ) ( 1903440 1144225 )
NEW met1 ( 1832400 1144225 ) M1M2_PR
NEW met1 ( 1832400 1167165 ) M1M2_PR
NEW met1 ( 1826160 1167165 ) M1M2_PR
NEW met1 ( 1825680 1169015 ) M1M2_PR
NEW met1 ( 1805040 1169015 ) M1M2_PR
NEW met1 ( 1805040 1171975 ) M1M2_PR
NEW met1 ( 1767600 1171605 ) M1M2_PR
NEW met1 ( 1903440 1144225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[10\] ( soc la_output[10] ) ( mgmt_buffers la_data_out_mprj[10] )
+ ROUTED met2 ( 2000400 1180485 ) ( 2000400 1182335 )
NEW met2 ( 2396400 1117770 0 ) ( 2396400 1182335 )
NEW met1 ( 2000400 1182335 ) ( 2396400 1182335 )
NEW met2 ( 1769040 1180485 ) ( 1769040 1199170 0 )
NEW met1 ( 1769040 1180485 ) ( 2000400 1180485 )
NEW met1 ( 2000400 1180485 ) M1M2_PR
NEW met1 ( 2000400 1182335 ) M1M2_PR
NEW met1 ( 2396400 1182335 ) M1M2_PR
NEW met1 ( 1769040 1180485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[110\] ( soc la_output[110] ) ( mgmt_buffers la_data_out_mprj[110] )
+ ROUTED met2 ( 1839600 1144965 ) ( 1839600 1146445 )
NEW met1 ( 1793040 1146445 ) ( 1839600 1146445 )
NEW met2 ( 1793040 1145890 ) ( 1793040 1146445 )
NEW met2 ( 1792080 1145890 ) ( 1793040 1145890 )
NEW met2 ( 1792080 1145890 ) ( 1792080 1147555 )
NEW met1 ( 1770960 1147555 ) ( 1792080 1147555 )
NEW met2 ( 1770960 1147555 ) ( 1770960 1199170 0 )
NEW met1 ( 1839600 1144965 ) ( 1901520 1144965 )
NEW met2 ( 1901520 1117770 0 ) ( 1901520 1144965 )
NEW met1 ( 1839600 1144965 ) M1M2_PR
NEW met1 ( 1839600 1146445 ) M1M2_PR
NEW met1 ( 1793040 1146445 ) M1M2_PR
NEW met1 ( 1792080 1147555 ) M1M2_PR
NEW met1 ( 1770960 1147555 ) M1M2_PR
NEW met1 ( 1901520 1144965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[111\] ( soc la_output[111] ) ( mgmt_buffers la_data_out_mprj[111] )
+ ROUTED met2 ( 1773360 1180115 ) ( 1773360 1195470 )
NEW met2 ( 1772880 1195470 ) ( 1773360 1195470 )
NEW met2 ( 1772880 1195470 ) ( 1772880 1199170 0 )
NEW met2 ( 2001840 1180115 ) ( 2001840 1182705 )
NEW met2 ( 2398320 1117770 0 ) ( 2398320 1182705 )
NEW met1 ( 1773360 1180115 ) ( 2001840 1180115 )
NEW met1 ( 2001840 1182705 ) ( 2398320 1182705 )
NEW met1 ( 1773360 1180115 ) M1M2_PR
NEW met1 ( 2001840 1180115 ) M1M2_PR
NEW met1 ( 2001840 1182705 ) M1M2_PR
NEW met1 ( 2398320 1182705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[112\] ( soc la_output[112] ) ( mgmt_buffers la_data_out_mprj[112] )
+ ROUTED met2 ( 1774800 1176045 ) ( 1774800 1199170 0 )
NEW met2 ( 1899600 1117770 0 ) ( 1899600 1176415 )
NEW met1 ( 1863600 1176415 ) ( 1899600 1176415 )
NEW met1 ( 1863600 1176045 ) ( 1863600 1176415 )
NEW met1 ( 1774800 1176045 ) ( 1863600 1176045 )
NEW met1 ( 1774800 1176045 ) M1M2_PR
NEW met1 ( 1899600 1176415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[113\] ( soc la_output[113] ) ( mgmt_buffers la_data_out_mprj[113] )
+ ROUTED met2 ( 1775760 1174010 ) ( 1775760 1197690 )
NEW met2 ( 1775760 1197690 ) ( 1776480 1197690 )
NEW met2 ( 1776480 1197690 ) ( 1776480 1199170 0 )
NEW met2 ( 2400240 1117770 0 ) ( 2400240 1174010 )
NEW met3 ( 1775760 1174010 ) ( 2400240 1174010 )
NEW met2 ( 1775760 1174010 ) via2_FR
NEW met2 ( 2400240 1174010 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[114\] ( soc la_output[114] ) ( mgmt_buffers la_data_out_mprj[114] )
+ ROUTED met2 ( 1778640 1176415 ) ( 1778640 1197690 )
NEW met2 ( 1778400 1197690 ) ( 1778640 1197690 )
NEW met2 ( 1778400 1197690 ) ( 1778400 1199170 0 )
NEW met2 ( 1897920 1117770 0 ) ( 1897920 1119250 )
NEW met2 ( 1897680 1119250 ) ( 1897920 1119250 )
NEW met2 ( 1897680 1119250 ) ( 1897680 1176785 )
NEW met1 ( 1863120 1176785 ) ( 1897680 1176785 )
NEW met1 ( 1863120 1176415 ) ( 1863120 1176785 )
NEW met1 ( 1778640 1176415 ) ( 1863120 1176415 )
NEW met1 ( 1778640 1176415 ) M1M2_PR
NEW met1 ( 1897680 1176785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[115\] ( soc la_output[115] ) ( mgmt_buffers la_data_out_mprj[115] )
+ ROUTED met2 ( 1781040 1155510 ) ( 1781040 1182150 )
NEW met2 ( 1780080 1182150 ) ( 1781040 1182150 )
NEW met2 ( 1780080 1182150 ) ( 1780080 1199170 0 )
NEW met2 ( 2402160 1117770 0 ) ( 2402160 1149775 )
NEW met2 ( 2260560 1149775 ) ( 2260560 1155510 )
NEW met3 ( 1781040 1155510 ) ( 2260560 1155510 )
NEW met1 ( 2260560 1149775 ) ( 2402160 1149775 )
NEW met2 ( 1781040 1155510 ) via2_FR
NEW met1 ( 2402160 1149775 ) M1M2_PR
NEW met1 ( 2260560 1149775 ) M1M2_PR
NEW met2 ( 2260560 1155510 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[116\] ( soc la_output[116] ) ( mgmt_buffers la_data_out_mprj[116] )
+ ROUTED met2 ( 1782000 1176785 ) ( 1782000 1199170 0 )
NEW met2 ( 1896000 1117770 0 ) ( 1896000 1119250 )
NEW met2 ( 1895760 1119250 ) ( 1896000 1119250 )
NEW met2 ( 1895760 1119250 ) ( 1895760 1152365 )
NEW met1 ( 1801680 1152365 ) ( 1895760 1152365 )
NEW met2 ( 1801680 1152365 ) ( 1801680 1176785 )
NEW met2 ( 1800720 1176785 ) ( 1801680 1176785 )
NEW met1 ( 1782000 1176785 ) ( 1800720 1176785 )
NEW met1 ( 1782000 1176785 ) M1M2_PR
NEW met1 ( 1895760 1152365 ) M1M2_PR
NEW met1 ( 1801680 1152365 ) M1M2_PR
NEW met1 ( 1800720 1176785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[117\] ( soc la_output[117] ) ( mgmt_buffers la_data_out_mprj[117] )
+ ROUTED met2 ( 1783920 1174750 ) ( 1783920 1199170 0 )
NEW met2 ( 2403840 1117770 0 ) ( 2403840 1119250 )
NEW met2 ( 2403600 1119250 ) ( 2403840 1119250 )
NEW met2 ( 2403600 1119250 ) ( 2403600 1174750 )
NEW met3 ( 1783920 1174750 ) ( 2403600 1174750 )
NEW met2 ( 1783920 1174750 ) via2_FR
NEW met2 ( 2403600 1174750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[118\] ( soc la_output[118] ) ( mgmt_buffers la_data_out_mprj[118] )
+ ROUTED met2 ( 1785840 1174935 ) ( 1785840 1199170 0 )
NEW met2 ( 1894320 1117770 0 ) ( 1894320 1142745 )
NEW met1 ( 1877040 1142745 ) ( 1894320 1142745 )
NEW met2 ( 1877040 1142745 ) ( 1877040 1174935 )
NEW met1 ( 1785840 1174935 ) ( 1877040 1174935 )
NEW met1 ( 1785840 1174935 ) M1M2_PR
NEW met1 ( 1894320 1142745 ) M1M2_PR
NEW met1 ( 1877040 1142745 ) M1M2_PR
NEW met1 ( 1877040 1174935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[119\] ( soc la_output[119] ) ( mgmt_buffers la_data_out_mprj[119] )
+ ROUTED met2 ( 1787760 1173270 ) ( 1787760 1197690 )
NEW met2 ( 1787520 1197690 ) ( 1787760 1197690 )
NEW met2 ( 1787520 1197690 ) ( 1787520 1199170 0 )
NEW met2 ( 2405760 1117770 0 ) ( 2405760 1119250 )
NEW met2 ( 2405520 1119250 ) ( 2405760 1119250 )
NEW met2 ( 2405520 1119250 ) ( 2405520 1173270 )
NEW met3 ( 1787760 1173270 ) ( 2405520 1173270 )
NEW met2 ( 1787760 1173270 ) via2_FR
NEW met2 ( 2405520 1173270 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[11\] ( soc la_output[11] ) ( mgmt_buffers la_data_out_mprj[11] )
+ ROUTED met2 ( 1789680 1175305 ) ( 1789680 1197690 )
NEW met2 ( 1789440 1197690 ) ( 1789680 1197690 )
NEW met2 ( 1789440 1197690 ) ( 1789440 1199170 0 )
NEW met2 ( 1892400 1117770 0 ) ( 1892400 1142375 )
NEW met1 ( 1864080 1142375 ) ( 1892400 1142375 )
NEW met2 ( 1864080 1142375 ) ( 1864080 1175305 )
NEW met1 ( 1789680 1175305 ) ( 1864080 1175305 )
NEW met1 ( 1789680 1175305 ) M1M2_PR
NEW met1 ( 1892400 1142375 ) M1M2_PR
NEW met1 ( 1864080 1142375 ) M1M2_PR
NEW met1 ( 1864080 1175305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[120\] ( soc la_output[120] ) ( mgmt_buffers la_data_out_mprj[120] )
+ ROUTED met2 ( 1791120 1180670 ) ( 1791120 1199170 0 )
NEW met2 ( 2026320 1168275 ) ( 2026320 1180670 )
NEW met2 ( 2407440 1117770 0 ) ( 2407440 1166425 )
NEW met3 ( 1791120 1180670 ) ( 2026320 1180670 )
NEW met2 ( 2077680 1166425 ) ( 2077680 1168275 )
NEW met1 ( 2026320 1168275 ) ( 2077680 1168275 )
NEW met2 ( 2217840 1166425 ) ( 2217840 1166610 )
NEW met2 ( 2217840 1166610 ) ( 2218320 1166610 )
NEW met2 ( 2218320 1166610 ) ( 2218320 1168090 )
NEW met3 ( 2218320 1168090 ) ( 2220720 1168090 )
NEW met2 ( 2220720 1166425 ) ( 2220720 1168090 )
NEW met1 ( 2077680 1166425 ) ( 2217840 1166425 )
NEW met1 ( 2220720 1166425 ) ( 2407440 1166425 )
NEW met2 ( 1791120 1180670 ) via2_FR
NEW met1 ( 2026320 1168275 ) M1M2_PR
NEW met2 ( 2026320 1180670 ) via2_FR
NEW met1 ( 2407440 1166425 ) M1M2_PR
NEW met1 ( 2077680 1168275 ) M1M2_PR
NEW met1 ( 2077680 1166425 ) M1M2_PR
NEW met1 ( 2217840 1166425 ) M1M2_PR
NEW met2 ( 2218320 1168090 ) via2_FR
NEW met2 ( 2220720 1168090 ) via2_FR
NEW met1 ( 2220720 1166425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[121\] ( soc la_output[121] ) ( mgmt_buffers la_data_out_mprj[121] )
+ ROUTED met2 ( 1793040 1173825 ) ( 1793040 1199170 0 )
NEW met1 ( 1793040 1173825 ) ( 1890480 1173825 )
NEW met2 ( 1890480 1117770 0 ) ( 1890480 1173825 )
NEW met1 ( 1793040 1173825 ) M1M2_PR
NEW met1 ( 1890480 1173825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[122\] ( soc la_output[122] ) ( mgmt_buffers la_data_out_mprj[122] )
+ ROUTED met2 ( 1794960 1168090 ) ( 1794960 1199170 0 )
NEW met2 ( 2409360 1117770 0 ) ( 2409360 1167165 )
NEW met2 ( 2017680 1167165 ) ( 2017680 1168090 )
NEW met2 ( 2016720 1168090 ) ( 2017680 1168090 )
NEW met3 ( 1794960 1168090 ) ( 2016720 1168090 )
NEW met1 ( 2017680 1167165 ) ( 2409360 1167165 )
NEW met2 ( 1794960 1168090 ) via2_FR
NEW met1 ( 2409360 1167165 ) M1M2_PR
NEW met1 ( 2017680 1167165 ) M1M2_PR
NEW met2 ( 2016720 1168090 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[123\] ( soc la_output[123] ) ( mgmt_buffers la_data_out_mprj[123] )
+ ROUTED met2 ( 1796880 1174565 ) ( 1796880 1199170 0 )
NEW met2 ( 1888560 1117770 0 ) ( 1888560 1174935 )
NEW met1 ( 1877520 1174935 ) ( 1888560 1174935 )
NEW met1 ( 1877520 1174565 ) ( 1877520 1174935 )
NEW met1 ( 1796880 1174565 ) ( 1877520 1174565 )
NEW met1 ( 1796880 1174565 ) M1M2_PR
NEW met1 ( 1888560 1174935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[124\] ( soc la_output[124] ) ( mgmt_buffers la_data_out_mprj[124] )
+ ROUTED met2 ( 1798800 1169570 ) ( 1798800 1197690 )
NEW met2 ( 1798560 1197690 ) ( 1798800 1197690 )
NEW met2 ( 1798560 1197690 ) ( 1798560 1199170 0 )
NEW met2 ( 2411280 1117770 0 ) ( 2411280 1166795 )
NEW met2 ( 2022480 1166795 ) ( 2022480 1169570 )
NEW met3 ( 1798800 1169570 ) ( 2022480 1169570 )
NEW met1 ( 2022480 1166795 ) ( 2411280 1166795 )
NEW met2 ( 1798800 1169570 ) via2_FR
NEW met1 ( 2411280 1166795 ) M1M2_PR
NEW met1 ( 2022480 1166795 ) M1M2_PR
NEW met2 ( 2022480 1169570 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[125\] ( soc la_output[125] ) ( mgmt_buffers la_data_out_mprj[125] )
+ ROUTED met2 ( 1800480 1197690 ) ( 1800720 1197690 )
NEW met2 ( 1800480 1197690 ) ( 1800480 1199170 0 )
NEW met2 ( 1886880 1117770 0 ) ( 1886880 1119250 )
NEW met2 ( 1886640 1119250 ) ( 1886880 1119250 )
NEW met2 ( 1886640 1119250 ) ( 1886640 1181965 )
NEW met1 ( 1800720 1181965 ) ( 1886640 1181965 )
NEW met2 ( 1800720 1181965 ) ( 1800720 1197690 )
NEW met1 ( 1886640 1181965 ) M1M2_PR
NEW met1 ( 1800720 1181965 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[126\] ( soc la_output[126] ) ( mgmt_buffers la_data_out_mprj[126] )
+ ROUTED met2 ( 1988880 1167165 ) ( 1988880 1181225 )
NEW met2 ( 2413200 1117770 0 ) ( 2413200 1181225 )
NEW met1 ( 1988880 1181225 ) ( 2413200 1181225 )
NEW met1 ( 1802160 1181225 ) ( 1885680 1181225 )
NEW met2 ( 1885680 1167165 ) ( 1885680 1181225 )
NEW met2 ( 1802160 1181225 ) ( 1802160 1199170 0 )
NEW met1 ( 1885680 1167165 ) ( 1988880 1167165 )
NEW met1 ( 1988880 1167165 ) M1M2_PR
NEW met1 ( 1988880 1181225 ) M1M2_PR
NEW met1 ( 2413200 1181225 ) M1M2_PR
NEW met1 ( 1802160 1181225 ) M1M2_PR
NEW met1 ( 1885680 1181225 ) M1M2_PR
NEW met1 ( 1885680 1167165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[127\] ( soc la_output[127] ) ( mgmt_buffers la_data_out_mprj[127] )
+ ROUTED met2 ( 1804080 1175675 ) ( 1804080 1199170 0 )
NEW met1 ( 1864080 1175675 ) ( 1864080 1176045 )
NEW met1 ( 1864080 1176045 ) ( 1884240 1176045 )
NEW met1 ( 1804080 1175675 ) ( 1864080 1175675 )
NEW met2 ( 1884960 1117770 0 ) ( 1884960 1119250 )
NEW met2 ( 1884240 1119250 ) ( 1884960 1119250 )
NEW met2 ( 1884240 1119250 ) ( 1884240 1176045 )
NEW met1 ( 1804080 1175675 ) M1M2_PR
NEW met1 ( 1884240 1176045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[12\] ( soc la_output[12] ) ( mgmt_buffers la_data_out_mprj[12] )
+ ROUTED met2 ( 1806000 1174195 ) ( 1806000 1199170 0 )
NEW met2 ( 1992240 1167535 ) ( 1992240 1179745 )
NEW met1 ( 1992240 1179745 ) ( 2001360 1179745 )
NEW met2 ( 2001360 1179745 ) ( 2001360 1180855 )
NEW met2 ( 2414880 1117770 0 ) ( 2414880 1119250 )
NEW met2 ( 2414640 1119250 ) ( 2414880 1119250 )
NEW met2 ( 2414640 1119250 ) ( 2414640 1180855 )
NEW met2 ( 1893840 1167535 ) ( 1893840 1174195 )
NEW met1 ( 1806000 1174195 ) ( 1893840 1174195 )
NEW met1 ( 1893840 1167535 ) ( 1992240 1167535 )
NEW met1 ( 2001360 1180855 ) ( 2414640 1180855 )
NEW met1 ( 1806000 1174195 ) M1M2_PR
NEW met1 ( 1992240 1167535 ) M1M2_PR
NEW met1 ( 1992240 1179745 ) M1M2_PR
NEW met1 ( 2001360 1179745 ) M1M2_PR
NEW met1 ( 2001360 1180855 ) M1M2_PR
NEW met1 ( 2414640 1180855 ) M1M2_PR
NEW met1 ( 1893840 1174195 ) M1M2_PR
NEW met1 ( 1893840 1167535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[13\] ( soc la_output[13] ) ( mgmt_buffers la_data_out_mprj[13] )
+ ROUTED met2 ( 1807920 1171235 ) ( 1807920 1199170 0 )
NEW met2 ( 1883280 1117770 0 ) ( 1883280 1142930 )
NEW met2 ( 1882800 1142930 ) ( 1883280 1142930 )
NEW met2 ( 1882800 1142930 ) ( 1882800 1171235 )
NEW met1 ( 1807920 1171235 ) ( 1882800 1171235 )
NEW met1 ( 1807920 1171235 ) M1M2_PR
NEW met1 ( 1882800 1171235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[14\] ( soc la_output[14] ) ( mgmt_buffers la_data_out_mprj[14] )
+ ROUTED met2 ( 1809840 1171975 ) ( 1809840 1197690 )
NEW met2 ( 1809600 1197690 ) ( 1809840 1197690 )
NEW met2 ( 1809600 1197690 ) ( 1809600 1199170 0 )
NEW met2 ( 1994640 1139230 ) ( 1994640 1169015 )
NEW met1 ( 1967280 1169015 ) ( 1994640 1169015 )
NEW met2 ( 1967280 1169015 ) ( 1967280 1171975 )
NEW met2 ( 2416800 1117770 0 ) ( 2416800 1119250 )
NEW met2 ( 2416560 1119250 ) ( 2416800 1119250 )
NEW met2 ( 2416560 1119250 ) ( 2416560 1140710 )
NEW met1 ( 1809840 1171975 ) ( 1967280 1171975 )
NEW met2 ( 2070000 1139230 ) ( 2070000 1140710 )
NEW met3 ( 1994640 1139230 ) ( 2070000 1139230 )
NEW met3 ( 2070000 1140710 ) ( 2416560 1140710 )
NEW met1 ( 1809840 1171975 ) M1M2_PR
NEW met2 ( 1994640 1139230 ) via2_FR
NEW met1 ( 1994640 1169015 ) M1M2_PR
NEW met1 ( 1967280 1169015 ) M1M2_PR
NEW met1 ( 1967280 1171975 ) M1M2_PR
NEW met2 ( 2416560 1140710 ) via2_FR
NEW met2 ( 2070000 1139230 ) via2_FR
NEW met2 ( 2070000 1140710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[15\] ( soc la_output[15] ) ( mgmt_buffers la_data_out_mprj[15] )
+ ROUTED met2 ( 1811760 1170865 ) ( 1811760 1197690 )
NEW met2 ( 1811520 1197690 ) ( 1811760 1197690 )
NEW met2 ( 1811520 1197690 ) ( 1811520 1199170 0 )
NEW met2 ( 1843440 1170865 ) ( 1843440 1171605 )
NEW met1 ( 1843440 1171605 ) ( 1881360 1171605 )
NEW met1 ( 1811760 1170865 ) ( 1843440 1170865 )
NEW met2 ( 1881360 1117770 0 ) ( 1881360 1171605 )
NEW met1 ( 1811760 1170865 ) M1M2_PR
NEW met1 ( 1843440 1170865 ) M1M2_PR
NEW met1 ( 1843440 1171605 ) M1M2_PR
NEW met1 ( 1881360 1171605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[16\] ( soc la_output[16] ) ( mgmt_buffers la_data_out_mprj[16] )
+ ROUTED met2 ( 1813200 1176230 ) ( 1813200 1199170 0 )
NEW met2 ( 2418480 1117770 0 ) ( 2418480 1176230 )
NEW met3 ( 1813200 1176230 ) ( 2418480 1176230 )
NEW met2 ( 1813200 1176230 ) via2_FR
NEW met2 ( 2418480 1176230 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[17\] ( soc la_output[17] ) ( mgmt_buffers la_data_out_mprj[17] )
+ ROUTED met2 ( 1879440 1117770 0 ) ( 1879440 1157175 )
NEW met1 ( 1815120 1157175 ) ( 1879440 1157175 )
NEW met2 ( 1815120 1157175 ) ( 1815120 1199170 0 )
NEW met1 ( 1879440 1157175 ) M1M2_PR
NEW met1 ( 1815120 1157175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[18\] ( soc la_output[18] ) ( mgmt_buffers la_data_out_mprj[18] )
+ ROUTED met2 ( 1817040 1170310 ) ( 1817040 1199170 0 )
NEW met1 ( 2177040 1133125 ) ( 2196720 1133125 )
NEW met2 ( 2196720 1130535 ) ( 2196720 1133125 )
NEW met2 ( 2177040 1133125 ) ( 2177040 1170310 )
NEW met2 ( 2420400 1117770 0 ) ( 2420400 1130535 )
NEW met3 ( 1817040 1170310 ) ( 2177040 1170310 )
NEW met1 ( 2196720 1130535 ) ( 2420400 1130535 )
NEW met2 ( 1817040 1170310 ) via2_FR
NEW met2 ( 2177040 1170310 ) via2_FR
NEW met1 ( 2177040 1133125 ) M1M2_PR
NEW met1 ( 2196720 1133125 ) M1M2_PR
NEW met1 ( 2196720 1130535 ) M1M2_PR
NEW met1 ( 2420400 1130535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[19\] ( soc la_output[19] ) ( mgmt_buffers la_data_out_mprj[19] )
+ ROUTED met2 ( 1877520 1117770 0 ) ( 1877520 1157545 )
NEW met1 ( 1818960 1157545 ) ( 1877520 1157545 )
NEW met2 ( 1818960 1157545 ) ( 1818960 1199170 0 )
NEW met1 ( 1877520 1157545 ) M1M2_PR
NEW met1 ( 1818960 1157545 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[1\] ( soc la_output[1] ) ( mgmt_buffers la_data_out_mprj[1] )
+ ROUTED met2 ( 1820880 1175490 ) ( 1820880 1197690 )
NEW met2 ( 1820640 1197690 ) ( 1820880 1197690 )
NEW met2 ( 1820640 1197690 ) ( 1820640 1199170 0 )
NEW met2 ( 2422320 1117770 0 ) ( 2422320 1175490 )
NEW met3 ( 1820880 1175490 ) ( 2422320 1175490 )
NEW met2 ( 1820880 1175490 ) via2_FR
NEW met2 ( 2422320 1175490 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[20\] ( soc la_output[20] ) ( mgmt_buffers la_data_out_mprj[20] )
+ ROUTED met2 ( 1822800 1169385 ) ( 1822800 1197690 )
NEW met2 ( 1822560 1197690 ) ( 1822800 1197690 )
NEW met2 ( 1822560 1197690 ) ( 1822560 1199170 0 )
NEW met1 ( 1843920 1169385 ) ( 1843920 1169755 )
NEW met1 ( 1843920 1169755 ) ( 1875600 1169755 )
NEW met1 ( 1822800 1169385 ) ( 1843920 1169385 )
NEW met2 ( 1875840 1117770 0 ) ( 1875840 1119250 )
NEW met2 ( 1875600 1119250 ) ( 1875840 1119250 )
NEW met2 ( 1875600 1119250 ) ( 1875600 1169755 )
NEW met1 ( 1822800 1169385 ) M1M2_PR
NEW met1 ( 1875600 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[21\] ( soc la_output[21] ) ( mgmt_buffers la_data_out_mprj[21] )
+ ROUTED met2 ( 1824240 1163835 ) ( 1824240 1199170 0 )
NEW met2 ( 2424240 1117770 0 ) ( 2424240 1142190 )
NEW met2 ( 1871760 1142190 ) ( 1871760 1163835 )
NEW met1 ( 1824240 1163835 ) ( 1871760 1163835 )
NEW met3 ( 1871760 1142190 ) ( 2424240 1142190 )
NEW met1 ( 1824240 1163835 ) M1M2_PR
NEW met2 ( 2424240 1142190 ) via2_FR
NEW met2 ( 1871760 1142190 ) via2_FR
NEW met1 ( 1871760 1163835 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[22\] ( soc la_output[22] ) ( mgmt_buffers la_data_out_mprj[22] )
+ ROUTED met2 ( 1826160 1169015 ) ( 1826160 1199170 0 )
NEW met2 ( 1843440 1167535 ) ( 1843440 1169015 )
NEW met1 ( 1843440 1167535 ) ( 1873680 1167535 )
NEW met1 ( 1826160 1169015 ) ( 1843440 1169015 )
NEW met2 ( 1873920 1117770 0 ) ( 1873920 1119250 )
NEW met2 ( 1873680 1119250 ) ( 1873920 1119250 )
NEW met2 ( 1873680 1119250 ) ( 1873680 1167535 )
NEW met1 ( 1826160 1169015 ) M1M2_PR
NEW met1 ( 1843440 1169015 ) M1M2_PR
NEW met1 ( 1843440 1167535 ) M1M2_PR
NEW met1 ( 1873680 1167535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[23\] ( soc la_output[23] ) ( mgmt_buffers la_data_out_mprj[23] )
+ ROUTED met2 ( 2425920 1117770 0 ) ( 2425920 1119250 )
NEW met2 ( 2425680 1119250 ) ( 2425920 1119250 )
NEW met2 ( 2425680 1119250 ) ( 2425680 1176970 )
NEW met2 ( 1827120 1176970 ) ( 1827120 1196210 )
NEW met2 ( 1827120 1196210 ) ( 1828080 1196210 )
NEW met2 ( 1828080 1196210 ) ( 1828080 1199170 0 )
NEW met3 ( 1827120 1176970 ) ( 2425680 1176970 )
NEW met2 ( 2425680 1176970 ) via2_FR
NEW met2 ( 1827120 1176970 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[24\] ( soc la_output[24] ) ( mgmt_buffers la_data_out_mprj[24] )
+ ROUTED met1 ( 1830000 1171605 ) ( 1840080 1171605 )
NEW met2 ( 1830000 1171605 ) ( 1830000 1199170 0 )
NEW met2 ( 1872240 1117770 0 ) ( 1872240 1143855 )
NEW met2 ( 1840080 1143855 ) ( 1840080 1171605 )
NEW met1 ( 1840080 1143855 ) ( 1872240 1143855 )
NEW met1 ( 1840080 1171605 ) M1M2_PR
NEW met1 ( 1830000 1171605 ) M1M2_PR
NEW met1 ( 1872240 1143855 ) M1M2_PR
NEW met1 ( 1840080 1143855 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[25\] ( soc la_output[25] ) ( mgmt_buffers la_data_out_mprj[25] )
+ ROUTED met2 ( 1831920 1189735 ) ( 1831920 1197690 )
NEW met2 ( 1831680 1197690 ) ( 1831920 1197690 )
NEW met2 ( 1831680 1197690 ) ( 1831680 1199170 0 )
NEW met2 ( 2427840 1117770 0 ) ( 2427840 1119250 )
NEW met2 ( 2427600 1119250 ) ( 2427840 1119250 )
NEW met2 ( 2427600 1119250 ) ( 2427600 1189735 )
NEW met1 ( 1831920 1189735 ) ( 2427600 1189735 )
NEW met1 ( 1831920 1189735 ) M1M2_PR
NEW met1 ( 2427600 1189735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[26\] ( soc la_output[26] ) ( mgmt_buffers la_data_out_mprj[26] )
+ ROUTED met2 ( 1870320 1117770 0 ) ( 1870320 1120730 )
NEW met2 ( 1869840 1120730 ) ( 1870320 1120730 )
NEW met2 ( 1869840 1120730 ) ( 1869840 1198245 )
NEW met2 ( 1833600 1198245 ) ( 1833600 1199170 0 )
NEW met1 ( 1833600 1198245 ) ( 1869840 1198245 )
NEW met1 ( 1869840 1198245 ) M1M2_PR
NEW met1 ( 1833600 1198245 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[27\] ( soc la_output[27] ) ( mgmt_buffers la_data_out_mprj[27] )
+ ROUTED met2 ( 1835280 1177710 ) ( 1835280 1199170 0 )
NEW met2 ( 2429520 1117770 0 ) ( 2429520 1177710 )
NEW met3 ( 1835280 1177710 ) ( 2429520 1177710 )
NEW met2 ( 1835280 1177710 ) via2_FR
NEW met2 ( 2429520 1177710 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[28\] ( soc la_output[28] ) ( mgmt_buffers la_data_out_mprj[28] )
+ ROUTED met2 ( 1837680 1150885 ) ( 1837680 1157730 )
NEW met2 ( 1837200 1157730 ) ( 1837680 1157730 )
NEW met2 ( 1837200 1157730 ) ( 1837200 1199170 0 )
NEW met2 ( 1847280 1146075 ) ( 1847280 1150885 )
NEW met1 ( 1847280 1146075 ) ( 1868400 1146075 )
NEW met1 ( 1837680 1150885 ) ( 1847280 1150885 )
NEW met2 ( 1868400 1117770 0 ) ( 1868400 1146075 )
NEW met1 ( 1837680 1150885 ) M1M2_PR
NEW met1 ( 1847280 1150885 ) M1M2_PR
NEW met1 ( 1847280 1146075 ) M1M2_PR
NEW met1 ( 1868400 1146075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[29\] ( soc la_output[29] ) ( mgmt_buffers la_data_out_mprj[29] )
+ ROUTED met2 ( 1839120 1145890 ) ( 1839120 1199170 0 )
NEW met2 ( 2431440 1117770 0 ) ( 2431440 1145890 )
NEW met3 ( 1839120 1145890 ) ( 2431440 1145890 )
NEW met2 ( 1839120 1145890 ) via2_FR
NEW met2 ( 2431440 1145890 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[2\] ( soc la_output[2] ) ( mgmt_buffers la_data_out_mprj[2] )
+ ROUTED met2 ( 1866480 1117770 0 ) ( 1866480 1147925 )
NEW met1 ( 1841040 1147925 ) ( 1866480 1147925 )
NEW met2 ( 1841040 1147925 ) ( 1841040 1199170 0 )
NEW met1 ( 1866480 1147925 ) M1M2_PR
NEW met1 ( 1841040 1147925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[30\] ( soc la_output[30] ) ( mgmt_buffers la_data_out_mprj[30] )
+ ROUTED met2 ( 1842960 1179930 ) ( 1842960 1197690 )
NEW met2 ( 1842720 1197690 ) ( 1842960 1197690 )
NEW met2 ( 1842720 1197690 ) ( 1842720 1199170 0 )
NEW met2 ( 2433360 1117770 0 ) ( 2433360 1179930 )
NEW met3 ( 1842960 1179930 ) ( 2433360 1179930 )
NEW met2 ( 1842960 1179930 ) via2_FR
NEW met2 ( 2433360 1179930 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[31\] ( soc la_output[31] ) ( mgmt_buffers la_data_out_mprj[31] )
+ ROUTED met2 ( 1864800 1117770 0 ) ( 1864800 1119250 )
NEW met2 ( 1864560 1119250 ) ( 1864800 1119250 )
NEW met2 ( 1864560 1119250 ) ( 1864560 1142745 )
NEW met1 ( 1844880 1142745 ) ( 1864560 1142745 )
NEW met2 ( 1844880 1142745 ) ( 1844880 1197690 )
NEW met2 ( 1844640 1197690 ) ( 1844880 1197690 )
NEW met2 ( 1844640 1197690 ) ( 1844640 1199170 0 )
NEW met1 ( 1864560 1142745 ) M1M2_PR
NEW met1 ( 1844880 1142745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[32\] ( soc la_output[32] ) ( mgmt_buffers la_data_out_mprj[32] )
+ ROUTED met2 ( 2435280 1117770 0 ) ( 2435280 1146630 )
NEW met2 ( 1846320 1146630 ) ( 1846320 1199170 0 )
NEW met3 ( 1846320 1146630 ) ( 2435280 1146630 )
NEW met2 ( 2435280 1146630 ) via2_FR
NEW met2 ( 1846320 1146630 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[33\] ( soc la_output[33] ) ( mgmt_buffers la_data_out_mprj[33] )
+ ROUTED met1 ( 1848240 1150885 ) ( 1862640 1150885 )
NEW met2 ( 1848240 1150885 ) ( 1848240 1199170 0 )
NEW met2 ( 1862880 1117770 0 ) ( 1862880 1119250 )
NEW met2 ( 1862640 1119250 ) ( 1862880 1119250 )
NEW met2 ( 1862640 1119250 ) ( 1862640 1150885 )
NEW met1 ( 1862640 1150885 ) M1M2_PR
NEW met1 ( 1848240 1150885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[34\] ( soc la_output[34] ) ( mgmt_buffers la_data_out_mprj[34] )
+ ROUTED met2 ( 2436960 1117770 0 ) ( 2436960 1119250 )
NEW met2 ( 2436720 1119250 ) ( 2436960 1119250 )
NEW met2 ( 2436720 1119250 ) ( 2436720 1178450 )
NEW met2 ( 1850160 1178450 ) ( 1850160 1199170 0 )
NEW met3 ( 1850160 1178450 ) ( 2436720 1178450 )
NEW met2 ( 2436720 1178450 ) via2_FR
NEW met2 ( 1850160 1178450 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[35\] ( soc la_output[35] ) ( mgmt_buffers la_data_out_mprj[35] )
+ ROUTED met1 ( 1852080 1151625 ) ( 1861200 1151625 )
NEW met2 ( 1852080 1151625 ) ( 1852080 1199170 0 )
NEW met2 ( 1861200 1117770 0 ) ( 1861200 1151625 )
NEW met1 ( 1861200 1151625 ) M1M2_PR
NEW met1 ( 1852080 1151625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[36\] ( soc la_output[36] ) ( mgmt_buffers la_data_out_mprj[36] )
+ ROUTED met2 ( 2438880 1117770 0 ) ( 2438880 1119250 )
NEW met2 ( 2438640 1119250 ) ( 2438880 1119250 )
NEW met2 ( 2438640 1119250 ) ( 2438640 1145150 )
NEW met2 ( 1853520 1145150 ) ( 1853520 1197690 )
NEW met2 ( 1853520 1197690 ) ( 1853760 1197690 )
NEW met2 ( 1853760 1197690 ) ( 1853760 1199170 0 )
NEW met3 ( 1853520 1145150 ) ( 2438640 1145150 )
NEW met2 ( 2438640 1145150 ) via2_FR
NEW met2 ( 1853520 1145150 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[37\] ( soc la_output[37] ) ( mgmt_buffers la_data_out_mprj[37] )
+ ROUTED met1 ( 1855920 1168275 ) ( 1859760 1168275 )
NEW met2 ( 1855920 1168275 ) ( 1855920 1197690 )
NEW met2 ( 1855680 1197690 ) ( 1855920 1197690 )
NEW met2 ( 1855680 1197690 ) ( 1855680 1199170 0 )
NEW met2 ( 1859280 1117770 0 ) ( 1859280 1125910 )
NEW met2 ( 1859280 1125910 ) ( 1859760 1125910 )
NEW met2 ( 1859760 1125910 ) ( 1859760 1168275 )
NEW met1 ( 1859760 1168275 ) M1M2_PR
NEW met1 ( 1855920 1168275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[38\] ( soc la_output[38] ) ( mgmt_buffers la_data_out_mprj[38] )
+ ROUTED met2 ( 2440560 1117770 0 ) ( 2440560 1179190 )
NEW met2 ( 1856880 1179190 ) ( 1856880 1198430 )
NEW met2 ( 1856880 1198430 ) ( 1857360 1198430 )
NEW met2 ( 1857360 1198430 ) ( 1857360 1199170 0 )
NEW met3 ( 1856880 1179190 ) ( 2440560 1179190 )
NEW met2 ( 2440560 1179190 ) via2_FR
NEW met2 ( 1856880 1179190 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[39\] ( soc la_output[39] ) ( mgmt_buffers la_data_out_mprj[39] )
+ ROUTED met2 ( 1857360 1151810 ) ( 1859280 1151810 )
NEW met2 ( 1859280 1151810 ) ( 1859280 1199170 0 )
NEW met2 ( 1857360 1117770 0 ) ( 1857360 1151810 )
+ USE SIGNAL ;
- la_data_out_mprj\[3\] ( soc la_output[3] ) ( mgmt_buffers la_data_out_mprj[3] )
+ ROUTED met2 ( 2442480 1117770 0 ) ( 2442480 1152550 )
NEW met2 ( 1861200 1152550 ) ( 1861200 1199170 0 )
NEW met3 ( 1861200 1152550 ) ( 2442480 1152550 )
NEW met2 ( 2442480 1152550 ) via2_FR
NEW met2 ( 1861200 1152550 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[40\] ( soc la_output[40] ) ( mgmt_buffers la_data_out_mprj[40] )
+ ROUTED met1 ( 1855440 1151995 ) ( 1863120 1151995 )
NEW met2 ( 1863120 1151995 ) ( 1863120 1199170 0 )
NEW met2 ( 1855440 1117770 0 ) ( 1855440 1151995 )
NEW met1 ( 1855440 1151995 ) M1M2_PR
NEW met1 ( 1863120 1151995 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[41\] ( soc la_output[41] ) ( mgmt_buffers la_data_out_mprj[41] )
+ ROUTED met2 ( 2444400 1117770 0 ) ( 2444400 1175305 )
NEW met2 ( 1865040 1175305 ) ( 1865040 1197690 )
NEW met2 ( 1864800 1197690 ) ( 1865040 1197690 )
NEW met2 ( 1864800 1197690 ) ( 1864800 1199170 0 )
NEW met1 ( 1865040 1175305 ) ( 2444400 1175305 )
NEW met1 ( 2444400 1175305 ) M1M2_PR
NEW met1 ( 1865040 1175305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[42\] ( soc la_output[42] ) ( mgmt_buffers la_data_out_mprj[42] )
+ ROUTED met1 ( 1854000 1151255 ) ( 1866480 1151255 )
NEW met2 ( 1866480 1151255 ) ( 1866480 1197690 )
NEW met2 ( 1866480 1197690 ) ( 1866720 1197690 )
NEW met2 ( 1866720 1197690 ) ( 1866720 1199170 0 )
NEW met2 ( 1853760 1117770 0 ) ( 1853760 1119250 )
NEW met2 ( 1853760 1119250 ) ( 1854000 1119250 )
NEW met2 ( 1854000 1119250 ) ( 1854000 1151255 )
NEW met1 ( 1854000 1151255 ) M1M2_PR
NEW met1 ( 1866480 1151255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[43\] ( soc la_output[43] ) ( mgmt_buffers la_data_out_mprj[43] )
+ ROUTED met2 ( 2387760 1144965 ) ( 2387760 1145705 )
NEW met1 ( 2387760 1144965 ) ( 2446320 1144965 )
NEW met2 ( 2446320 1117770 0 ) ( 2446320 1144965 )
NEW met2 ( 1914480 1145705 ) ( 1914480 1151255 )
NEW met1 ( 1868400 1151255 ) ( 1914480 1151255 )
NEW met2 ( 1868400 1151255 ) ( 1868400 1199170 0 )
NEW met1 ( 1914480 1145705 ) ( 2387760 1145705 )
NEW met1 ( 2387760 1145705 ) M1M2_PR
NEW met1 ( 2387760 1144965 ) M1M2_PR
NEW met1 ( 2446320 1144965 ) M1M2_PR
NEW met1 ( 1914480 1145705 ) M1M2_PR
NEW met1 ( 1914480 1151255 ) M1M2_PR
NEW met1 ( 1868400 1151255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[44\] ( soc la_output[44] ) ( mgmt_buffers la_data_out_mprj[44] )
+ ROUTED met1 ( 1852080 1147185 ) ( 1870320 1147185 )
NEW met2 ( 1870320 1147185 ) ( 1870320 1199170 0 )
NEW met2 ( 1851840 1117770 0 ) ( 1851840 1119250 )
NEW met2 ( 1851840 1119250 ) ( 1852080 1119250 )
NEW met2 ( 1852080 1119250 ) ( 1852080 1147185 )
NEW met1 ( 1852080 1147185 ) M1M2_PR
NEW met1 ( 1870320 1147185 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[45\] ( soc la_output[45] ) ( mgmt_buffers la_data_out_mprj[45] )
+ ROUTED met2 ( 2033040 1156250 ) ( 2033040 1159210 )
NEW met3 ( 1986960 1159210 ) ( 2033040 1159210 )
NEW met2 ( 1986960 1159210 ) ( 1986960 1171235 )
NEW met2 ( 1886160 1171235 ) ( 1886160 1179375 )
NEW met1 ( 1872240 1179375 ) ( 1886160 1179375 )
NEW met2 ( 1872240 1179375 ) ( 1872240 1199170 0 )
NEW met1 ( 1886160 1171235 ) ( 1986960 1171235 )
NEW met3 ( 2033040 1156250 ) ( 2448240 1156250 )
NEW met2 ( 2448000 1117770 0 ) ( 2448000 1119250 )
NEW met2 ( 2448000 1119250 ) ( 2448240 1119250 )
NEW met2 ( 2448240 1119250 ) ( 2448240 1156250 )
NEW met2 ( 2033040 1156250 ) via2_FR
NEW met2 ( 2033040 1159210 ) via2_FR
NEW met2 ( 1986960 1159210 ) via2_FR
NEW met1 ( 1986960 1171235 ) M1M2_PR
NEW met1 ( 1886160 1171235 ) M1M2_PR
NEW met1 ( 1886160 1179375 ) M1M2_PR
NEW met1 ( 1872240 1179375 ) M1M2_PR
NEW met2 ( 2448240 1156250 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[46\] ( soc la_output[46] ) ( mgmt_buffers la_data_out_mprj[46] )
+ ROUTED met1 ( 1850160 1143485 ) ( 1874160 1143485 )
NEW met2 ( 1874160 1143485 ) ( 1874160 1199170 0 )
NEW met2 ( 1850160 1117770 0 ) ( 1850160 1143485 )
NEW met1 ( 1850160 1143485 ) M1M2_PR
NEW met1 ( 1874160 1143485 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[47\] ( soc la_output[47] ) ( mgmt_buffers la_data_out_mprj[47] )
+ ROUTED met1 ( 2388240 1145705 ) ( 2388240 1146075 )
NEW met2 ( 1914000 1146075 ) ( 1914000 1147185 )
NEW met1 ( 1876080 1147185 ) ( 1914000 1147185 )
NEW met2 ( 1876080 1147185 ) ( 1876080 1197690 )
NEW met2 ( 1875840 1197690 ) ( 1876080 1197690 )
NEW met2 ( 1875840 1197690 ) ( 1875840 1199170 0 )
NEW met1 ( 1914000 1146075 ) ( 2388240 1146075 )
NEW met1 ( 2388240 1145705 ) ( 2449680 1145705 )
NEW met2 ( 2449920 1117770 0 ) ( 2449920 1119250 )
NEW met2 ( 2449680 1119250 ) ( 2449920 1119250 )
NEW met2 ( 2449680 1119250 ) ( 2449680 1145705 )
NEW met1 ( 1914000 1146075 ) M1M2_PR
NEW met1 ( 1914000 1147185 ) M1M2_PR
NEW met1 ( 1876080 1147185 ) M1M2_PR
NEW met1 ( 2449680 1145705 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[48\] ( soc la_output[48] ) ( mgmt_buffers la_data_out_mprj[48] )
+ ROUTED met2 ( 1428720 1151995 ) ( 1428720 1269285 )
NEW met2 ( 1812240 1263550 ) ( 1812480 1263550 0 )
NEW met2 ( 1812240 1263550 ) ( 1812240 1268175 )
NEW met1 ( 1428720 1151995 ) ( 1847760 1151995 )
NEW met2 ( 1455120 1268175 ) ( 1455120 1269285 )
NEW met1 ( 1428720 1269285 ) ( 1455120 1269285 )
NEW met1 ( 1455120 1268175 ) ( 1812240 1268175 )
NEW met2 ( 1848240 1117770 0 ) ( 1848240 1125910 )
NEW met2 ( 1847760 1125910 ) ( 1848240 1125910 )
NEW met2 ( 1847760 1125910 ) ( 1847760 1151995 )
NEW met1 ( 1428720 1151995 ) M1M2_PR
NEW met1 ( 1428720 1269285 ) M1M2_PR
NEW met1 ( 1812240 1268175 ) M1M2_PR
NEW met1 ( 1847760 1151995 ) M1M2_PR
NEW met1 ( 1455120 1269285 ) M1M2_PR
NEW met1 ( 1455120 1268175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[49\] ( soc la_output[49] ) ( mgmt_buffers la_data_out_mprj[49] )
+ ROUTED met1 ( 1906800 1174195 ) ( 1906800 1174565 )
NEW met1 ( 1878000 1174565 ) ( 1906800 1174565 )
NEW met2 ( 1878000 1174565 ) ( 1878000 1197690 )
NEW met2 ( 1877760 1197690 ) ( 1878000 1197690 )
NEW met2 ( 1877760 1197690 ) ( 1877760 1199170 0 )
NEW met1 ( 1906800 1174195 ) ( 2451600 1174195 )
NEW met2 ( 2451600 1117770 0 ) ( 2451600 1174195 )
NEW met1 ( 1878000 1174565 ) M1M2_PR
NEW met1 ( 2451600 1174195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[4\] ( soc la_output[4] ) ( mgmt_buffers la_data_out_mprj[4] )
+ ROUTED met1 ( 1410960 1224145 ) ( 1413360 1224145 )
NEW met2 ( 1410960 1217670 ) ( 1410960 1224145 )
NEW met2 ( 1410960 1217670 ) ( 1411440 1217670 )
NEW met2 ( 1411440 1145335 ) ( 1411440 1217670 )
NEW met1 ( 1788240 1145335 ) ( 1788240 1145705 )
NEW met1 ( 1788240 1145705 ) ( 1791120 1145705 )
NEW met2 ( 1791120 1143670 ) ( 1791120 1145705 )
NEW met2 ( 1791120 1143670 ) ( 1793520 1143670 )
NEW met2 ( 1793520 1139785 ) ( 1793520 1143670 )
NEW met2 ( 1413360 1224145 ) ( 1413360 1280385 )
NEW met2 ( 1814160 1263550 0 ) ( 1814160 1280385 )
NEW met1 ( 1411440 1145335 ) ( 1788240 1145335 )
NEW met1 ( 1793520 1139785 ) ( 1846320 1139785 )
NEW met2 ( 1846320 1117770 0 ) ( 1846320 1139785 )
NEW met2 ( 1465680 1278535 ) ( 1465680 1280385 )
NEW met1 ( 1465680 1278535 ) ( 1502640 1278535 )
NEW met2 ( 1502640 1278535 ) ( 1502640 1279645 )
NEW met1 ( 1502640 1279645 ) ( 1553040 1279645 )
NEW met2 ( 1553040 1279645 ) ( 1553040 1280385 )
NEW met1 ( 1413360 1280385 ) ( 1465680 1280385 )
NEW met1 ( 1553040 1280385 ) ( 1814160 1280385 )
NEW met1 ( 1413360 1224145 ) M1M2_PR
NEW met1 ( 1410960 1224145 ) M1M2_PR
NEW met1 ( 1411440 1145335 ) M1M2_PR
NEW met1 ( 1791120 1145705 ) M1M2_PR
NEW met1 ( 1793520 1139785 ) M1M2_PR
NEW met1 ( 1413360 1280385 ) M1M2_PR
NEW met1 ( 1814160 1280385 ) M1M2_PR
NEW met1 ( 1846320 1139785 ) M1M2_PR
NEW met1 ( 1465680 1280385 ) M1M2_PR
NEW met1 ( 1465680 1278535 ) M1M2_PR
NEW met1 ( 1502640 1278535 ) M1M2_PR
NEW met1 ( 1502640 1279645 ) M1M2_PR
NEW met1 ( 1553040 1279645 ) M1M2_PR
NEW met1 ( 1553040 1280385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[50\] ( soc la_output[50] ) ( mgmt_buffers la_data_out_mprj[50] )
+ ROUTED met1 ( 2387280 1144595 ) ( 2387280 1144965 )
NEW met2 ( 1902960 1143855 ) ( 1902960 1144965 )
NEW met1 ( 1880400 1143855 ) ( 1902960 1143855 )
NEW met2 ( 1880400 1143855 ) ( 1880400 1173270 )
NEW met2 ( 1879440 1173270 ) ( 1880400 1173270 )
NEW met2 ( 1879440 1173270 ) ( 1879440 1199170 0 )
NEW met1 ( 2387280 1144595 ) ( 2453520 1144595 )
NEW met2 ( 2453520 1117770 0 ) ( 2453520 1144595 )
NEW met1 ( 1902960 1144965 ) ( 2387280 1144965 )
NEW met1 ( 1902960 1144965 ) M1M2_PR
NEW met1 ( 1902960 1143855 ) M1M2_PR
NEW met1 ( 1880400 1143855 ) M1M2_PR
NEW met1 ( 2453520 1144595 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[51\] ( soc la_output[51] ) ( mgmt_buffers la_data_out_mprj[51] )
+ ROUTED met1 ( 1792560 1150885 ) ( 1792560 1151255 )
NEW met2 ( 1426800 1150885 ) ( 1426800 1280015 )
NEW met2 ( 1816080 1263550 0 ) ( 1816080 1280015 )
NEW met1 ( 1426800 1150885 ) ( 1792560 1150885 )
NEW met1 ( 1792560 1151255 ) ( 1844400 1151255 )
NEW met2 ( 1844400 1117770 0 ) ( 1844400 1151255 )
NEW met1 ( 1426800 1280015 ) ( 1816080 1280015 )
NEW met1 ( 1426800 1150885 ) M1M2_PR
NEW met1 ( 1426800 1280015 ) M1M2_PR
NEW met1 ( 1816080 1280015 ) M1M2_PR
NEW met1 ( 1844400 1151255 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[52\] ( soc la_output[52] ) ( mgmt_buffers la_data_out_mprj[52] )
+ ROUTED met2 ( 1881360 1175675 ) ( 1881360 1199170 0 )
NEW met1 ( 1881360 1175675 ) ( 2455440 1175675 )
NEW met2 ( 2455440 1117770 0 ) ( 2455440 1175675 )
NEW met1 ( 1881360 1175675 ) M1M2_PR
NEW met1 ( 2455440 1175675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[53\] ( soc la_output[53] ) ( mgmt_buffers la_data_out_mprj[53] )
+ ROUTED met1 ( 1752240 1144225 ) ( 1752240 1144595 )
NEW met1 ( 1752240 1144595 ) ( 1842480 1144595 )
NEW met2 ( 1818000 1263550 0 ) ( 1818000 1277425 )
NEW met1 ( 1431600 1144225 ) ( 1752240 1144225 )
NEW met2 ( 1431600 1144225 ) ( 1431600 1277425 )
NEW met1 ( 1431600 1277425 ) ( 1818000 1277425 )
NEW met2 ( 1842720 1117770 0 ) ( 1842720 1119250 )
NEW met2 ( 1841520 1119250 ) ( 1842720 1119250 )
NEW met2 ( 1841520 1119250 ) ( 1841520 1122395 )
NEW met2 ( 1841520 1122395 ) ( 1842480 1122395 )
NEW met2 ( 1842480 1122395 ) ( 1842480 1144595 )
NEW met1 ( 1431600 1144225 ) M1M2_PR
NEW met1 ( 1842480 1144595 ) M1M2_PR
NEW met1 ( 1818000 1277425 ) M1M2_PR
NEW met1 ( 1431600 1277425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[54\] ( soc la_output[54] ) ( mgmt_buffers la_data_out_mprj[54] )
+ ROUTED met1 ( 1913520 1145335 ) ( 1913520 1146075 )
NEW met1 ( 1883760 1146075 ) ( 1913520 1146075 )
NEW met2 ( 1883760 1146075 ) ( 1883760 1169570 )
NEW met2 ( 1883280 1169570 ) ( 1883760 1169570 )
NEW met2 ( 1883280 1169570 ) ( 1883280 1199170 0 )
NEW met1 ( 1913520 1145335 ) ( 2457360 1145335 )
NEW met2 ( 2457360 1117770 0 ) ( 2457360 1145335 )
NEW met1 ( 1883760 1146075 ) M1M2_PR
NEW met1 ( 2457360 1145335 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[55\] ( soc la_output[55] ) ( mgmt_buffers la_data_out_mprj[55] )
+ ROUTED met2 ( 1751760 1141265 ) ( 1751760 1144595 )
NEW met1 ( 1751760 1141265 ) ( 1792560 1141265 )
NEW met1 ( 1792560 1141265 ) ( 1792560 1141635 )
NEW met1 ( 1792560 1141635 ) ( 1840560 1141635 )
NEW met2 ( 1840800 1117770 0 ) ( 1840800 1119250 )
NEW met2 ( 1840560 1119250 ) ( 1840800 1119250 )
NEW met2 ( 1840560 1119250 ) ( 1840560 1141635 )
NEW met2 ( 1819680 1263550 0 ) ( 1819920 1263550 )
NEW met2 ( 1819920 1263550 ) ( 1819920 1279645 )
NEW met1 ( 1420560 1144595 ) ( 1751760 1144595 )
NEW met2 ( 1420560 1144595 ) ( 1420560 1279645 )
NEW met2 ( 1502160 1279645 ) ( 1502160 1280755 )
NEW met1 ( 1502160 1280755 ) ( 1552080 1280755 )
NEW met2 ( 1552080 1280755 ) ( 1553520 1280755 )
NEW met2 ( 1553520 1279645 ) ( 1553520 1280755 )
NEW met2 ( 1553520 1279645 ) ( 1554720 1279645 )
NEW met1 ( 1420560 1279645 ) ( 1502160 1279645 )
NEW met1 ( 1554720 1279645 ) ( 1819920 1279645 )
NEW met1 ( 1420560 1144595 ) M1M2_PR
NEW met1 ( 1751760 1144595 ) M1M2_PR
NEW met1 ( 1751760 1141265 ) M1M2_PR
NEW met1 ( 1840560 1141635 ) M1M2_PR
NEW met1 ( 1819920 1279645 ) M1M2_PR
NEW met1 ( 1420560 1279645 ) M1M2_PR
NEW met1 ( 1502160 1279645 ) M1M2_PR
NEW met1 ( 1502160 1280755 ) M1M2_PR
NEW met1 ( 1552080 1280755 ) M1M2_PR
NEW met1 ( 1554720 1279645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[56\] ( soc la_output[56] ) ( mgmt_buffers la_data_out_mprj[56] )
+ ROUTED met2 ( 2459040 1117770 0 ) ( 2459040 1119250 )
NEW met2 ( 2458800 1119250 ) ( 2459040 1119250 )
NEW met2 ( 2458800 1119250 ) ( 2458800 1177525 )
NEW met1 ( 1885200 1177155 ) ( 1893360 1177155 )
NEW met1 ( 1893360 1177155 ) ( 1893360 1177525 )
NEW met2 ( 1885200 1177155 ) ( 1885200 1199170 0 )
NEW met1 ( 1893360 1177525 ) ( 2458800 1177525 )
NEW met1 ( 2458800 1177525 ) M1M2_PR
NEW met1 ( 1885200 1177155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[57\] ( soc la_output[57] ) ( mgmt_buffers la_data_out_mprj[57] )
+ ROUTED met2 ( 1789200 1144965 ) ( 1789200 1151625 )
NEW met1 ( 1789200 1144965 ) ( 1839120 1144965 )
NEW met2 ( 1839120 1117770 0 ) ( 1839120 1144965 )
NEW met2 ( 1821600 1263550 0 ) ( 1821600 1264290 )
NEW met2 ( 1821360 1264290 ) ( 1821600 1264290 )
NEW met2 ( 1821360 1264290 ) ( 1821360 1277055 )
NEW met1 ( 1420080 1151625 ) ( 1789200 1151625 )
NEW met2 ( 1420080 1151625 ) ( 1420080 1272245 )
NEW met2 ( 1505520 1272245 ) ( 1505520 1277055 )
NEW met1 ( 1420080 1272245 ) ( 1505520 1272245 )
NEW met1 ( 1505520 1277055 ) ( 1821360 1277055 )
NEW met1 ( 1420080 1151625 ) M1M2_PR
NEW met1 ( 1789200 1151625 ) M1M2_PR
NEW met1 ( 1789200 1144965 ) M1M2_PR
NEW met1 ( 1839120 1144965 ) M1M2_PR
NEW met1 ( 1821360 1277055 ) M1M2_PR
NEW met1 ( 1420080 1272245 ) M1M2_PR
NEW met1 ( 1505520 1272245 ) M1M2_PR
NEW met1 ( 1505520 1277055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[58\] ( soc la_output[58] ) ( mgmt_buffers la_data_out_mprj[58] )
+ ROUTED met2 ( 1887120 1157730 ) ( 1887120 1197690 )
NEW met2 ( 1886880 1197690 ) ( 1887120 1197690 )
NEW met2 ( 1886880 1197690 ) ( 1886880 1199170 0 )
NEW met3 ( 1887120 1157730 ) ( 2460720 1157730 )
NEW met2 ( 2460960 1117770 0 ) ( 2460960 1119250 )
NEW met2 ( 2460720 1119250 ) ( 2460960 1119250 )
NEW met2 ( 2460720 1119250 ) ( 2460720 1157730 )
NEW met2 ( 1887120 1157730 ) via2_FR
NEW met2 ( 2460720 1157730 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[59\] ( soc la_output[59] ) ( mgmt_buffers la_data_out_mprj[59] )
+ ROUTED met1 ( 1789680 1151255 ) ( 1789680 1151625 )
NEW met1 ( 1789680 1151625 ) ( 1837200 1151625 )
NEW met2 ( 1837200 1117770 0 ) ( 1837200 1151625 )
NEW met2 ( 1823280 1263550 ) ( 1823520 1263550 0 )
NEW met2 ( 1823280 1263550 ) ( 1823280 1276685 )
NEW met1 ( 1416720 1151255 ) ( 1789680 1151255 )
NEW met2 ( 1416240 1225070 ) ( 1416720 1225070 )
NEW met2 ( 1416720 1151255 ) ( 1416720 1225070 )
NEW met2 ( 1416240 1225070 ) ( 1416240 1276685 )
NEW met1 ( 1416240 1276685 ) ( 1823280 1276685 )
NEW met1 ( 1416720 1151255 ) M1M2_PR
NEW met1 ( 1837200 1151625 ) M1M2_PR
NEW met1 ( 1823280 1276685 ) M1M2_PR
NEW met1 ( 1416240 1276685 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[5\] ( soc la_output[5] ) ( mgmt_buffers la_data_out_mprj[5] )
+ ROUTED met2 ( 1889040 1173455 ) ( 1889040 1197690 )
NEW met2 ( 1888800 1197690 ) ( 1889040 1197690 )
NEW met2 ( 1888800 1197690 ) ( 1888800 1199170 0 )
NEW met1 ( 1889040 1173455 ) ( 2462640 1173455 )
NEW met2 ( 2462640 1117770 0 ) ( 2462640 1173455 )
NEW met1 ( 1889040 1173455 ) M1M2_PR
NEW met1 ( 2462640 1173455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[60\] ( soc la_output[60] ) ( mgmt_buffers la_data_out_mprj[60] )
+ ROUTED met2 ( 1781520 1142745 ) ( 1781520 1146075 )
NEW met1 ( 1781520 1142745 ) ( 1835280 1142745 )
NEW met2 ( 1835280 1117770 0 ) ( 1835280 1142745 )
NEW met2 ( 1825200 1263550 0 ) ( 1825200 1276315 )
NEW met1 ( 1421040 1146075 ) ( 1781520 1146075 )
NEW met2 ( 1421040 1146075 ) ( 1421040 1276315 )
NEW met1 ( 1421040 1276315 ) ( 1825200 1276315 )
NEW met1 ( 1421040 1146075 ) M1M2_PR
NEW met1 ( 1781520 1146075 ) M1M2_PR
NEW met1 ( 1781520 1142745 ) M1M2_PR
NEW met1 ( 1835280 1142745 ) M1M2_PR
NEW met1 ( 1825200 1276315 ) M1M2_PR
NEW met1 ( 1421040 1276315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[61\] ( soc la_output[61] ) ( mgmt_buffers la_data_out_mprj[61] )
+ ROUTED met2 ( 1890960 1149590 ) ( 1890960 1174750 )
NEW met2 ( 1890480 1174750 ) ( 1890960 1174750 )
NEW met2 ( 1890480 1174750 ) ( 1890480 1199170 0 )
NEW met3 ( 1890960 1149590 ) ( 2464560 1149590 )
NEW met2 ( 2464560 1117770 0 ) ( 2464560 1149590 )
NEW met2 ( 1890960 1149590 ) via2_FR
NEW met2 ( 2464560 1149590 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[62\] ( soc la_output[62] ) ( mgmt_buffers la_data_out_mprj[62] )
+ ROUTED met1 ( 1782000 1145705 ) ( 1782000 1146075 )
NEW met1 ( 1782000 1146075 ) ( 1833360 1146075 )
NEW met2 ( 1833360 1117770 0 ) ( 1833360 1146075 )
NEW met2 ( 1827120 1263550 0 ) ( 1827120 1279275 )
NEW met1 ( 1414800 1145705 ) ( 1782000 1145705 )
NEW met2 ( 1414800 1245790 ) ( 1415280 1245790 )
NEW met2 ( 1415280 1245790 ) ( 1415280 1266325 )
NEW met2 ( 1414800 1145705 ) ( 1414800 1245790 )
NEW met2 ( 1504560 1266325 ) ( 1504560 1279275 )
NEW met1 ( 1415280 1266325 ) ( 1504560 1266325 )
NEW met1 ( 1504560 1279275 ) ( 1827120 1279275 )
NEW met1 ( 1414800 1145705 ) M1M2_PR
NEW met1 ( 1833360 1146075 ) M1M2_PR
NEW met1 ( 1827120 1279275 ) M1M2_PR
NEW met1 ( 1415280 1266325 ) M1M2_PR
NEW met1 ( 1504560 1266325 ) M1M2_PR
NEW met1 ( 1504560 1279275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[63\] ( soc la_output[63] ) ( mgmt_buffers la_data_out_mprj[63] )
+ ROUTED met2 ( 1892400 1174935 ) ( 1892400 1199170 0 )
NEW met1 ( 1892400 1174935 ) ( 2466480 1174935 )
NEW met2 ( 2466480 1117770 0 ) ( 2466480 1174935 )
NEW met1 ( 1892400 1174935 ) M1M2_PR
NEW met1 ( 2466480 1174935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[64\] ( soc la_output[64] ) ( mgmt_buffers la_data_out_mprj[64] )
+ ROUTED met2 ( 1432560 1143855 ) ( 1432560 1283345 )
NEW met2 ( 1831680 1117770 0 ) ( 1831680 1119250 )
NEW met2 ( 1831440 1119250 ) ( 1831680 1119250 )
NEW met2 ( 1831440 1119250 ) ( 1831440 1143855 )
NEW met2 ( 1829040 1263550 0 ) ( 1829040 1271690 )
NEW met2 ( 1829040 1271690 ) ( 1829520 1271690 )
NEW met2 ( 1829520 1271690 ) ( 1829520 1283345 )
NEW met1 ( 1432560 1143855 ) ( 1831440 1143855 )
NEW met1 ( 1432560 1283345 ) ( 1829520 1283345 )
NEW met1 ( 1432560 1143855 ) M1M2_PR
NEW met1 ( 1831440 1143855 ) M1M2_PR
NEW met1 ( 1432560 1283345 ) M1M2_PR
NEW met1 ( 1829520 1283345 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[65\] ( soc la_output[65] ) ( mgmt_buffers la_data_out_mprj[65] )
+ ROUTED met2 ( 1894800 1143670 ) ( 1894800 1179930 )
NEW met2 ( 1894320 1179930 ) ( 1894800 1179930 )
NEW met2 ( 1894320 1179930 ) ( 1894320 1199170 0 )
NEW met3 ( 1894800 1143670 ) ( 2468400 1143670 )
NEW met2 ( 2468400 1117770 0 ) ( 2468400 1143670 )
NEW met2 ( 1894800 1143670 ) via2_FR
NEW met2 ( 2468400 1143670 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[66\] ( soc la_output[66] ) ( mgmt_buffers la_data_out_mprj[66] )
+ ROUTED met1 ( 1428240 1238205 ) ( 1429680 1238205 )
NEW met2 ( 1428240 1143485 ) ( 1428240 1238205 )
NEW met2 ( 1429680 1239130 ) ( 1430160 1239130 )
NEW met2 ( 1430160 1239130 ) ( 1430160 1297775 )
NEW met2 ( 1429680 1238205 ) ( 1429680 1239130 )
NEW met2 ( 1829760 1117770 0 ) ( 1829760 1119250 )
NEW met2 ( 1829520 1119250 ) ( 1829760 1119250 )
NEW met2 ( 1829520 1119250 ) ( 1829520 1143485 )
NEW met2 ( 1830720 1263550 0 ) ( 1830960 1263550 )
NEW met2 ( 1830960 1263550 ) ( 1830960 1306655 )
NEW met1 ( 1771440 1306655 ) ( 1830960 1306655 )
NEW met2 ( 1771440 1297775 ) ( 1771440 1306655 )
NEW met1 ( 1428240 1143485 ) ( 1829520 1143485 )
NEW met1 ( 1430160 1297775 ) ( 1771440 1297775 )
NEW met1 ( 1429680 1238205 ) M1M2_PR
NEW met1 ( 1428240 1238205 ) M1M2_PR
NEW met1 ( 1428240 1143485 ) M1M2_PR
NEW met1 ( 1829520 1143485 ) M1M2_PR
NEW met1 ( 1430160 1297775 ) M1M2_PR
NEW met1 ( 1830960 1306655 ) M1M2_PR
NEW met1 ( 1771440 1306655 ) M1M2_PR
NEW met1 ( 1771440 1297775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[67\] ( soc la_output[67] ) ( mgmt_buffers la_data_out_mprj[67] )
+ ROUTED met2 ( 1896240 1176045 ) ( 1896240 1199170 0 )
NEW met2 ( 2470080 1117770 0 ) ( 2470080 1119250 )
NEW met2 ( 2470080 1119250 ) ( 2470320 1119250 )
NEW met2 ( 2470320 1119250 ) ( 2470320 1176045 )
NEW met1 ( 1896240 1176045 ) ( 2470320 1176045 )
NEW met1 ( 1896240 1176045 ) M1M2_PR
NEW met1 ( 2470320 1176045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[68\] ( soc la_output[68] ) ( mgmt_buffers la_data_out_mprj[68] )
+ ROUTED met2 ( 1753680 1189735 ) ( 1753680 1194545 )
NEW met2 ( 1828080 1117770 0 ) ( 1828080 1125910 )
NEW met2 ( 1827600 1125910 ) ( 1828080 1125910 )
NEW met2 ( 1832640 1263550 0 ) ( 1832640 1264290 )
NEW met2 ( 1832400 1264290 ) ( 1832640 1264290 )
NEW met2 ( 1832400 1264290 ) ( 1832400 1275575 )
NEW met3 ( 1432800 1265030 ) ( 1439280 1265030 )
NEW met2 ( 1439280 1265030 ) ( 1439280 1275575 )
NEW met2 ( 1766160 1154585 ) ( 1766160 1194545 )
NEW met1 ( 1766160 1154585 ) ( 1827600 1154585 )
NEW met1 ( 1753680 1194545 ) ( 1766160 1194545 )
NEW met2 ( 1827600 1125910 ) ( 1827600 1154585 )
NEW met1 ( 1439280 1275575 ) ( 1832400 1275575 )
NEW met3 ( 1432800 1211010 ) ( 1440240 1211010 )
NEW met2 ( 1440240 1189735 ) ( 1440240 1211010 )
NEW met4 ( 1432800 1211010 ) ( 1432800 1265030 )
NEW met1 ( 1440240 1189735 ) ( 1753680 1189735 )
NEW met1 ( 1753680 1189735 ) M1M2_PR
NEW met1 ( 1753680 1194545 ) M1M2_PR
NEW met1 ( 1832400 1275575 ) M1M2_PR
NEW met3 ( 1432800 1265030 ) M3M4_PR_M
NEW met2 ( 1439280 1265030 ) via2_FR
NEW met1 ( 1439280 1275575 ) M1M2_PR
NEW met1 ( 1766160 1194545 ) M1M2_PR
NEW met1 ( 1766160 1154585 ) M1M2_PR
NEW met1 ( 1827600 1154585 ) M1M2_PR
NEW met3 ( 1432800 1211010 ) M3M4_PR_M
NEW met2 ( 1440240 1211010 ) via2_FR
NEW met1 ( 1440240 1189735 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[69\] ( soc la_output[69] ) ( mgmt_buffers la_data_out_mprj[69] )
+ ROUTED met2 ( 1898160 1150330 ) ( 1898160 1197690 )
NEW met2 ( 1897920 1197690 ) ( 1898160 1197690 )
NEW met2 ( 1897920 1197690 ) ( 1897920 1199170 0 )
NEW met3 ( 1898160 1150330 ) ( 2471760 1150330 )
NEW met2 ( 2472000 1117770 0 ) ( 2472000 1119250 )
NEW met2 ( 2471760 1119250 ) ( 2472000 1119250 )
NEW met2 ( 2471760 1119250 ) ( 2471760 1150330 )
NEW met2 ( 1898160 1150330 ) via2_FR
NEW met2 ( 2471760 1150330 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[6\] ( soc la_output[6] ) ( mgmt_buffers la_data_out_mprj[6] )
+ ROUTED met3 ( 1428960 1236170 ) ( 1429200 1236170 )
NEW met2 ( 1429200 1184555 ) ( 1429200 1236170 )
NEW met3 ( 1428960 1240610 ) ( 1429680 1240610 )
NEW met2 ( 1429680 1240610 ) ( 1429680 1283715 )
NEW met4 ( 1428960 1236170 ) ( 1428960 1240610 )
NEW met2 ( 1834320 1263550 ) ( 1834560 1263550 0 )
NEW met2 ( 1834320 1263550 ) ( 1834320 1283715 )
NEW met2 ( 1826160 1117770 0 ) ( 1826160 1142745 )
NEW met2 ( 1826160 1142745 ) ( 1826640 1142745 )
NEW met2 ( 1826640 1142745 ) ( 1826640 1184555 )
NEW met1 ( 1429200 1184555 ) ( 1826640 1184555 )
NEW met1 ( 1429680 1283715 ) ( 1834320 1283715 )
NEW met3 ( 1428960 1236170 ) M3M4_PR_M
NEW met2 ( 1429200 1236170 ) via2_FR
NEW met1 ( 1429200 1184555 ) M1M2_PR
NEW met3 ( 1428960 1240610 ) M3M4_PR_M
NEW met2 ( 1429680 1240610 ) via2_FR
NEW met1 ( 1429680 1283715 ) M1M2_PR
NEW met1 ( 1834320 1283715 ) M1M2_PR
NEW met1 ( 1826640 1184555 ) M1M2_PR
NEW met3 ( 1428960 1236170 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_data_out_mprj\[70\] ( soc la_output[70] ) ( mgmt_buffers la_data_out_mprj[70] )
+ ROUTED met2 ( 1900080 1176785 ) ( 1900080 1197690 )
NEW met2 ( 1899840 1197690 ) ( 1900080 1197690 )
NEW met2 ( 1899840 1197690 ) ( 1899840 1199170 0 )
NEW met2 ( 2473680 1117770 0 ) ( 2473680 1176785 )
NEW met1 ( 1900080 1176785 ) ( 2473680 1176785 )
NEW met1 ( 1900080 1176785 ) M1M2_PR
NEW met1 ( 2473680 1176785 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[71\] ( soc la_output[71] ) ( mgmt_buffers la_data_out_mprj[71] )
+ ROUTED met2 ( 1438320 1184925 ) ( 1438320 1267435 )
NEW met2 ( 1824240 1117770 0 ) ( 1824240 1125910 )
NEW met2 ( 1823760 1125910 ) ( 1824240 1125910 )
NEW met2 ( 1823760 1125910 ) ( 1823760 1184925 )
NEW met2 ( 1836240 1263550 0 ) ( 1836240 1267435 )
NEW met1 ( 1438320 1184925 ) ( 1823760 1184925 )
NEW met1 ( 1438320 1267435 ) ( 1836240 1267435 )
NEW met1 ( 1438320 1184925 ) M1M2_PR
NEW met1 ( 1823760 1184925 ) M1M2_PR
NEW met1 ( 1438320 1267435 ) M1M2_PR
NEW met1 ( 1836240 1267435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[72\] ( soc la_output[72] ) ( mgmt_buffers la_data_out_mprj[72] )
+ ROUTED met2 ( 1901520 1165870 ) ( 1901520 1199170 0 )
NEW met3 ( 1901520 1165870 ) ( 2475600 1165870 )
NEW met2 ( 2475600 1117770 0 ) ( 2475600 1165870 )
NEW met2 ( 1901520 1165870 ) via2_FR
NEW met2 ( 2475600 1165870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[73\] ( soc la_output[73] ) ( mgmt_buffers la_data_out_mprj[73] )
+ ROUTED met2 ( 1426320 1190845 ) ( 1426320 1282605 )
NEW met2 ( 1838160 1263550 0 ) ( 1838160 1270950 )
NEW met2 ( 1837680 1270950 ) ( 1838160 1270950 )
NEW met2 ( 1837680 1270950 ) ( 1837680 1282975 )
NEW met1 ( 1828560 1282975 ) ( 1837680 1282975 )
NEW met1 ( 1828560 1282605 ) ( 1828560 1282975 )
NEW met1 ( 1505040 1282235 ) ( 1505040 1282605 )
NEW met1 ( 1505040 1282235 ) ( 1553520 1282235 )
NEW met1 ( 1553520 1282235 ) ( 1553520 1282605 )
NEW met1 ( 1426320 1282605 ) ( 1505040 1282605 )
NEW met1 ( 1553520 1282605 ) ( 1828560 1282605 )
NEW met2 ( 1728240 1190845 ) ( 1728240 1191030 )
NEW met3 ( 1728240 1191030 ) ( 1729680 1191030 )
NEW met2 ( 1729680 1154215 ) ( 1729680 1191030 )
NEW met1 ( 1729680 1154215 ) ( 1822320 1154215 )
NEW met1 ( 1426320 1190845 ) ( 1728240 1190845 )
NEW met2 ( 1822320 1117770 0 ) ( 1822320 1154215 )
NEW met1 ( 1426320 1190845 ) M1M2_PR
NEW met1 ( 1426320 1282605 ) M1M2_PR
NEW met1 ( 1837680 1282975 ) M1M2_PR
NEW met1 ( 1728240 1190845 ) M1M2_PR
NEW met2 ( 1728240 1191030 ) via2_FR
NEW met2 ( 1729680 1191030 ) via2_FR
NEW met1 ( 1729680 1154215 ) M1M2_PR
NEW met1 ( 1822320 1154215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[74\] ( soc la_output[74] ) ( mgmt_buffers la_data_out_mprj[74] )
+ ROUTED met2 ( 1903440 1173825 ) ( 1903440 1199170 0 )
NEW met1 ( 1903440 1173825 ) ( 2477520 1173825 )
NEW met2 ( 2477520 1117770 0 ) ( 2477520 1173825 )
NEW met1 ( 1903440 1173825 ) M1M2_PR
NEW met1 ( 2477520 1173825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[75\] ( soc la_output[75] ) ( mgmt_buffers la_data_out_mprj[75] )
+ ROUTED met2 ( 1820640 1117770 0 ) ( 1820640 1119250 )
NEW met2 ( 1820400 1119250 ) ( 1820640 1119250 )
NEW met2 ( 1820400 1119250 ) ( 1820400 1155325 )
NEW met2 ( 1840080 1263550 0 ) ( 1840080 1275945 )
NEW met1 ( 1434960 1155325 ) ( 1820400 1155325 )
NEW met2 ( 1434960 1155325 ) ( 1434960 1275945 )
NEW met1 ( 1434960 1275945 ) ( 1840080 1275945 )
NEW met1 ( 1434960 1155325 ) M1M2_PR
NEW met1 ( 1820400 1155325 ) M1M2_PR
NEW met1 ( 1840080 1275945 ) M1M2_PR
NEW met1 ( 1434960 1275945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[76\] ( soc la_output[76] ) ( mgmt_buffers la_data_out_mprj[76] )
+ ROUTED met2 ( 1905840 1138490 ) ( 1905840 1146630 )
NEW met2 ( 1905360 1146630 ) ( 1905840 1146630 )
NEW met2 ( 1905360 1146630 ) ( 1905360 1199170 0 )
NEW met3 ( 1905840 1138490 ) ( 2479440 1138490 )
NEW met2 ( 2479440 1117770 0 ) ( 2479440 1138490 )
NEW met2 ( 1905840 1138490 ) via2_FR
NEW met2 ( 2479440 1138490 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[77\] ( soc la_output[77] ) ( mgmt_buffers la_data_out_mprj[77] )
+ ROUTED met2 ( 1429200 1237650 ) ( 1429680 1237650 )
NEW met2 ( 1429680 1158655 ) ( 1429680 1237650 )
NEW met2 ( 1429200 1237650 ) ( 1429200 1282975 )
NEW met2 ( 1818720 1117770 0 ) ( 1818720 1119250 )
NEW met2 ( 1818480 1119250 ) ( 1818720 1119250 )
NEW met2 ( 1818480 1119250 ) ( 1818480 1158655 )
NEW met2 ( 1841760 1263550 0 ) ( 1842000 1263550 )
NEW met2 ( 1842000 1263550 ) ( 1842000 1283715 )
NEW met1 ( 1838640 1283715 ) ( 1842000 1283715 )
NEW met1 ( 1838640 1283715 ) ( 1838640 1284085 )
NEW met1 ( 1828080 1284085 ) ( 1838640 1284085 )
NEW met2 ( 1828080 1282975 ) ( 1828080 1284085 )
NEW met1 ( 1429680 1158655 ) ( 1818480 1158655 )
NEW met1 ( 1429200 1282975 ) ( 1828080 1282975 )
NEW met1 ( 1429680 1158655 ) M1M2_PR
NEW met1 ( 1818480 1158655 ) M1M2_PR
NEW met1 ( 1429200 1282975 ) M1M2_PR
NEW met1 ( 1842000 1283715 ) M1M2_PR
NEW met1 ( 1828080 1284085 ) M1M2_PR
NEW met1 ( 1828080 1282975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[78\] ( soc la_output[78] ) ( mgmt_buffers la_data_out_mprj[78] )
+ ROUTED met2 ( 1907280 1174565 ) ( 1907280 1199170 0 )
NEW met1 ( 1907280 1174565 ) ( 2480880 1174565 )
NEW met2 ( 2481120 1117770 0 ) ( 2481120 1119250 )
NEW met2 ( 2480880 1119250 ) ( 2481120 1119250 )
NEW met2 ( 2480880 1119250 ) ( 2480880 1174565 )
NEW met1 ( 1907280 1174565 ) M1M2_PR
NEW met1 ( 2480880 1174565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[79\] ( soc la_output[79] ) ( mgmt_buffers la_data_out_mprj[79] )
+ ROUTED met2 ( 1817040 1117770 0 ) ( 1817040 1163835 )
NEW met1 ( 1417200 1163835 ) ( 1817040 1163835 )
NEW met2 ( 1843680 1263550 0 ) ( 1843680 1264290 )
NEW met2 ( 1843440 1264290 ) ( 1843680 1264290 )
NEW met2 ( 1843440 1264290 ) ( 1843440 1275205 )
NEW met2 ( 1417200 1163835 ) ( 1417200 1275205 )
NEW met1 ( 1504560 1274835 ) ( 1504560 1275205 )
NEW met1 ( 1504560 1274835 ) ( 1554960 1274835 )
NEW met1 ( 1554960 1274835 ) ( 1554960 1275205 )
NEW met1 ( 1417200 1275205 ) ( 1504560 1275205 )
NEW met1 ( 1554960 1275205 ) ( 1843440 1275205 )
NEW met1 ( 1417200 1163835 ) M1M2_PR
NEW met1 ( 1817040 1163835 ) M1M2_PR
NEW met1 ( 1843440 1275205 ) M1M2_PR
NEW met1 ( 1417200 1275205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[7\] ( soc la_output[7] ) ( mgmt_buffers la_data_out_mprj[7] )
+ ROUTED met2 ( 1909200 1148850 ) ( 1909200 1197690 )
NEW met2 ( 1908960 1197690 ) ( 1909200 1197690 )
NEW met2 ( 1908960 1197690 ) ( 1908960 1199170 0 )
NEW met3 ( 1909200 1148850 ) ( 2482800 1148850 )
NEW met2 ( 2483040 1117770 0 ) ( 2483040 1119250 )
NEW met2 ( 2482800 1119250 ) ( 2483040 1119250 )
NEW met2 ( 2482800 1119250 ) ( 2482800 1148850 )
NEW met2 ( 1909200 1148850 ) via2_FR
NEW met2 ( 2482800 1148850 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[80\] ( soc la_output[80] ) ( mgmt_buffers la_data_out_mprj[80] )
+ ROUTED met1 ( 1412400 1223035 ) ( 1413360 1223035 )
NEW met2 ( 1413360 1155695 ) ( 1413360 1223035 )
NEW met2 ( 1412400 1223035 ) ( 1412400 1296665 )
NEW met2 ( 1815120 1117770 0 ) ( 1815120 1155695 )
NEW met1 ( 1413360 1155695 ) ( 1815120 1155695 )
NEW met2 ( 1845360 1263550 ) ( 1845600 1263550 0 )
NEW met2 ( 1845360 1263550 ) ( 1845360 1296665 )
NEW met1 ( 1412400 1296665 ) ( 1845360 1296665 )
NEW met1 ( 1412400 1223035 ) M1M2_PR
NEW met1 ( 1413360 1223035 ) M1M2_PR
NEW met1 ( 1413360 1155695 ) M1M2_PR
NEW met1 ( 1815120 1155695 ) M1M2_PR
NEW met1 ( 1412400 1296665 ) M1M2_PR
NEW met1 ( 1845360 1296665 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[81\] ( soc la_output[81] ) ( mgmt_buffers la_data_out_mprj[81] )
+ ROUTED met2 ( 1911120 1176415 ) ( 1911120 1197690 )
NEW met2 ( 1910880 1197690 ) ( 1911120 1197690 )
NEW met2 ( 1910880 1197690 ) ( 1910880 1199170 0 )
NEW met2 ( 2484720 1117770 0 ) ( 2484720 1176415 )
NEW met1 ( 1911120 1176415 ) ( 2484720 1176415 )
NEW met1 ( 1911120 1176415 ) M1M2_PR
NEW met1 ( 2484720 1176415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[82\] ( soc la_output[82] ) ( mgmt_buffers la_data_out_mprj[82] )
+ ROUTED met2 ( 1433520 1157545 ) ( 1433520 1297405 )
NEW met2 ( 1813200 1117770 0 ) ( 1813200 1157545 )
NEW met1 ( 1433520 1157545 ) ( 1813200 1157545 )
NEW met2 ( 1847280 1263550 0 ) ( 1847280 1297405 )
NEW met1 ( 1433520 1297405 ) ( 1847280 1297405 )
NEW met1 ( 1433520 1157545 ) M1M2_PR
NEW met1 ( 1813200 1157545 ) M1M2_PR
NEW met1 ( 1433520 1297405 ) M1M2_PR
NEW met1 ( 1847280 1297405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[83\] ( soc la_output[83] ) ( mgmt_buffers la_data_out_mprj[83] )
+ ROUTED met2 ( 1913040 1142930 ) ( 1913040 1151810 )
NEW met2 ( 1912560 1151810 ) ( 1913040 1151810 )
NEW met2 ( 1912560 1151810 ) ( 1912560 1199170 0 )
NEW met3 ( 1913040 1142930 ) ( 2486640 1142930 )
NEW met2 ( 2486640 1117770 0 ) ( 2486640 1142930 )
NEW met2 ( 1913040 1142930 ) via2_FR
NEW met2 ( 2486640 1142930 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[84\] ( soc la_output[84] ) ( mgmt_buffers la_data_out_mprj[84] )
+ ROUTED met2 ( 1425840 1183815 ) ( 1425840 1282235 )
NEW met2 ( 1705200 1183815 ) ( 1705200 1191955 )
NEW met2 ( 1849200 1263550 0 ) ( 1849200 1282235 )
NEW met1 ( 1425840 1183815 ) ( 1705200 1183815 )
NEW met1 ( 1504560 1281865 ) ( 1504560 1282235 )
NEW met1 ( 1504560 1281865 ) ( 1554000 1281865 )
NEW met1 ( 1554000 1281865 ) ( 1554000 1282235 )
NEW met1 ( 1425840 1282235 ) ( 1504560 1282235 )
NEW met1 ( 1554000 1282235 ) ( 1849200 1282235 )
NEW met2 ( 1810800 1169755 ) ( 1810800 1191955 )
NEW met2 ( 1810800 1169755 ) ( 1811280 1169755 )
NEW met1 ( 1705200 1191955 ) ( 1810800 1191955 )
NEW met2 ( 1811280 1117770 0 ) ( 1811280 1169755 )
NEW met1 ( 1425840 1183815 ) M1M2_PR
NEW met1 ( 1425840 1282235 ) M1M2_PR
NEW met1 ( 1705200 1183815 ) M1M2_PR
NEW met1 ( 1705200 1191955 ) M1M2_PR
NEW met1 ( 1849200 1282235 ) M1M2_PR
NEW met1 ( 1810800 1191955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[85\] ( soc la_output[85] ) ( mgmt_buffers la_data_out_mprj[85] )
+ ROUTED met2 ( 1914480 1177155 ) ( 1914480 1199170 0 )
NEW met2 ( 2488560 1117770 0 ) ( 2488560 1177155 )
NEW met1 ( 1914480 1177155 ) ( 2488560 1177155 )
NEW met1 ( 1914480 1177155 ) M1M2_PR
NEW met1 ( 2488560 1177155 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[86\] ( soc la_output[86] ) ( mgmt_buffers la_data_out_mprj[86] )
+ ROUTED met2 ( 1418160 1142375 ) ( 1418160 1281865 )
NEW met2 ( 1809600 1117770 0 ) ( 1809600 1119250 )
NEW met2 ( 1809360 1119250 ) ( 1809600 1119250 )
NEW met2 ( 1809360 1119250 ) ( 1809360 1142375 )
NEW met1 ( 1418160 1142375 ) ( 1809360 1142375 )
NEW met2 ( 1851120 1263550 0 ) ( 1851120 1281865 )
NEW met2 ( 1504080 1281865 ) ( 1504080 1283530 )
NEW met3 ( 1504080 1283530 ) ( 1554480 1283530 )
NEW met2 ( 1554480 1281865 ) ( 1554480 1283530 )
NEW met1 ( 1418160 1281865 ) ( 1504080 1281865 )
NEW met1 ( 1554480 1281865 ) ( 1851120 1281865 )
NEW met1 ( 1418160 1142375 ) M1M2_PR
NEW met1 ( 1809360 1142375 ) M1M2_PR
NEW met1 ( 1418160 1281865 ) M1M2_PR
NEW met1 ( 1851120 1281865 ) M1M2_PR
NEW met1 ( 1504080 1281865 ) M1M2_PR
NEW met2 ( 1504080 1283530 ) via2_FR
NEW met2 ( 1554480 1283530 ) via2_FR
NEW met1 ( 1554480 1281865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[87\] ( soc la_output[87] ) ( mgmt_buffers la_data_out_mprj[87] )
+ ROUTED met2 ( 1916400 1158470 ) ( 1916400 1199170 0 )
NEW met3 ( 1916400 1158470 ) ( 2490960 1158470 )
NEW met2 ( 2490480 1117770 0 ) ( 2490480 1119250 )
NEW met2 ( 2490480 1119250 ) ( 2490960 1119250 )
NEW met2 ( 2490960 1119250 ) ( 2490960 1158470 )
NEW met2 ( 1916400 1158470 ) via2_FR
NEW met2 ( 2490960 1158470 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[88\] ( soc la_output[88] ) ( mgmt_buffers la_data_out_mprj[88] )
+ ROUTED met2 ( 1754160 1188625 ) ( 1754160 1189735 )
NEW met1 ( 1754160 1189735 ) ( 1807440 1189735 )
NEW met2 ( 1807680 1117770 0 ) ( 1807680 1119250 )
NEW met2 ( 1807440 1119250 ) ( 1807680 1119250 )
NEW met2 ( 1807440 1119250 ) ( 1807440 1189735 )
NEW met2 ( 1852800 1263550 0 ) ( 1853040 1263550 )
NEW met2 ( 1853040 1263550 ) ( 1853040 1266695 )
NEW met1 ( 1439760 1266695 ) ( 1853040 1266695 )
NEW met2 ( 1439760 1188625 ) ( 1439760 1266695 )
NEW met1 ( 1439760 1188625 ) ( 1754160 1188625 )
NEW met1 ( 1754160 1188625 ) M1M2_PR
NEW met1 ( 1754160 1189735 ) M1M2_PR
NEW met1 ( 1807440 1189735 ) M1M2_PR
NEW met1 ( 1439760 1266695 ) M1M2_PR
NEW met1 ( 1853040 1266695 ) M1M2_PR
NEW met1 ( 1439760 1188625 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[89\] ( soc la_output[89] ) ( mgmt_buffers la_data_out_mprj[89] )
+ ROUTED met2 ( 1918320 1165130 ) ( 1918320 1199170 0 )
NEW met3 ( 1918320 1165130 ) ( 2491920 1165130 )
NEW met2 ( 2492160 1117770 0 ) ( 2492160 1119250 )
NEW met2 ( 2491920 1119250 ) ( 2492160 1119250 )
NEW met2 ( 2491920 1119250 ) ( 2491920 1165130 )
NEW met2 ( 1918320 1165130 ) via2_FR
NEW met2 ( 2491920 1165130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[8\] ( soc la_output[8] ) ( mgmt_buffers la_data_out_mprj[8] )
+ ROUTED met2 ( 1430640 1158285 ) ( 1430640 1297035 )
NEW met2 ( 1806000 1117770 0 ) ( 1806000 1158285 )
NEW met1 ( 1430640 1158285 ) ( 1806000 1158285 )
NEW met2 ( 1854720 1263550 0 ) ( 1854720 1264290 )
NEW met2 ( 1854480 1264290 ) ( 1854720 1264290 )
NEW met2 ( 1854480 1264290 ) ( 1854480 1297035 )
NEW met1 ( 1430640 1297035 ) ( 1854480 1297035 )
NEW met1 ( 1430640 1158285 ) M1M2_PR
NEW met1 ( 1806000 1158285 ) M1M2_PR
NEW met1 ( 1430640 1297035 ) M1M2_PR
NEW met1 ( 1854480 1297035 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[90\] ( soc la_output[90] ) ( mgmt_buffers la_data_out_mprj[90] )
+ ROUTED met2 ( 1919760 1151070 ) ( 1919760 1197690 )
NEW met2 ( 1919760 1197690 ) ( 1920000 1197690 )
NEW met2 ( 1920000 1197690 ) ( 1920000 1199170 0 )
NEW met3 ( 1919760 1151070 ) ( 2493840 1151070 )
NEW met2 ( 2494080 1117770 0 ) ( 2494080 1119250 )
NEW met2 ( 2493840 1119250 ) ( 2494080 1119250 )
NEW met2 ( 2493840 1119250 ) ( 2493840 1151070 )
NEW met2 ( 1919760 1151070 ) via2_FR
NEW met2 ( 2493840 1151070 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[91\] ( soc la_output[91] ) ( mgmt_buffers la_data_out_mprj[91] )
+ ROUTED met1 ( 1413840 1226365 ) ( 1422000 1226365 )
NEW met1 ( 1422000 1223035 ) ( 1422000 1226365 )
NEW met1 ( 1421520 1223035 ) ( 1422000 1223035 )
NEW met1 ( 1421520 1220075 ) ( 1421520 1223035 )
NEW met2 ( 1421520 1141635 ) ( 1421520 1220075 )
NEW met2 ( 1413840 1247270 ) ( 1414320 1247270 )
NEW met2 ( 1414320 1247270 ) ( 1414320 1265955 )
NEW met2 ( 1413840 1226365 ) ( 1413840 1247270 )
NEW met2 ( 1856400 1263550 ) ( 1856640 1263550 0 )
NEW met2 ( 1856400 1263550 ) ( 1856400 1265955 )
NEW met1 ( 1414320 1265955 ) ( 1856400 1265955 )
NEW met1 ( 1619760 1140895 ) ( 1619760 1141635 )
NEW met1 ( 1421520 1141635 ) ( 1619760 1141635 )
NEW met2 ( 1804080 1117770 0 ) ( 1804080 1140895 )
NEW met1 ( 1619760 1140895 ) ( 1804080 1140895 )
NEW met1 ( 1413840 1226365 ) M1M2_PR
NEW met1 ( 1421520 1220075 ) M1M2_PR
NEW met1 ( 1421520 1141635 ) M1M2_PR
NEW met1 ( 1414320 1265955 ) M1M2_PR
NEW met1 ( 1856400 1265955 ) M1M2_PR
NEW met1 ( 1804080 1140895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[92\] ( soc la_output[92] ) ( mgmt_buffers la_data_out_mprj[92] )
+ ROUTED met2 ( 1922160 1166610 ) ( 1922160 1197690 )
NEW met2 ( 1921920 1197690 ) ( 1922160 1197690 )
NEW met2 ( 1921920 1197690 ) ( 1921920 1199170 0 )
NEW met3 ( 1922160 1166610 ) ( 2495760 1166610 )
NEW met2 ( 2495760 1117770 0 ) ( 2495760 1166610 )
NEW met2 ( 1922160 1166610 ) via2_FR
NEW met2 ( 2495760 1166610 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[93\] ( soc la_output[93] ) ( mgmt_buffers la_data_out_mprj[93] )
+ ROUTED met2 ( 1759920 1181595 ) ( 1759920 1183445 )
NEW met1 ( 1759920 1181595 ) ( 1780560 1181595 )
NEW met1 ( 1780560 1181225 ) ( 1780560 1181595 )
NEW met2 ( 1438800 1183075 ) ( 1438800 1265215 )
NEW met1 ( 1691280 1183075 ) ( 1691280 1183445 )
NEW met1 ( 1691280 1183445 ) ( 1759920 1183445 )
NEW met2 ( 1858320 1263550 0 ) ( 1858320 1265215 )
NEW met1 ( 1438800 1265215 ) ( 1858320 1265215 )
NEW met2 ( 1802160 1117770 0 ) ( 1802160 1176785 )
NEW met1 ( 1802160 1176785 ) ( 1803600 1176785 )
NEW met2 ( 1803600 1176785 ) ( 1803600 1179190 )
NEW met3 ( 1801680 1179190 ) ( 1803600 1179190 )
NEW met2 ( 1801680 1179190 ) ( 1801680 1181225 )
NEW met1 ( 1780560 1181225 ) ( 1801680 1181225 )
NEW met1 ( 1438800 1183075 ) ( 1691280 1183075 )
NEW met1 ( 1438800 1183075 ) M1M2_PR
NEW met1 ( 1759920 1183445 ) M1M2_PR
NEW met1 ( 1759920 1181595 ) M1M2_PR
NEW met1 ( 1438800 1265215 ) M1M2_PR
NEW met1 ( 1858320 1265215 ) M1M2_PR
NEW met1 ( 1802160 1176785 ) M1M2_PR
NEW met1 ( 1803600 1176785 ) M1M2_PR
NEW met2 ( 1803600 1179190 ) via2_FR
NEW met2 ( 1801680 1179190 ) via2_FR
NEW met1 ( 1801680 1181225 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[94\] ( soc la_output[94] ) ( mgmt_buffers la_data_out_mprj[94] )
+ ROUTED met2 ( 1923600 1147370 ) ( 1923600 1199170 0 )
NEW met3 ( 1923600 1147370 ) ( 2497680 1147370 )
NEW met2 ( 2497680 1117770 0 ) ( 2497680 1147370 )
NEW met2 ( 1923600 1147370 ) via2_FR
NEW met2 ( 2497680 1147370 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[95\] ( soc la_output[95] ) ( mgmt_buffers la_data_out_mprj[95] )
+ ROUTED met2 ( 1439280 1182705 ) ( 1439280 1264475 )
NEW met1 ( 1691760 1182705 ) ( 1691760 1183075 )
NEW met2 ( 1860240 1263550 0 ) ( 1860240 1264475 )
NEW met1 ( 1439280 1264475 ) ( 1860240 1264475 )
NEW met2 ( 1800240 1117770 0 ) ( 1800240 1137565 )
NEW met1 ( 1800240 1137565 ) ( 1803120 1137565 )
NEW met2 ( 1803120 1137565 ) ( 1803120 1183075 )
NEW met1 ( 1691760 1183075 ) ( 1803120 1183075 )
NEW met1 ( 1439280 1182705 ) ( 1691760 1182705 )
NEW met1 ( 1439280 1182705 ) M1M2_PR
NEW met1 ( 1439280 1264475 ) M1M2_PR
NEW met1 ( 1860240 1264475 ) M1M2_PR
NEW met1 ( 1800240 1137565 ) M1M2_PR
NEW met1 ( 1803120 1137565 ) M1M2_PR
NEW met1 ( 1803120 1183075 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[96\] ( soc la_output[96] ) ( mgmt_buffers la_data_out_mprj[96] )
+ ROUTED met2 ( 1925520 1172530 ) ( 1925520 1199170 0 )
NEW met3 ( 1925520 1172530 ) ( 2499600 1172530 )
NEW met2 ( 2499600 1117770 0 ) ( 2499600 1172530 )
NEW met2 ( 1925520 1172530 ) via2_FR
NEW met2 ( 2499600 1172530 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[97\] ( soc la_output[97] ) ( mgmt_buffers la_data_out_mprj[97] )
+ ROUTED met2 ( 1437360 1181225 ) ( 1437360 1265585 )
NEW met2 ( 1798560 1117770 0 ) ( 1798560 1119250 )
NEW met2 ( 1798320 1119250 ) ( 1798560 1119250 )
NEW met2 ( 1798320 1119250 ) ( 1798320 1181965 )
NEW met2 ( 1691760 1181225 ) ( 1691760 1181965 )
NEW met1 ( 1691760 1181965 ) ( 1798320 1181965 )
NEW met2 ( 1862160 1263550 0 ) ( 1862160 1265585 )
NEW met1 ( 1437360 1265585 ) ( 1862160 1265585 )
NEW met1 ( 1437360 1181225 ) ( 1691760 1181225 )
NEW met1 ( 1437360 1181225 ) M1M2_PR
NEW met1 ( 1798320 1181965 ) M1M2_PR
NEW met1 ( 1437360 1265585 ) M1M2_PR
NEW met1 ( 1691760 1181225 ) M1M2_PR
NEW met1 ( 1691760 1181965 ) M1M2_PR
NEW met1 ( 1862160 1265585 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[98\] ( soc la_output[98] ) ( mgmt_buffers la_data_out_mprj[98] )
+ ROUTED met2 ( 1927440 1148110 ) ( 1927440 1199170 0 )
NEW met3 ( 1927440 1148110 ) ( 2501520 1148110 )
NEW met2 ( 2501520 1117770 0 ) ( 2501520 1148110 )
NEW met2 ( 1927440 1148110 ) via2_FR
NEW met2 ( 2501520 1148110 ) via2_FR
+ USE SIGNAL ;
- la_data_out_mprj\[99\] ( soc la_output[99] ) ( mgmt_buffers la_data_out_mprj[99] )
+ ROUTED met2 ( 1437840 1181965 ) ( 1437840 1264845 )
NEW met2 ( 1796640 1117770 0 ) ( 1796640 1119250 )
NEW met2 ( 1796400 1119250 ) ( 1796640 1119250 )
NEW met2 ( 1796400 1119250 ) ( 1796400 1182705 )
NEW met2 ( 1691280 1181965 ) ( 1691280 1182890 )
NEW met2 ( 1691280 1182890 ) ( 1692240 1182890 )
NEW met2 ( 1692240 1182705 ) ( 1692240 1182890 )
NEW met1 ( 1692240 1182705 ) ( 1796400 1182705 )
NEW met2 ( 1863840 1263550 0 ) ( 1863840 1264845 )
NEW met1 ( 1437840 1264845 ) ( 1863840 1264845 )
NEW met1 ( 1437840 1181965 ) ( 1691280 1181965 )
NEW met1 ( 1437840 1181965 ) M1M2_PR
NEW met1 ( 1796400 1182705 ) M1M2_PR
NEW met1 ( 1437840 1264845 ) M1M2_PR
NEW met1 ( 1691280 1181965 ) M1M2_PR
NEW met1 ( 1692240 1182705 ) M1M2_PR
NEW met1 ( 1863840 1264845 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_mprj\[9\] ( soc la_output[9] ) ( mgmt_buffers la_data_out_mprj[9] )
+ ROUTED met2 ( 1929360 1171790 ) ( 1929360 1199170 0 )
NEW met3 ( 1929360 1171790 ) ( 2503440 1171790 )
NEW met2 ( 2503200 1117770 0 ) ( 2503200 1119250 )
NEW met2 ( 2503200 1119250 ) ( 2503440 1119250 )
NEW met2 ( 2503440 1119250 ) ( 2503440 1171790 )
NEW met2 ( 1929360 1171790 ) via2_FR
NEW met2 ( 2503440 1171790 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[0\] ( mprj la_data_out[0] ) ( mgmt_buffers la_data_out_core[0] )
+ ROUTED met2 ( 965520 1306655 ) ( 965520 1377510 0 )
NEW met2 ( 1630320 1263550 0 ) ( 1630320 1307025 )
NEW met1 ( 1571760 1307025 ) ( 1630320 1307025 )
NEW met1 ( 1571760 1306655 ) ( 1571760 1307025 )
NEW met1 ( 965520 1306655 ) ( 1571760 1306655 )
NEW met1 ( 965520 1306655 ) M1M2_PR
NEW met1 ( 1630320 1307025 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[100\] ( mprj la_data_out[100] ) ( mgmt_buffers la_data_out_core[100] )
+ ROUTED met2 ( 1632000 1263550 0 ) ( 1632240 1263550 )
NEW met2 ( 1632240 1263550 ) ( 1632240 1305175 )
NEW met1 ( 1632240 1305175 ) ( 2749200 1305175 )
NEW met2 ( 2749200 1305175 ) ( 2749200 1377510 0 )
NEW met1 ( 1632240 1305175 ) M1M2_PR
NEW met1 ( 2749200 1305175 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[101\] ( mprj la_data_out[101] ) ( mgmt_buffers la_data_out_core[101] )
+ ROUTED met2 ( 1633920 1263550 0 ) ( 1633920 1264290 )
NEW met2 ( 1633920 1264290 ) ( 1634160 1264290 )
NEW met2 ( 1634160 1264290 ) ( 1634160 1304805 )
NEW met2 ( 2767440 1304805 ) ( 2767440 1377510 0 )
NEW met1 ( 1634160 1304805 ) ( 2767440 1304805 )
NEW met1 ( 1634160 1304805 ) M1M2_PR
NEW met1 ( 2767440 1304805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[102\] ( mprj la_data_out[102] ) ( mgmt_buffers la_data_out_core[102] )
+ ROUTED met2 ( 1635600 1263550 ) ( 1635840 1263550 0 )
NEW met2 ( 1635600 1263550 ) ( 1635600 1301290 )
NEW met2 ( 2785200 1301290 ) ( 2785200 1377510 0 )
NEW met3 ( 1635600 1301290 ) ( 2785200 1301290 )
NEW met2 ( 1635600 1301290 ) via2_FR
NEW met2 ( 2785200 1301290 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[103\] ( mprj la_data_out[103] ) ( mgmt_buffers la_data_out_core[103] )
+ ROUTED met2 ( 1637520 1263550 0 ) ( 1637520 1302030 )
NEW met2 ( 2803440 1302030 ) ( 2803440 1377510 0 )
NEW met3 ( 1637520 1302030 ) ( 2803440 1302030 )
NEW met2 ( 1637520 1302030 ) via2_FR
NEW met2 ( 2803440 1302030 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[104\] ( mprj la_data_out[104] ) ( mgmt_buffers la_data_out_core[104] )
+ ROUTED met1 ( 2147280 1346985 ) ( 2155920 1346985 )
NEW met2 ( 2155920 1346985 ) ( 2155920 1349205 )
NEW met1 ( 2155920 1349205 ) ( 2163600 1349205 )
NEW met2 ( 2163600 1349205 ) ( 2163600 1352165 )
NEW met2 ( 2821200 1352165 ) ( 2821200 1377510 0 )
NEW met2 ( 1639440 1263550 0 ) ( 1639440 1327745 )
NEW met2 ( 2147280 1327745 ) ( 2147280 1346985 )
NEW met1 ( 2163600 1352165 ) ( 2821200 1352165 )
NEW met1 ( 1639440 1327745 ) ( 2147280 1327745 )
NEW met1 ( 2147280 1346985 ) M1M2_PR
NEW met1 ( 2155920 1346985 ) M1M2_PR
NEW met1 ( 2155920 1349205 ) M1M2_PR
NEW met1 ( 2163600 1349205 ) M1M2_PR
NEW met1 ( 2163600 1352165 ) M1M2_PR
NEW met1 ( 2821200 1352165 ) M1M2_PR
NEW met1 ( 1639440 1327745 ) M1M2_PR
NEW met1 ( 2147280 1327745 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[105\] ( mprj la_data_out[105] ) ( mgmt_buffers la_data_out_core[105] )
+ ROUTED met1 ( 2146800 1352165 ) ( 2163120 1352165 )
NEW met1 ( 2163120 1351795 ) ( 2163120 1352165 )
NEW met2 ( 2838480 1351795 ) ( 2838480 1377510 0 )
NEW met2 ( 1641360 1263550 0 ) ( 1641360 1328115 )
NEW met2 ( 2146800 1328115 ) ( 2146800 1352165 )
NEW met1 ( 2163120 1351795 ) ( 2838480 1351795 )
NEW met1 ( 1641360 1328115 ) ( 2146800 1328115 )
NEW met1 ( 2146800 1352165 ) M1M2_PR
NEW met1 ( 2838480 1351795 ) M1M2_PR
NEW met1 ( 1641360 1328115 ) M1M2_PR
NEW met1 ( 2146800 1328115 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[106\] ( mprj la_data_out[106] ) ( mgmt_buffers la_data_out_core[106] )
+ ROUTED met2 ( 1643040 1263550 0 ) ( 1643280 1263550 )
NEW met2 ( 1643280 1263550 ) ( 1643280 1303325 )
NEW met1 ( 1643280 1303325 ) ( 2856720 1303325 )
NEW met2 ( 2856720 1303325 ) ( 2856720 1377510 0 )
NEW met1 ( 1643280 1303325 ) M1M2_PR
NEW met1 ( 2856720 1303325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[107\] ( mprj la_data_out[107] ) ( mgmt_buffers la_data_out_core[107] )
+ ROUTED met2 ( 1644960 1263550 0 ) ( 1644960 1264290 )
NEW met2 ( 1644960 1264290 ) ( 1645200 1264290 )
NEW met2 ( 1645200 1264290 ) ( 1645200 1284085 )
NEW met1 ( 1645200 1284085 ) ( 1709520 1284085 )
NEW met2 ( 1709520 1284085 ) ( 1709520 1304065 )
NEW met1 ( 1709520 1304065 ) ( 2874480 1304065 )
NEW met2 ( 2874480 1304065 ) ( 2874480 1377510 0 )
NEW met1 ( 1645200 1284085 ) M1M2_PR
NEW met1 ( 1709520 1284085 ) M1M2_PR
NEW met1 ( 1709520 1304065 ) M1M2_PR
NEW met1 ( 2874480 1304065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[108\] ( mprj la_data_out[108] ) ( mgmt_buffers la_data_out_core[108] )
+ ROUTED met2 ( 2892240 1350685 ) ( 2892240 1377510 0 )
NEW met1 ( 2124240 1350685 ) ( 2892240 1350685 )
NEW met2 ( 1646640 1263550 ) ( 1646880 1263550 0 )
NEW met2 ( 1646640 1263550 ) ( 1646640 1326635 )
NEW met1 ( 1646640 1326635 ) ( 2124240 1326635 )
NEW met2 ( 2124240 1326635 ) ( 2124240 1350685 )
NEW met1 ( 2124240 1350685 ) M1M2_PR
NEW met1 ( 2892240 1350685 ) M1M2_PR
NEW met1 ( 1646640 1326635 ) M1M2_PR
NEW met1 ( 2124240 1326635 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[109\] ( mprj la_data_out[109] ) ( mgmt_buffers la_data_out_core[109] )
+ ROUTED met1 ( 1789680 1316275 ) ( 1789680 1317755 )
NEW met1 ( 1789680 1317755 ) ( 1842960 1317755 )
NEW met2 ( 1842960 1315165 ) ( 1842960 1317755 )
NEW met2 ( 2910480 1350315 ) ( 2910480 1377510 0 )
NEW met1 ( 2139120 1350315 ) ( 2910480 1350315 )
NEW met2 ( 1648560 1263550 0 ) ( 1648560 1317755 )
NEW met1 ( 1648560 1317755 ) ( 1666320 1317755 )
NEW met2 ( 1666320 1316275 ) ( 1666320 1317755 )
NEW met1 ( 1666320 1316275 ) ( 1789680 1316275 )
NEW met1 ( 1842960 1315165 ) ( 2139120 1315165 )
NEW met2 ( 2139120 1315165 ) ( 2139120 1350315 )
NEW met1 ( 1842960 1317755 ) M1M2_PR
NEW met1 ( 1842960 1315165 ) M1M2_PR
NEW met1 ( 2139120 1350315 ) M1M2_PR
NEW met1 ( 2910480 1350315 ) M1M2_PR
NEW met1 ( 1648560 1317755 ) M1M2_PR
NEW met1 ( 1666320 1317755 ) M1M2_PR
NEW met1 ( 1666320 1316275 ) M1M2_PR
NEW met1 ( 2139120 1315165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[10\] ( mprj la_data_out[10] ) ( mgmt_buffers la_data_out_core[10] )
+ ROUTED met2 ( 1144080 1318495 ) ( 1144080 1377510 0 )
NEW met1 ( 1572240 1318125 ) ( 1572240 1318495 )
NEW met1 ( 1144080 1318495 ) ( 1572240 1318495 )
NEW met2 ( 1650480 1263550 0 ) ( 1650480 1318125 )
NEW met1 ( 1572240 1318125 ) ( 1650480 1318125 )
NEW met1 ( 1144080 1318495 ) M1M2_PR
NEW met1 ( 1650480 1318125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[110\] ( mprj la_data_out[110] ) ( mgmt_buffers la_data_out_core[110] )
+ ROUTED met2 ( 2927760 1349945 ) ( 2927760 1377510 0 )
NEW met1 ( 2135760 1349945 ) ( 2927760 1349945 )
NEW met2 ( 1652400 1263550 0 ) ( 1652400 1313130 )
NEW met3 ( 1652400 1313130 ) ( 2135760 1313130 )
NEW met2 ( 2135760 1313130 ) ( 2135760 1349945 )
NEW met1 ( 2135760 1349945 ) M1M2_PR
NEW met1 ( 2927760 1349945 ) M1M2_PR
NEW met2 ( 1652400 1313130 ) via2_FR
NEW met2 ( 2135760 1313130 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[111\] ( mprj la_data_out[111] ) ( mgmt_buffers la_data_out_core[111] )
+ ROUTED met2 ( 2946000 1349575 ) ( 2946000 1377510 0 )
NEW met1 ( 2136240 1349575 ) ( 2946000 1349575 )
NEW met2 ( 1654080 1263550 0 ) ( 1654320 1263550 )
NEW met2 ( 1654320 1263550 ) ( 1654320 1313870 )
NEW met3 ( 1654320 1313870 ) ( 2136240 1313870 )
NEW met2 ( 2136240 1313870 ) ( 2136240 1349575 )
NEW met1 ( 2136240 1349575 ) M1M2_PR
NEW met1 ( 2946000 1349575 ) M1M2_PR
NEW met2 ( 1654320 1313870 ) via2_FR
NEW met2 ( 2136240 1313870 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[112\] ( mprj la_data_out[112] ) ( mgmt_buffers la_data_out_core[112] )
+ ROUTED met2 ( 2963760 1318865 ) ( 2963760 1377510 0 )
NEW met2 ( 1656000 1263550 0 ) ( 1656000 1264290 )
NEW met2 ( 1656000 1264290 ) ( 1656240 1264290 )
NEW met2 ( 1656240 1264290 ) ( 1656240 1285565 )
NEW met1 ( 1656240 1285565 ) ( 1689360 1285565 )
NEW met2 ( 1689360 1285565 ) ( 1689360 1318865 )
NEW met1 ( 1689360 1318865 ) ( 2963760 1318865 )
NEW met1 ( 2963760 1318865 ) M1M2_PR
NEW met1 ( 1656240 1285565 ) M1M2_PR
NEW met1 ( 1689360 1285565 ) M1M2_PR
NEW met1 ( 1689360 1318865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[113\] ( mprj la_data_out[113] ) ( mgmt_buffers la_data_out_core[113] )
+ ROUTED met2 ( 2981520 1348835 ) ( 2981520 1377510 0 )
NEW met2 ( 2148240 1314425 ) ( 2148240 1348835 )
NEW met1 ( 2148240 1348835 ) ( 2981520 1348835 )
NEW met2 ( 1657680 1263550 ) ( 1657920 1263550 0 )
NEW met2 ( 1657680 1263550 ) ( 1657680 1314795 )
NEW met1 ( 1657680 1314795 ) ( 1708080 1314795 )
NEW met1 ( 1708080 1314425 ) ( 1708080 1314795 )
NEW met1 ( 1708080 1314425 ) ( 2148240 1314425 )
NEW met1 ( 2148240 1348835 ) M1M2_PR
NEW met1 ( 2981520 1348835 ) M1M2_PR
NEW met1 ( 2148240 1314425 ) M1M2_PR
NEW met1 ( 1657680 1314795 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[114\] ( mprj la_data_out[114] ) ( mgmt_buffers la_data_out_core[114] )
+ ROUTED met2 ( 2999280 1348465 ) ( 2999280 1377510 0 )
NEW met2 ( 2147760 1314795 ) ( 2147760 1348465 )
NEW met1 ( 2147760 1348465 ) ( 2999280 1348465 )
NEW met2 ( 1659600 1263550 0 ) ( 1659600 1287415 )
NEW met1 ( 1659600 1287415 ) ( 1668240 1287415 )
NEW met2 ( 1668240 1287415 ) ( 1668240 1315165 )
NEW met1 ( 1668240 1315165 ) ( 1708560 1315165 )
NEW met1 ( 1708560 1314795 ) ( 1708560 1315165 )
NEW met1 ( 1708560 1314795 ) ( 2147760 1314795 )
NEW met1 ( 2147760 1348465 ) M1M2_PR
NEW met1 ( 2999280 1348465 ) M1M2_PR
NEW met1 ( 2147760 1314795 ) M1M2_PR
NEW met1 ( 1659600 1287415 ) M1M2_PR
NEW met1 ( 1668240 1287415 ) M1M2_PR
NEW met1 ( 1668240 1315165 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[115\] ( mprj la_data_out[115] ) ( mgmt_buffers la_data_out_core[115] )
+ ROUTED met2 ( 3017040 1348095 ) ( 3017040 1377510 0 )
NEW met2 ( 2148720 1312390 ) ( 2148720 1348095 )
NEW met1 ( 2148720 1348095 ) ( 3017040 1348095 )
NEW met2 ( 1661520 1263550 0 ) ( 1661520 1312390 )
NEW met3 ( 1661520 1312390 ) ( 2148720 1312390 )
NEW met1 ( 2148720 1348095 ) M1M2_PR
NEW met1 ( 3017040 1348095 ) M1M2_PR
NEW met2 ( 2148720 1312390 ) via2_FR
NEW met2 ( 1661520 1312390 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[116\] ( mprj la_data_out[116] ) ( mgmt_buffers la_data_out_core[116] )
+ ROUTED met2 ( 3035280 1322750 ) ( 3035280 1377510 0 )
NEW met2 ( 1663440 1263550 0 ) ( 1663440 1322750 )
NEW met3 ( 1663440 1322750 ) ( 3035280 1322750 )
NEW met2 ( 3035280 1322750 ) via2_FR
NEW met2 ( 1663440 1322750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[117\] ( mprj la_data_out[117] ) ( mgmt_buffers la_data_out_core[117] )
+ ROUTED met2 ( 1665120 1263550 0 ) ( 1665360 1263550 )
NEW met2 ( 1665360 1263550 ) ( 1665360 1322010 )
NEW met3 ( 1665360 1322010 ) ( 3053040 1322010 )
NEW met2 ( 3053040 1322010 ) ( 3053040 1377510 0 )
NEW met2 ( 1665360 1322010 ) via2_FR
NEW met2 ( 3053040 1322010 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[118\] ( mprj la_data_out[118] ) ( mgmt_buffers la_data_out_core[118] )
+ ROUTED met2 ( 1667040 1263550 0 ) ( 1667040 1264290 )
NEW met2 ( 1667040 1264290 ) ( 1667280 1264290 )
NEW met2 ( 1667280 1264290 ) ( 1667280 1321270 )
NEW met3 ( 1667280 1321270 ) ( 3070800 1321270 )
NEW met2 ( 3070800 1321270 ) ( 3070800 1377510 0 )
NEW met2 ( 1667280 1321270 ) via2_FR
NEW met2 ( 3070800 1321270 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[119\] ( mprj la_data_out[119] ) ( mgmt_buffers la_data_out_core[119] )
+ ROUTED met2 ( 1668720 1263550 ) ( 1668960 1263550 0 )
NEW met2 ( 1668720 1263550 ) ( 1668720 1320530 )
NEW met3 ( 1668720 1320530 ) ( 3088560 1320530 )
NEW met2 ( 3088560 1320530 ) ( 3088560 1377510 0 )
NEW met2 ( 1668720 1320530 ) via2_FR
NEW met2 ( 3088560 1320530 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[11\] ( mprj la_data_out[11] ) ( mgmt_buffers la_data_out_core[11] )
+ ROUTED met2 ( 1161840 1318125 ) ( 1161840 1377510 0 )
NEW met2 ( 1571760 1311095 ) ( 1571760 1318125 )
NEW met1 ( 1161840 1318125 ) ( 1571760 1318125 )
NEW met2 ( 1670640 1263550 0 ) ( 1670640 1311095 )
NEW met1 ( 1571760 1311095 ) ( 1670640 1311095 )
NEW met1 ( 1161840 1318125 ) M1M2_PR
NEW met1 ( 1571760 1318125 ) M1M2_PR
NEW met1 ( 1571760 1311095 ) M1M2_PR
NEW met1 ( 1670640 1311095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[120\] ( mprj la_data_out[120] ) ( mgmt_buffers la_data_out_core[120] )
+ ROUTED met2 ( 3106320 1347725 ) ( 3106320 1377510 0 )
NEW met1 ( 2134320 1347725 ) ( 3106320 1347725 )
NEW met2 ( 1672560 1263550 0 ) ( 1672560 1299810 )
NEW met3 ( 1672560 1299810 ) ( 2134320 1299810 )
NEW met2 ( 2134320 1299810 ) ( 2134320 1347725 )
NEW met1 ( 2134320 1347725 ) M1M2_PR
NEW met1 ( 3106320 1347725 ) M1M2_PR
NEW met2 ( 1672560 1299810 ) via2_FR
NEW met2 ( 2134320 1299810 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[121\] ( mprj la_data_out[121] ) ( mgmt_buffers la_data_out_core[121] )
+ ROUTED met2 ( 1674480 1263550 0 ) ( 1674480 1287415 )
NEW met1 ( 1674480 1287415 ) ( 1698480 1287415 )
NEW met2 ( 1698480 1287415 ) ( 1698480 1318495 )
NEW met1 ( 1698480 1318495 ) ( 3124080 1318495 )
NEW met2 ( 3124080 1318495 ) ( 3124080 1377510 0 )
NEW met1 ( 1674480 1287415 ) M1M2_PR
NEW met1 ( 1698480 1287415 ) M1M2_PR
NEW met1 ( 1698480 1318495 ) M1M2_PR
NEW met1 ( 3124080 1318495 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[122\] ( mprj la_data_out[122] ) ( mgmt_buffers la_data_out_core[122] )
+ ROUTED met2 ( 1676160 1263550 0 ) ( 1676400 1263550 )
NEW met2 ( 1676400 1263550 ) ( 1676400 1264290 )
NEW met2 ( 1676400 1264290 ) ( 1676880 1264290 )
NEW met2 ( 1676880 1264290 ) ( 1676880 1318125 )
NEW met1 ( 1676880 1318125 ) ( 3142320 1318125 )
NEW met2 ( 3142320 1318125 ) ( 3142320 1377510 0 )
NEW met1 ( 1676880 1318125 ) M1M2_PR
NEW met1 ( 3142320 1318125 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[123\] ( mprj la_data_out[123] ) ( mgmt_buffers la_data_out_core[123] )
+ ROUTED met2 ( 3160080 1319790 ) ( 3160080 1377510 0 )
NEW met2 ( 1678080 1263550 0 ) ( 1678080 1264290 )
NEW met2 ( 1678080 1264290 ) ( 1678320 1264290 )
NEW met2 ( 1678320 1264290 ) ( 1678320 1319790 )
NEW met3 ( 1678320 1319790 ) ( 3160080 1319790 )
NEW met2 ( 3160080 1319790 ) via2_FR
NEW met2 ( 1678320 1319790 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[124\] ( mprj la_data_out[124] ) ( mgmt_buffers la_data_out_core[124] )
+ ROUTED met2 ( 3177840 1319050 ) ( 3177840 1377510 0 )
NEW met2 ( 1679760 1263550 ) ( 1680000 1263550 0 )
NEW met2 ( 1679760 1263550 ) ( 1679760 1319050 )
NEW met3 ( 1679760 1319050 ) ( 3177840 1319050 )
NEW met2 ( 3177840 1319050 ) via2_FR
NEW met2 ( 1679760 1319050 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[125\] ( mprj la_data_out[125] ) ( mgmt_buffers la_data_out_core[125] )
+ ROUTED met2 ( 3195600 1318310 ) ( 3195600 1377510 0 )
NEW met2 ( 1681680 1263550 0 ) ( 1681680 1318310 )
NEW met3 ( 1681680 1318310 ) ( 3195600 1318310 )
NEW met2 ( 3195600 1318310 ) via2_FR
NEW met2 ( 1681680 1318310 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[126\] ( mprj la_data_out[126] ) ( mgmt_buffers la_data_out_core[126] )
+ ROUTED met2 ( 3213360 1317570 ) ( 3213360 1377510 0 )
NEW met2 ( 1683600 1263550 0 ) ( 1683600 1317570 )
NEW met3 ( 1683600 1317570 ) ( 3213360 1317570 )
NEW met2 ( 3213360 1317570 ) via2_FR
NEW met2 ( 1683600 1317570 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[127\] ( mprj la_data_out[127] ) ( mgmt_buffers la_data_out_core[127] )
+ ROUTED met2 ( 3231600 1310725 ) ( 3231600 1377510 0 )
NEW met2 ( 1685520 1263550 0 ) ( 1685520 1310725 )
NEW met1 ( 1685520 1310725 ) ( 3231600 1310725 )
NEW met1 ( 3231600 1310725 ) M1M2_PR
NEW met1 ( 1685520 1310725 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[12\] ( mprj la_data_out[12] ) ( mgmt_buffers la_data_out_core[12] )
+ ROUTED met2 ( 1179600 1377510 0 ) ( 1180080 1377510 )
NEW met2 ( 1180080 1334775 ) ( 1180080 1377510 )
NEW met2 ( 1687200 1263550 0 ) ( 1687440 1263550 )
NEW met2 ( 1687440 1263550 ) ( 1687440 1264290 )
NEW met2 ( 1686960 1264290 ) ( 1687440 1264290 )
NEW met2 ( 1686960 1264290 ) ( 1686960 1334775 )
NEW met1 ( 1180080 1334775 ) ( 1686960 1334775 )
NEW met1 ( 1180080 1334775 ) M1M2_PR
NEW met1 ( 1686960 1334775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[13\] ( mprj la_data_out[13] ) ( mgmt_buffers la_data_out_core[13] )
+ ROUTED met2 ( 1197360 1318865 ) ( 1197360 1377510 0 )
NEW met2 ( 1689120 1263550 0 ) ( 1689120 1264290 )
NEW met2 ( 1688880 1264290 ) ( 1689120 1264290 )
NEW met2 ( 1688880 1264290 ) ( 1688880 1318865 )
NEW met1 ( 1197360 1318865 ) ( 1688880 1318865 )
NEW met1 ( 1197360 1318865 ) M1M2_PR
NEW met1 ( 1688880 1318865 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[14\] ( mprj la_data_out[14] ) ( mgmt_buffers la_data_out_core[14] )
+ ROUTED met2 ( 1215600 1321085 ) ( 1215600 1377510 0 )
NEW met2 ( 1690800 1263550 ) ( 1691040 1263550 0 )
NEW met2 ( 1690800 1263550 ) ( 1690800 1321085 )
NEW met1 ( 1215600 1321085 ) ( 1690800 1321085 )
NEW met1 ( 1215600 1321085 ) M1M2_PR
NEW met1 ( 1690800 1321085 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[15\] ( mprj la_data_out[15] ) ( mgmt_buffers la_data_out_core[15] )
+ ROUTED met2 ( 1233360 1321455 ) ( 1233360 1377510 0 )
NEW met2 ( 1692720 1263550 0 ) ( 1692720 1321455 )
NEW met1 ( 1233360 1321455 ) ( 1692720 1321455 )
NEW met1 ( 1233360 1321455 ) M1M2_PR
NEW met1 ( 1692720 1321455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[16\] ( mprj la_data_out[16] ) ( mgmt_buffers la_data_out_core[16] )
+ ROUTED met2 ( 1250640 1321825 ) ( 1250640 1377510 0 )
NEW met2 ( 1694640 1263550 0 ) ( 1694640 1321825 )
NEW met1 ( 1250640 1321825 ) ( 1694640 1321825 )
NEW met1 ( 1250640 1321825 ) M1M2_PR
NEW met1 ( 1694640 1321825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[17\] ( mprj la_data_out[17] ) ( mgmt_buffers la_data_out_core[17] )
+ ROUTED met2 ( 1268880 1322565 ) ( 1268880 1377510 0 )
NEW met2 ( 1696560 1263550 0 ) ( 1696560 1322935 )
NEW met1 ( 1669200 1322935 ) ( 1696560 1322935 )
NEW met1 ( 1669200 1322565 ) ( 1669200 1322935 )
NEW met1 ( 1268880 1322565 ) ( 1669200 1322565 )
NEW met1 ( 1268880 1322565 ) M1M2_PR
NEW met1 ( 1696560 1322935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[18\] ( mprj la_data_out[18] ) ( mgmt_buffers la_data_out_core[18] )
+ ROUTED met2 ( 1286640 1323305 ) ( 1286640 1377510 0 )
NEW met2 ( 1698240 1263550 0 ) ( 1698480 1263550 )
NEW met2 ( 1698480 1263550 ) ( 1698480 1264290 )
NEW met2 ( 1698000 1264290 ) ( 1698480 1264290 )
NEW met2 ( 1698000 1264290 ) ( 1698000 1323305 )
NEW met2 ( 1590960 1323305 ) ( 1590960 1324970 )
NEW met2 ( 1590960 1324970 ) ( 1592400 1324970 )
NEW met2 ( 1592400 1323305 ) ( 1592400 1324970 )
NEW met1 ( 1286640 1323305 ) ( 1590960 1323305 )
NEW met1 ( 1592400 1323305 ) ( 1698000 1323305 )
NEW met1 ( 1286640 1323305 ) M1M2_PR
NEW met1 ( 1698000 1323305 ) M1M2_PR
NEW met1 ( 1590960 1323305 ) M1M2_PR
NEW met1 ( 1592400 1323305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[19\] ( mprj la_data_out[19] ) ( mgmt_buffers la_data_out_core[19] )
+ ROUTED met2 ( 1304400 1322935 ) ( 1304400 1377510 0 )
NEW met2 ( 1700160 1263550 0 ) ( 1700160 1264290 )
NEW met2 ( 1699920 1264290 ) ( 1700160 1264290 )
NEW met2 ( 1699920 1264290 ) ( 1699920 1324045 )
NEW met1 ( 1668240 1324045 ) ( 1699920 1324045 )
NEW met1 ( 1668240 1323675 ) ( 1668240 1324045 )
NEW met1 ( 1591920 1322935 ) ( 1591920 1323675 )
NEW met1 ( 1304400 1322935 ) ( 1591920 1322935 )
NEW met1 ( 1591920 1323675 ) ( 1668240 1323675 )
NEW met1 ( 1304400 1322935 ) M1M2_PR
NEW met1 ( 1699920 1324045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[1\] ( mprj la_data_out[1] ) ( mgmt_buffers la_data_out_core[1] )
+ ROUTED met2 ( 983760 1320345 ) ( 983760 1377510 0 )
NEW met2 ( 1701840 1263550 ) ( 1702080 1263550 0 )
NEW met2 ( 1701840 1263550 ) ( 1701840 1323675 )
NEW met1 ( 1668720 1323675 ) ( 1701840 1323675 )
NEW met2 ( 1668720 1322935 ) ( 1668720 1323675 )
NEW met2 ( 1562160 1320345 ) ( 1562160 1322010 )
NEW met3 ( 1562160 1322010 ) ( 1593360 1322010 )
NEW met2 ( 1593360 1322010 ) ( 1593360 1322935 )
NEW met1 ( 983760 1320345 ) ( 1562160 1320345 )
NEW met1 ( 1593360 1322935 ) ( 1668720 1322935 )
NEW met1 ( 983760 1320345 ) M1M2_PR
NEW met1 ( 1701840 1323675 ) M1M2_PR
NEW met1 ( 1668720 1323675 ) M1M2_PR
NEW met1 ( 1668720 1322935 ) M1M2_PR
NEW met1 ( 1562160 1320345 ) M1M2_PR
NEW met2 ( 1562160 1322010 ) via2_FR
NEW met2 ( 1593360 1322010 ) via2_FR
NEW met1 ( 1593360 1322935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[20\] ( mprj la_data_out[20] ) ( mgmt_buffers la_data_out_core[20] )
+ ROUTED met1 ( 1590960 1323675 ) ( 1590960 1324045 )
NEW met2 ( 1322640 1323675 ) ( 1322640 1377510 0 )
NEW met1 ( 1322640 1323675 ) ( 1590960 1323675 )
NEW met2 ( 1703760 1263550 0 ) ( 1703760 1319605 )
NEW met2 ( 1646160 1324045 ) ( 1646160 1324970 )
NEW met3 ( 1646160 1324970 ) ( 1693680 1324970 )
NEW met2 ( 1693680 1319605 ) ( 1693680 1324970 )
NEW met1 ( 1590960 1324045 ) ( 1646160 1324045 )
NEW met1 ( 1693680 1319605 ) ( 1703760 1319605 )
NEW met1 ( 1322640 1323675 ) M1M2_PR
NEW met1 ( 1703760 1319605 ) M1M2_PR
NEW met1 ( 1646160 1324045 ) M1M2_PR
NEW met2 ( 1646160 1324970 ) via2_FR
NEW met2 ( 1693680 1324970 ) via2_FR
NEW met1 ( 1693680 1319605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[21\] ( mprj la_data_out[21] ) ( mgmt_buffers la_data_out_core[21] )
+ ROUTED met2 ( 1339920 1324045 ) ( 1339920 1377510 0 )
NEW met2 ( 1590480 1318495 ) ( 1590480 1324045 )
NEW met1 ( 1339920 1324045 ) ( 1590480 1324045 )
NEW met2 ( 1705680 1263550 0 ) ( 1705680 1317755 )
NEW met1 ( 1667760 1318125 ) ( 1667760 1318495 )
NEW met1 ( 1667760 1318125 ) ( 1674960 1318125 )
NEW met1 ( 1674960 1317755 ) ( 1674960 1318125 )
NEW met1 ( 1590480 1318495 ) ( 1667760 1318495 )
NEW met1 ( 1674960 1317755 ) ( 1705680 1317755 )
NEW met1 ( 1339920 1324045 ) M1M2_PR
NEW met1 ( 1590480 1324045 ) M1M2_PR
NEW met1 ( 1590480 1318495 ) M1M2_PR
NEW met1 ( 1705680 1317755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[22\] ( mprj la_data_out[22] ) ( mgmt_buffers la_data_out_core[22] )
+ ROUTED met2 ( 1358160 1324415 ) ( 1358160 1377510 0 )
NEW met2 ( 1591440 1314425 ) ( 1591440 1324415 )
NEW met1 ( 1358160 1324415 ) ( 1591440 1324415 )
NEW met2 ( 1707600 1263550 0 ) ( 1707600 1314425 )
NEW met1 ( 1591440 1314425 ) ( 1707600 1314425 )
NEW met1 ( 1358160 1324415 ) M1M2_PR
NEW met1 ( 1591440 1324415 ) M1M2_PR
NEW met1 ( 1591440 1314425 ) M1M2_PR
NEW met1 ( 1707600 1314425 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[23\] ( mprj la_data_out[23] ) ( mgmt_buffers la_data_out_core[23] )
+ ROUTED met2 ( 1375920 1317755 ) ( 1375920 1377510 0 )
NEW met2 ( 1592400 1317755 ) ( 1592400 1320345 )
NEW met1 ( 1375920 1317755 ) ( 1592400 1317755 )
NEW met2 ( 1709280 1263550 0 ) ( 1709280 1265030 )
NEW met2 ( 1708560 1265030 ) ( 1709280 1265030 )
NEW met2 ( 1708560 1265030 ) ( 1708560 1321455 )
NEW met1 ( 1698480 1321455 ) ( 1708560 1321455 )
NEW met1 ( 1698480 1321085 ) ( 1698480 1321455 )
NEW met1 ( 1691280 1321085 ) ( 1698480 1321085 )
NEW met1 ( 1691280 1320345 ) ( 1691280 1321085 )
NEW met1 ( 1592400 1320345 ) ( 1691280 1320345 )
NEW met1 ( 1375920 1317755 ) M1M2_PR
NEW met1 ( 1592400 1317755 ) M1M2_PR
NEW met1 ( 1592400 1320345 ) M1M2_PR
NEW met1 ( 1708560 1321455 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[24\] ( mprj la_data_out[24] ) ( mgmt_buffers la_data_out_core[24] )
+ ROUTED met2 ( 1393680 1316645 ) ( 1393680 1377510 0 )
NEW met2 ( 1711200 1263550 0 ) ( 1711200 1264290 )
NEW met2 ( 1710960 1264290 ) ( 1711200 1264290 )
NEW met2 ( 1710960 1264290 ) ( 1710960 1316645 )
NEW met1 ( 1393680 1316645 ) ( 1710960 1316645 )
NEW met1 ( 1393680 1316645 ) M1M2_PR
NEW met1 ( 1710960 1316645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[25\] ( mprj la_data_out[25] ) ( mgmt_buffers la_data_out_core[25] )
+ ROUTED met2 ( 1590960 1316275 ) ( 1590960 1317385 )
NEW met2 ( 1712880 1263550 ) ( 1713120 1263550 0 )
NEW met2 ( 1712880 1263550 ) ( 1712880 1317385 )
NEW met1 ( 1590960 1317385 ) ( 1712880 1317385 )
NEW met2 ( 1414800 1316275 ) ( 1414800 1377510 )
NEW met2 ( 1411920 1377510 0 ) ( 1414800 1377510 )
NEW met1 ( 1414800 1316275 ) ( 1590960 1316275 )
NEW met1 ( 1590960 1316275 ) M1M2_PR
NEW met1 ( 1590960 1317385 ) M1M2_PR
NEW met1 ( 1712880 1317385 ) M1M2_PR
NEW met1 ( 1414800 1316275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[26\] ( mprj la_data_out[26] ) ( mgmt_buffers la_data_out_core[26] )
+ ROUTED met2 ( 1429200 1317015 ) ( 1429200 1377510 0 )
NEW met2 ( 1714800 1263550 0 ) ( 1714800 1284270 )
NEW met2 ( 1714320 1284270 ) ( 1714800 1284270 )
NEW met2 ( 1714320 1284270 ) ( 1714320 1317015 )
NEW met1 ( 1429200 1317015 ) ( 1714320 1317015 )
NEW met1 ( 1429200 1317015 ) M1M2_PR
NEW met1 ( 1714320 1317015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[27\] ( mprj la_data_out[27] ) ( mgmt_buffers la_data_out_core[27] )
+ ROUTED met2 ( 1447440 1315905 ) ( 1447440 1377510 0 )
NEW met2 ( 1716720 1263550 0 ) ( 1716720 1315905 )
NEW met1 ( 1447440 1315905 ) ( 1716720 1315905 )
NEW met1 ( 1447440 1315905 ) M1M2_PR
NEW met1 ( 1716720 1315905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[28\] ( mprj la_data_out[28] ) ( mgmt_buffers la_data_out_core[28] )
+ ROUTED met2 ( 1465200 1315535 ) ( 1465200 1377510 0 )
NEW met2 ( 1718640 1263550 0 ) ( 1718640 1315535 )
NEW met1 ( 1465200 1315535 ) ( 1718640 1315535 )
NEW met1 ( 1465200 1315535 ) M1M2_PR
NEW met1 ( 1718640 1315535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[29\] ( mprj la_data_out[29] ) ( mgmt_buffers la_data_out_core[29] )
+ ROUTED met2 ( 1482960 1314055 ) ( 1482960 1377510 0 )
NEW met2 ( 1720320 1263550 0 ) ( 1720560 1263550 )
NEW met2 ( 1720560 1263550 ) ( 1720560 1314055 )
NEW met1 ( 1482960 1314055 ) ( 1720560 1314055 )
NEW met1 ( 1482960 1314055 ) M1M2_PR
NEW met1 ( 1720560 1314055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[2\] ( mprj la_data_out[2] ) ( mgmt_buffers la_data_out_core[2] )
+ ROUTED met2 ( 1001040 1319975 ) ( 1001040 1377510 0 )
NEW met2 ( 1722240 1263550 0 ) ( 1722240 1264290 )
NEW met2 ( 1722000 1264290 ) ( 1722240 1264290 )
NEW met2 ( 1722000 1264290 ) ( 1722000 1324415 )
NEW met1 ( 1647600 1324415 ) ( 1722000 1324415 )
NEW met2 ( 1647600 1319975 ) ( 1647600 1324415 )
NEW met1 ( 1001040 1319975 ) ( 1647600 1319975 )
NEW met1 ( 1001040 1319975 ) M1M2_PR
NEW met1 ( 1722000 1324415 ) M1M2_PR
NEW met1 ( 1647600 1324415 ) M1M2_PR
NEW met1 ( 1647600 1319975 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[30\] ( mprj la_data_out[30] ) ( mgmt_buffers la_data_out_core[30] )
+ ROUTED met2 ( 1500720 1313315 ) ( 1500720 1377510 0 )
NEW met2 ( 1723920 1263550 ) ( 1724160 1263550 0 )
NEW met2 ( 1723920 1263550 ) ( 1723920 1313315 )
NEW met1 ( 1500720 1313315 ) ( 1723920 1313315 )
NEW met1 ( 1500720 1313315 ) M1M2_PR
NEW met1 ( 1723920 1313315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[31\] ( mprj la_data_out[31] ) ( mgmt_buffers la_data_out_core[31] )
+ ROUTED met2 ( 1518480 1312945 ) ( 1518480 1377510 0 )
NEW met2 ( 1725840 1263550 0 ) ( 1725840 1312945 )
NEW met1 ( 1518480 1312945 ) ( 1725840 1312945 )
NEW met1 ( 1518480 1312945 ) M1M2_PR
NEW met1 ( 1725840 1312945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[32\] ( mprj la_data_out[32] ) ( mgmt_buffers la_data_out_core[32] )
+ ROUTED met2 ( 1536240 1312205 ) ( 1536240 1377510 0 )
NEW met2 ( 1727760 1263550 0 ) ( 1727760 1312205 )
NEW met1 ( 1536240 1312205 ) ( 1727760 1312205 )
NEW met1 ( 1536240 1312205 ) M1M2_PR
NEW met1 ( 1727760 1312205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[33\] ( mprj la_data_out[33] ) ( mgmt_buffers la_data_out_core[33] )
+ ROUTED met2 ( 1554480 1312575 ) ( 1554480 1377510 0 )
NEW met2 ( 1729680 1263550 0 ) ( 1729680 1312575 )
NEW met1 ( 1554480 1312575 ) ( 1729680 1312575 )
NEW met1 ( 1554480 1312575 ) M1M2_PR
NEW met1 ( 1729680 1312575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[34\] ( mprj la_data_out[34] ) ( mgmt_buffers la_data_out_core[34] )
+ ROUTED met2 ( 1572240 1311465 ) ( 1572240 1377510 0 )
NEW met2 ( 1731360 1263550 0 ) ( 1731600 1263550 )
NEW met2 ( 1731600 1263550 ) ( 1731600 1311465 )
NEW met1 ( 1572240 1311465 ) ( 1731600 1311465 )
NEW met1 ( 1572240 1311465 ) M1M2_PR
NEW met1 ( 1731600 1311465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[35\] ( mprj la_data_out[35] ) ( mgmt_buffers la_data_out_core[35] )
+ ROUTED met2 ( 1590000 1328670 ) ( 1592880 1328670 )
NEW met2 ( 1592880 1317755 ) ( 1592880 1328670 )
NEW met2 ( 1590000 1328670 ) ( 1590000 1377510 0 )
NEW met2 ( 1733280 1263550 0 ) ( 1733280 1265030 )
NEW met2 ( 1732560 1265030 ) ( 1733280 1265030 )
NEW met2 ( 1732560 1265030 ) ( 1732560 1319975 )
NEW met1 ( 1692240 1319975 ) ( 1732560 1319975 )
NEW met2 ( 1692240 1319790 ) ( 1692240 1319975 )
NEW met2 ( 1691280 1319790 ) ( 1692240 1319790 )
NEW met2 ( 1691280 1319790 ) ( 1691280 1319975 )
NEW met1 ( 1648080 1319975 ) ( 1691280 1319975 )
NEW met2 ( 1648080 1317755 ) ( 1648080 1319975 )
NEW met1 ( 1592880 1317755 ) ( 1648080 1317755 )
NEW met1 ( 1592880 1317755 ) M1M2_PR
NEW met1 ( 1732560 1319975 ) M1M2_PR
NEW met1 ( 1692240 1319975 ) M1M2_PR
NEW met1 ( 1691280 1319975 ) M1M2_PR
NEW met1 ( 1648080 1319975 ) M1M2_PR
NEW met1 ( 1648080 1317755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[36\] ( mprj la_data_out[36] ) ( mgmt_buffers la_data_out_core[36] )
+ ROUTED met2 ( 1607760 1310725 ) ( 1607760 1377510 0 )
NEW met2 ( 1734960 1263550 ) ( 1735200 1263550 0 )
NEW met2 ( 1734960 1263550 ) ( 1734960 1311095 )
NEW met1 ( 1685040 1311095 ) ( 1734960 1311095 )
NEW met1 ( 1685040 1310725 ) ( 1685040 1311095 )
NEW met1 ( 1607760 1310725 ) ( 1685040 1310725 )
NEW met1 ( 1607760 1310725 ) M1M2_PR
NEW met1 ( 1734960 1311095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[37\] ( mprj la_data_out[37] ) ( mgmt_buffers la_data_out_core[37] )
+ ROUTED met2 ( 1625520 1324415 ) ( 1625520 1377510 0 )
NEW met2 ( 1736880 1263550 0 ) ( 1736880 1321825 )
NEW met1 ( 1698000 1321825 ) ( 1736880 1321825 )
NEW met1 ( 1698000 1321455 ) ( 1698000 1321825 )
NEW met1 ( 1693200 1321455 ) ( 1698000 1321455 )
NEW met2 ( 1693200 1321455 ) ( 1693200 1322010 )
NEW met2 ( 1690320 1322010 ) ( 1693200 1322010 )
NEW met2 ( 1690320 1320715 ) ( 1690320 1322010 )
NEW met1 ( 1676880 1320715 ) ( 1690320 1320715 )
NEW met2 ( 1676880 1319235 ) ( 1676880 1320715 )
NEW met2 ( 1674480 1319235 ) ( 1676880 1319235 )
NEW met2 ( 1674480 1317755 ) ( 1674480 1319235 )
NEW met1 ( 1667280 1317755 ) ( 1674480 1317755 )
NEW met1 ( 1667280 1317755 ) ( 1667280 1318125 )
NEW met1 ( 1650960 1318125 ) ( 1667280 1318125 )
NEW met2 ( 1650960 1318125 ) ( 1650960 1324970 )
NEW met2 ( 1647120 1324970 ) ( 1650960 1324970 )
NEW met2 ( 1647120 1324415 ) ( 1647120 1324970 )
NEW met1 ( 1625520 1324415 ) ( 1647120 1324415 )
NEW met1 ( 1625520 1324415 ) M1M2_PR
NEW met1 ( 1736880 1321825 ) M1M2_PR
NEW met1 ( 1693200 1321455 ) M1M2_PR
NEW met1 ( 1690320 1320715 ) M1M2_PR
NEW met1 ( 1676880 1320715 ) M1M2_PR
NEW met1 ( 1674480 1317755 ) M1M2_PR
NEW met1 ( 1650960 1318125 ) M1M2_PR
NEW met1 ( 1647120 1324415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[38\] ( mprj la_data_out[38] ) ( mgmt_buffers la_data_out_core[38] )
+ ROUTED met2 ( 1738800 1263550 0 ) ( 1738800 1299810 )
NEW met2 ( 1737840 1299810 ) ( 1738800 1299810 )
NEW met2 ( 1737840 1299810 ) ( 1737840 1339585 )
NEW met1 ( 1698480 1339585 ) ( 1698480 1339955 )
NEW met1 ( 1643760 1339955 ) ( 1698480 1339955 )
NEW met2 ( 1643760 1339955 ) ( 1643760 1377510 0 )
NEW met1 ( 1698480 1339585 ) ( 1737840 1339585 )
NEW met1 ( 1737840 1339585 ) M1M2_PR
NEW met1 ( 1643760 1339955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[39\] ( mprj la_data_out[39] ) ( mgmt_buffers la_data_out_core[39] )
+ ROUTED met2 ( 1740720 1263550 0 ) ( 1740720 1340325 )
NEW met2 ( 1661520 1340325 ) ( 1661520 1377510 0 )
NEW met1 ( 1661520 1340325 ) ( 1740720 1340325 )
NEW met1 ( 1740720 1340325 ) M1M2_PR
NEW met1 ( 1661520 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[3\] ( mprj la_data_out[3] ) ( mgmt_buffers la_data_out_core[3] )
+ ROUTED met2 ( 1018800 1319235 ) ( 1018800 1377510 0 )
NEW met2 ( 1742400 1263550 0 ) ( 1742640 1263550 )
NEW met2 ( 1742640 1263550 ) ( 1742640 1319235 )
NEW met1 ( 1018800 1319235 ) ( 1742640 1319235 )
NEW met1 ( 1018800 1319235 ) M1M2_PR
NEW met1 ( 1742640 1319235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[40\] ( mprj la_data_out[40] ) ( mgmt_buffers la_data_out_core[40] )
+ ROUTED met2 ( 1744320 1263550 0 ) ( 1744320 1265030 )
NEW met2 ( 1744080 1265030 ) ( 1744320 1265030 )
NEW met2 ( 1744080 1265030 ) ( 1744080 1343655 )
NEW met2 ( 1710480 1341065 ) ( 1710480 1343655 )
NEW met1 ( 1710480 1343655 ) ( 1744080 1343655 )
NEW met2 ( 1679280 1341065 ) ( 1679280 1377510 0 )
NEW met1 ( 1679280 1341065 ) ( 1710480 1341065 )
NEW met1 ( 1744080 1343655 ) M1M2_PR
NEW met1 ( 1710480 1343655 ) M1M2_PR
NEW met1 ( 1710480 1341065 ) M1M2_PR
NEW met1 ( 1679280 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[41\] ( mprj la_data_out[41] ) ( mgmt_buffers la_data_out_core[41] )
+ ROUTED met2 ( 1746240 1263550 0 ) ( 1746240 1265030 )
NEW met2 ( 1746240 1265030 ) ( 1746480 1265030 )
NEW met2 ( 1746480 1265030 ) ( 1746480 1339215 )
NEW met2 ( 1697520 1339215 ) ( 1698000 1339215 )
NEW met2 ( 1697520 1339215 ) ( 1697520 1377510 )
NEW met2 ( 1697040 1377510 0 ) ( 1697520 1377510 )
NEW met1 ( 1698000 1339215 ) ( 1746480 1339215 )
NEW met1 ( 1746480 1339215 ) M1M2_PR
NEW met1 ( 1698000 1339215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[42\] ( mprj la_data_out[42] ) ( mgmt_buffers la_data_out_core[42] )
+ ROUTED met2 ( 1747920 1263550 0 ) ( 1747920 1284825 )
NEW met2 ( 1714800 1284825 ) ( 1714800 1377510 0 )
NEW met1 ( 1714800 1284825 ) ( 1747920 1284825 )
NEW met1 ( 1747920 1284825 ) M1M2_PR
NEW met1 ( 1714800 1284825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[43\] ( mprj la_data_out[43] ) ( mgmt_buffers la_data_out_core[43] )
+ ROUTED met2 ( 1749840 1263550 0 ) ( 1749840 1285195 )
NEW met2 ( 1733040 1285195 ) ( 1733040 1377510 0 )
NEW met1 ( 1733040 1285195 ) ( 1749840 1285195 )
NEW met1 ( 1749840 1285195 ) M1M2_PR
NEW met1 ( 1733040 1285195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[44\] ( mprj la_data_out[44] ) ( mgmt_buffers la_data_out_core[44] )
+ ROUTED met2 ( 1750320 1377510 0 ) ( 1751760 1377510 )
NEW met2 ( 1751760 1263550 0 ) ( 1751760 1377510 )
+ USE SIGNAL ;
- la_data_out_user\[45\] ( mprj la_data_out[45] ) ( mgmt_buffers la_data_out_core[45] )
+ ROUTED met2 ( 1753440 1263550 0 ) ( 1753680 1263550 )
NEW met2 ( 1753680 1263550 ) ( 1753680 1285565 )
NEW met1 ( 1753680 1285565 ) ( 1768080 1285565 )
NEW met2 ( 1768080 1285565 ) ( 1768080 1377510 0 )
NEW met1 ( 1753680 1285565 ) M1M2_PR
NEW met1 ( 1768080 1285565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[46\] ( mprj la_data_out[46] ) ( mgmt_buffers la_data_out_core[46] )
+ ROUTED met2 ( 1786320 1377510 0 ) ( 1787280 1377510 )
NEW met2 ( 1755360 1263550 0 ) ( 1755360 1264290 )
NEW met2 ( 1755360 1264290 ) ( 1755600 1264290 )
NEW met2 ( 1755600 1264290 ) ( 1755600 1286675 )
NEW met1 ( 1755600 1286675 ) ( 1787280 1286675 )
NEW met2 ( 1787280 1286675 ) ( 1787280 1377510 )
NEW met1 ( 1755600 1286675 ) M1M2_PR
NEW met1 ( 1787280 1286675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[47\] ( mprj la_data_out[47] ) ( mgmt_buffers la_data_out_core[47] )
+ ROUTED met2 ( 1757040 1263550 ) ( 1757280 1263550 0 )
NEW met2 ( 1757040 1263550 ) ( 1757040 1268915 )
NEW met1 ( 1757040 1268915 ) ( 1804080 1268915 )
NEW met2 ( 1804080 1268915 ) ( 1804080 1377510 0 )
NEW met1 ( 1757040 1268915 ) M1M2_PR
NEW met1 ( 1804080 1268915 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[48\] ( mprj la_data_out[48] ) ( mgmt_buffers la_data_out_core[48] )
+ ROUTED met2 ( 1758960 1263550 0 ) ( 1758960 1284825 )
NEW met1 ( 1758960 1284825 ) ( 1821840 1284825 )
NEW met2 ( 1821840 1284825 ) ( 1821840 1377510 0 )
NEW met1 ( 1758960 1284825 ) M1M2_PR
NEW met1 ( 1821840 1284825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[49\] ( mprj la_data_out[49] ) ( mgmt_buffers la_data_out_core[49] )
+ ROUTED met1 ( 1430160 1238575 ) ( 1430160 1238945 )
NEW met1 ( 1428240 1238945 ) ( 1430160 1238945 )
NEW met2 ( 1428240 1238945 ) ( 1428240 1335515 )
NEW met2 ( 1430160 1191215 ) ( 1430160 1238575 )
NEW met2 ( 1839600 1335515 ) ( 1839600 1377510 0 )
NEW met2 ( 1695600 1191215 ) ( 1695600 1199170 0 )
NEW met1 ( 1430160 1191215 ) ( 1695600 1191215 )
NEW met1 ( 1428240 1335515 ) ( 1839600 1335515 )
NEW met1 ( 1430160 1191215 ) M1M2_PR
NEW met1 ( 1430160 1238575 ) M1M2_PR
NEW met1 ( 1428240 1238945 ) M1M2_PR
NEW met1 ( 1428240 1335515 ) M1M2_PR
NEW met1 ( 1839600 1335515 ) M1M2_PR
NEW met1 ( 1695600 1191215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[4\] ( mprj la_data_out[4] ) ( mgmt_buffers la_data_out_core[4] )
+ ROUTED met2 ( 1760880 1263550 0 ) ( 1760880 1320715 )
NEW met2 ( 1037040 1319605 ) ( 1037040 1377510 0 )
NEW met1 ( 1691760 1319605 ) ( 1691760 1320715 )
NEW met1 ( 1037040 1319605 ) ( 1691760 1319605 )
NEW met1 ( 1691760 1320715 ) ( 1760880 1320715 )
NEW met1 ( 1760880 1320715 ) M1M2_PR
NEW met1 ( 1037040 1319605 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[50\] ( mprj la_data_out[50] ) ( mgmt_buffers la_data_out_core[50] )
+ ROUTED met1 ( 1416720 1255595 ) ( 1418640 1255595 )
NEW met2 ( 1416720 1255595 ) ( 1416720 1322195 )
NEW met2 ( 1418640 1193435 ) ( 1418640 1255595 )
NEW met2 ( 1697520 1193435 ) ( 1697520 1199170 0 )
NEW met1 ( 1418640 1193435 ) ( 1697520 1193435 )
NEW met1 ( 1416720 1322195 ) ( 1857360 1322195 )
NEW met2 ( 1857360 1322195 ) ( 1857360 1377510 0 )
NEW met1 ( 1418640 1193435 ) M1M2_PR
NEW met1 ( 1418640 1255595 ) M1M2_PR
NEW met1 ( 1416720 1255595 ) M1M2_PR
NEW met1 ( 1416720 1322195 ) M1M2_PR
NEW met1 ( 1697520 1193435 ) M1M2_PR
NEW met1 ( 1857360 1322195 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[51\] ( mprj la_data_out[51] ) ( mgmt_buffers la_data_out_core[51] )
+ ROUTED met2 ( 1762800 1263550 0 ) ( 1762800 1319235 )
NEW met1 ( 1762800 1319235 ) ( 1875600 1319235 )
NEW met2 ( 1875600 1319235 ) ( 1875600 1377510 0 )
NEW met1 ( 1762800 1319235 ) M1M2_PR
NEW met1 ( 1875600 1319235 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[52\] ( mprj la_data_out[52] ) ( mgmt_buffers la_data_out_core[52] )
+ ROUTED met2 ( 1433040 1192325 ) ( 1433040 1332925 )
NEW met2 ( 1699440 1192325 ) ( 1699440 1197690 )
NEW met2 ( 1699200 1197690 ) ( 1699440 1197690 )
NEW met2 ( 1699200 1197690 ) ( 1699200 1199170 0 )
NEW met1 ( 1433040 1192325 ) ( 1699440 1192325 )
NEW met2 ( 1892880 1340695 ) ( 1893360 1340695 )
NEW met2 ( 1892880 1340695 ) ( 1892880 1377510 )
NEW met2 ( 1892880 1377510 ) ( 1893360 1377510 0 )
NEW met1 ( 1433040 1332925 ) ( 1893360 1332925 )
NEW met2 ( 1893360 1332925 ) ( 1893360 1340695 )
NEW met1 ( 1433040 1192325 ) M1M2_PR
NEW met1 ( 1433040 1332925 ) M1M2_PR
NEW met1 ( 1699440 1192325 ) M1M2_PR
NEW met1 ( 1893360 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[53\] ( mprj la_data_out[53] ) ( mgmt_buffers la_data_out_core[53] )
+ ROUTED met2 ( 1764480 1263550 0 ) ( 1764720 1263550 )
NEW met2 ( 1764720 1263550 ) ( 1764720 1335885 )
NEW met1 ( 1764720 1335885 ) ( 1911120 1335885 )
NEW met2 ( 1911120 1335885 ) ( 1911120 1377510 0 )
NEW met1 ( 1764720 1335885 ) M1M2_PR
NEW met1 ( 1911120 1335885 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[54\] ( mprj la_data_out[54] ) ( mgmt_buffers la_data_out_core[54] )
+ ROUTED met1 ( 1411920 1244495 ) ( 1419600 1244495 )
NEW met2 ( 1411920 1244495 ) ( 1411920 1297590 )
NEW met2 ( 1411920 1297590 ) ( 1412400 1297590 )
NEW met2 ( 1412400 1297590 ) ( 1412400 1332370 )
NEW met2 ( 1412400 1332370 ) ( 1413360 1332370 )
NEW met2 ( 1413360 1331815 ) ( 1413360 1332370 )
NEW met2 ( 1419600 1194175 ) ( 1419600 1244495 )
NEW met2 ( 1700880 1194175 ) ( 1700880 1197690 )
NEW met2 ( 1700880 1197690 ) ( 1701120 1197690 )
NEW met2 ( 1701120 1197690 ) ( 1701120 1199170 0 )
NEW met1 ( 1419600 1194175 ) ( 1700880 1194175 )
NEW met1 ( 1917360 1331815 ) ( 1917360 1332185 )
NEW met1 ( 1917360 1332185 ) ( 1917840 1332185 )
NEW met1 ( 1917840 1332185 ) ( 1917840 1332555 )
NEW met1 ( 1917840 1332555 ) ( 1928880 1332555 )
NEW met2 ( 1928880 1332555 ) ( 1928880 1377510 0 )
NEW met1 ( 1413360 1331815 ) ( 1917360 1331815 )
NEW met1 ( 1419600 1194175 ) M1M2_PR
NEW met1 ( 1419600 1244495 ) M1M2_PR
NEW met1 ( 1411920 1244495 ) M1M2_PR
NEW met1 ( 1413360 1331815 ) M1M2_PR
NEW met1 ( 1700880 1194175 ) M1M2_PR
NEW met1 ( 1928880 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[55\] ( mprj la_data_out[55] ) ( mgmt_buffers la_data_out_core[55] )
+ ROUTED met2 ( 1766400 1263550 0 ) ( 1766400 1264290 )
NEW met2 ( 1766400 1264290 ) ( 1766640 1264290 )
NEW met2 ( 1766640 1264290 ) ( 1766640 1308135 )
NEW met1 ( 1766640 1308135 ) ( 1831440 1308135 )
NEW met2 ( 1831440 1306655 ) ( 1831440 1308135 )
NEW met2 ( 1946640 1306655 ) ( 1946640 1377510 0 )
NEW met1 ( 1831440 1306655 ) ( 1946640 1306655 )
NEW met1 ( 1766640 1308135 ) M1M2_PR
NEW met1 ( 1831440 1308135 ) M1M2_PR
NEW met1 ( 1831440 1306655 ) M1M2_PR
NEW met1 ( 1946640 1306655 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[56\] ( mprj la_data_out[56] ) ( mgmt_buffers la_data_out_core[56] )
+ ROUTED met2 ( 1435440 1283530 ) ( 1435920 1283530 )
NEW met2 ( 1435920 1283530 ) ( 1435920 1328485 )
NEW met2 ( 1435440 1192695 ) ( 1435440 1283530 )
NEW met2 ( 1964400 1328485 ) ( 1964400 1377510 0 )
NEW met2 ( 1702800 1192695 ) ( 1702800 1199170 0 )
NEW met1 ( 1435440 1192695 ) ( 1702800 1192695 )
NEW met1 ( 1435920 1328485 ) ( 1964400 1328485 )
NEW met1 ( 1435440 1192695 ) M1M2_PR
NEW met1 ( 1435920 1328485 ) M1M2_PR
NEW met1 ( 1964400 1328485 ) M1M2_PR
NEW met1 ( 1702800 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[57\] ( mprj la_data_out[57] ) ( mgmt_buffers la_data_out_core[57] )
+ ROUTED met1 ( 1944720 1341065 ) ( 1982640 1341065 )
NEW met2 ( 1982640 1341065 ) ( 1982640 1377510 0 )
NEW met2 ( 1768080 1263550 ) ( 1768320 1263550 0 )
NEW met2 ( 1768080 1263550 ) ( 1768080 1264290 )
NEW met2 ( 1768080 1264290 ) ( 1768560 1264290 )
NEW met2 ( 1768560 1264290 ) ( 1768560 1311095 )
NEW met2 ( 1944720 1311095 ) ( 1944720 1341065 )
NEW met1 ( 1768560 1311095 ) ( 1944720 1311095 )
NEW met1 ( 1944720 1341065 ) M1M2_PR
NEW met1 ( 1982640 1341065 ) M1M2_PR
NEW met1 ( 1768560 1311095 ) M1M2_PR
NEW met1 ( 1944720 1311095 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[58\] ( mprj la_data_out[58] ) ( mgmt_buffers la_data_out_core[58] )
+ ROUTED met2 ( 1434480 1191955 ) ( 1434480 1329595 )
NEW met2 ( 1987440 1332925 ) ( 1987440 1336625 )
NEW met1 ( 1987440 1336625 ) ( 1999920 1336625 )
NEW met2 ( 1999920 1336625 ) ( 1999920 1377510 0 )
NEW met2 ( 1704720 1191955 ) ( 1704720 1199170 0 )
NEW met1 ( 1434480 1191955 ) ( 1704720 1191955 )
NEW met1 ( 1894320 1329595 ) ( 1894320 1329965 )
NEW met2 ( 1894320 1329965 ) ( 1894320 1332925 )
NEW met1 ( 1434480 1329595 ) ( 1894320 1329595 )
NEW met1 ( 1894320 1332925 ) ( 1987440 1332925 )
NEW met1 ( 1434480 1191955 ) M1M2_PR
NEW met1 ( 1434480 1329595 ) M1M2_PR
NEW met1 ( 1987440 1332925 ) M1M2_PR
NEW met1 ( 1987440 1336625 ) M1M2_PR
NEW met1 ( 1999920 1336625 ) M1M2_PR
NEW met1 ( 1704720 1191955 ) M1M2_PR
NEW met1 ( 1894320 1329965 ) M1M2_PR
NEW met1 ( 1894320 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[59\] ( mprj la_data_out[59] ) ( mgmt_buffers la_data_out_core[59] )
+ ROUTED met1 ( 1959120 1342175 ) ( 2018160 1342175 )
NEW met2 ( 2018160 1342175 ) ( 2018160 1377510 0 )
NEW met2 ( 1770000 1263550 0 ) ( 1770000 1311465 )
NEW met2 ( 1959120 1311465 ) ( 1959120 1342175 )
NEW met1 ( 1770000 1311465 ) ( 1959120 1311465 )
NEW met1 ( 1959120 1342175 ) M1M2_PR
NEW met1 ( 2018160 1342175 ) M1M2_PR
NEW met1 ( 1770000 1311465 ) M1M2_PR
NEW met1 ( 1959120 1311465 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[5\] ( mprj la_data_out[5] ) ( mgmt_buffers la_data_out_core[5] )
+ ROUTED met1 ( 1054800 1344025 ) ( 1065360 1344025 )
NEW met2 ( 1054800 1344025 ) ( 1054800 1377510 0 )
NEW met2 ( 1706640 1174750 ) ( 1706640 1199170 0 )
NEW met3 ( 1065360 1174750 ) ( 1706640 1174750 )
NEW met2 ( 1065360 1174750 ) ( 1065360 1344025 )
NEW met2 ( 1065360 1174750 ) via2_FR
NEW met1 ( 1065360 1344025 ) M1M2_PR
NEW met1 ( 1054800 1344025 ) M1M2_PR
NEW met2 ( 1706640 1174750 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[60\] ( mprj la_data_out[60] ) ( mgmt_buffers la_data_out_core[60] )
+ ROUTED met2 ( 2035920 1297775 ) ( 2035920 1377510 0 )
NEW met2 ( 1771920 1267250 ) ( 1772400 1267250 )
NEW met2 ( 1772400 1267250 ) ( 1772400 1297775 )
NEW met2 ( 1771920 1263550 0 ) ( 1771920 1267250 )
NEW met1 ( 1772400 1297775 ) ( 2035920 1297775 )
NEW met1 ( 2035920 1297775 ) M1M2_PR
NEW met1 ( 1772400 1297775 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[61\] ( mprj la_data_out[61] ) ( mgmt_buffers la_data_out_core[61] )
+ ROUTED met4 ( 1439520 1193250 ) ( 1439520 1369370 )
NEW met2 ( 1490160 1193250 ) ( 1490160 1194730 )
NEW met3 ( 1439520 1193250 ) ( 1490160 1193250 )
NEW met2 ( 1708560 1194730 ) ( 1708560 1199170 0 )
NEW met3 ( 1490160 1194730 ) ( 1708560 1194730 )
NEW met2 ( 2053680 1369370 ) ( 2053680 1377510 0 )
NEW met3 ( 1439520 1369370 ) ( 2053680 1369370 )
NEW met3 ( 1439520 1193250 ) M3M4_PR_M
NEW met3 ( 1439520 1369370 ) M3M4_PR_M
NEW met2 ( 1490160 1193250 ) via2_FR
NEW met2 ( 1490160 1194730 ) via2_FR
NEW met2 ( 1708560 1194730 ) via2_FR
NEW met2 ( 2053680 1369370 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[62\] ( mprj la_data_out[62] ) ( mgmt_buffers la_data_out_core[62] )
+ ROUTED met2 ( 1773840 1263550 0 ) ( 1773840 1312205 )
NEW met1 ( 1773840 1312205 ) ( 2071920 1312205 )
NEW met2 ( 2071920 1312205 ) ( 2071920 1377510 0 )
NEW met1 ( 1773840 1312205 ) M1M2_PR
NEW met1 ( 2071920 1312205 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[63\] ( mprj la_data_out[63] ) ( mgmt_buffers la_data_out_core[63] )
+ ROUTED met4 ( 2217120 1160690 ) ( 2217120 1341250 )
NEW met2 ( 1710480 1154030 ) ( 1710480 1197690 )
NEW met2 ( 1710240 1197690 ) ( 1710480 1197690 )
NEW met2 ( 1710240 1197690 ) ( 1710240 1199170 0 )
NEW met2 ( 2144400 1154030 ) ( 2144400 1160690 )
NEW met2 ( 2144400 1160690 ) ( 2145360 1160690 )
NEW met3 ( 1710480 1154030 ) ( 2144400 1154030 )
NEW met3 ( 2145360 1160690 ) ( 2217120 1160690 )
NEW met2 ( 2089200 1341250 ) ( 2089200 1377510 0 )
NEW met3 ( 2089200 1341250 ) ( 2217120 1341250 )
NEW met3 ( 2217120 1160690 ) M3M4_PR_M
NEW met3 ( 2217120 1341250 ) M3M4_PR_M
NEW met2 ( 1710480 1154030 ) via2_FR
NEW met2 ( 2144400 1154030 ) via2_FR
NEW met2 ( 2145360 1160690 ) via2_FR
NEW met2 ( 2089200 1341250 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[64\] ( mprj la_data_out[64] ) ( mgmt_buffers la_data_out_core[64] )
+ ROUTED met2 ( 1775520 1263550 0 ) ( 1775760 1263550 )
NEW met2 ( 1775760 1263550 ) ( 1775760 1312575 )
NEW met1 ( 1775760 1312575 ) ( 2107440 1312575 )
NEW met2 ( 2107440 1312575 ) ( 2107440 1377510 0 )
NEW met1 ( 1775760 1312575 ) M1M2_PR
NEW met1 ( 2107440 1312575 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[65\] ( mprj la_data_out[65] ) ( mgmt_buffers la_data_out_core[65] )
+ ROUTED met2 ( 2189520 1340510 ) ( 2189520 1341990 )
NEW met3 ( 2189520 1340510 ) ( 2233440 1340510 )
NEW met4 ( 2233440 1297035 ) ( 2235360 1297035 )
NEW met4 ( 2233440 1297035 ) ( 2233440 1340510 )
NEW met4 ( 2235360 1193250 ) ( 2235360 1297035 )
NEW met2 ( 1712400 1193250 ) ( 1712400 1197690 )
NEW met2 ( 1712160 1197690 ) ( 1712400 1197690 )
NEW met2 ( 1712160 1197690 ) ( 1712160 1199170 0 )
NEW met3 ( 1712400 1193250 ) ( 2235360 1193250 )
NEW met2 ( 2125200 1341990 ) ( 2125200 1377510 0 )
NEW met3 ( 2125200 1341990 ) ( 2189520 1341990 )
NEW met3 ( 2235360 1193250 ) M3M4_PR_M
NEW met2 ( 2189520 1341990 ) via2_FR
NEW met2 ( 2189520 1340510 ) via2_FR
NEW met3 ( 2233440 1340510 ) M3M4_PR_M
NEW met2 ( 1712400 1193250 ) via2_FR
NEW met2 ( 2125200 1341990 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[66\] ( mprj la_data_out[66] ) ( mgmt_buffers la_data_out_core[66] )
+ ROUTED met2 ( 1777440 1263550 0 ) ( 1777440 1265030 )
NEW met2 ( 1777440 1265030 ) ( 1777680 1265030 )
NEW met2 ( 1777680 1265030 ) ( 1777680 1312945 )
NEW met1 ( 1777680 1312945 ) ( 2142960 1312945 )
NEW met2 ( 2142960 1312945 ) ( 2142960 1377510 0 )
NEW met1 ( 1777680 1312945 ) M1M2_PR
NEW met1 ( 2142960 1312945 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[67\] ( mprj la_data_out[67] ) ( mgmt_buffers la_data_out_core[67] )
+ ROUTED met3 ( 2161200 1350870 ) ( 2215200 1350870 )
NEW met2 ( 2161200 1350870 ) ( 2161200 1377510 0 )
NEW met2 ( 1714320 1154770 ) ( 1714320 1195470 )
NEW met2 ( 1713840 1195470 ) ( 1714320 1195470 )
NEW met2 ( 1713840 1195470 ) ( 1713840 1199170 0 )
NEW met3 ( 2152800 1154030 ) ( 2152800 1154770 )
NEW met3 ( 1714320 1154770 ) ( 2152800 1154770 )
NEW met3 ( 2152800 1154030 ) ( 2213280 1154030 )
NEW met3 ( 2213280 1193990 ) ( 2244960 1193990 )
NEW met4 ( 2244960 1193990 ) ( 2244960 1280570 )
NEW met3 ( 2215200 1280570 ) ( 2244960 1280570 )
NEW met4 ( 2213280 1154030 ) ( 2213280 1193990 )
NEW met4 ( 2215200 1280570 ) ( 2215200 1350870 )
NEW met3 ( 2213280 1154030 ) M3M4_PR_M
NEW met3 ( 2215200 1350870 ) M3M4_PR_M
NEW met2 ( 2161200 1350870 ) via2_FR
NEW met2 ( 1714320 1154770 ) via2_FR
NEW met3 ( 2213280 1193990 ) M3M4_PR_M
NEW met3 ( 2244960 1193990 ) M3M4_PR_M
NEW met3 ( 2244960 1280570 ) M3M4_PR_M
NEW met3 ( 2215200 1280570 ) M3M4_PR_M
+ USE SIGNAL ;
- la_data_out_user\[68\] ( mprj la_data_out[68] ) ( mgmt_buffers la_data_out_core[68] )
+ ROUTED met2 ( 1779120 1263550 ) ( 1779360 1263550 0 )
NEW met2 ( 1779120 1263550 ) ( 1779120 1313315 )
NEW met2 ( 2178480 1313315 ) ( 2178480 1377510 0 )
NEW met1 ( 1779120 1313315 ) ( 2178480 1313315 )
NEW met1 ( 1779120 1313315 ) M1M2_PR
NEW met1 ( 2178480 1313315 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[69\] ( mprj la_data_out[69] ) ( mgmt_buffers la_data_out_core[69] )
+ ROUTED met2 ( 2176560 1162910 ) ( 2176560 1189550 )
NEW met3 ( 2176560 1189550 ) ( 2227680 1189550 )
NEW met3 ( 2196720 1351610 ) ( 2218080 1351610 )
NEW met2 ( 2196720 1351610 ) ( 2196720 1377510 0 )
NEW met4 ( 2218080 1290375 ) ( 2227680 1290375 )
NEW met4 ( 2218080 1290375 ) ( 2218080 1351610 )
NEW met4 ( 2227680 1189550 ) ( 2227680 1290375 )
NEW met2 ( 1715760 1162910 ) ( 1715760 1199170 0 )
NEW met3 ( 1715760 1162910 ) ( 2176560 1162910 )
NEW met2 ( 2176560 1162910 ) via2_FR
NEW met2 ( 2176560 1189550 ) via2_FR
NEW met3 ( 2227680 1189550 ) M3M4_PR_M
NEW met3 ( 2218080 1351610 ) M3M4_PR_M
NEW met2 ( 2196720 1351610 ) via2_FR
NEW met2 ( 1715760 1162910 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[6\] ( mprj la_data_out[6] ) ( mgmt_buffers la_data_out_core[6] )
+ ROUTED met2 ( 1781040 1263550 0 ) ( 1781040 1322565 )
NEW met2 ( 1072560 1320715 ) ( 1072560 1377510 0 )
NEW met2 ( 1676400 1320715 ) ( 1676400 1322565 )
NEW met1 ( 1072560 1320715 ) ( 1676400 1320715 )
NEW met1 ( 1676400 1322565 ) ( 1781040 1322565 )
NEW met1 ( 1781040 1322565 ) M1M2_PR
NEW met1 ( 1072560 1320715 ) M1M2_PR
NEW met1 ( 1676400 1320715 ) M1M2_PR
NEW met1 ( 1676400 1322565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[70\] ( mprj la_data_out[70] ) ( mgmt_buffers la_data_out_core[70] )
+ ROUTED met3 ( 2214480 1352350 ) ( 2232480 1352350 )
NEW met2 ( 2214480 1352350 ) ( 2214480 1377510 0 )
NEW met4 ( 2146080 1117215 ) ( 2146080 1121470 )
NEW met4 ( 2146080 1117215 ) ( 2147040 1117215 )
NEW met4 ( 2147040 1117030 ) ( 2147040 1117215 )
NEW met3 ( 2147040 1117030 ) ( 2232480 1117030 )
NEW met4 ( 2232480 1117030 ) ( 2232480 1352350 )
NEW met2 ( 1717680 1121470 ) ( 1717680 1199170 0 )
NEW met3 ( 1717680 1121470 ) ( 2146080 1121470 )
NEW met3 ( 2232480 1352350 ) M3M4_PR_M
NEW met2 ( 2214480 1352350 ) via2_FR
NEW met3 ( 2146080 1121470 ) M3M4_PR_M
NEW met3 ( 2147040 1117030 ) M3M4_PR_M
NEW met3 ( 2232480 1117030 ) M3M4_PR_M
NEW met2 ( 1717680 1121470 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[71\] ( mprj la_data_out[71] ) ( mgmt_buffers la_data_out_core[71] )
+ ROUTED met1 ( 2150160 1344765 ) ( 2232240 1344765 )
NEW met2 ( 2232240 1344765 ) ( 2232240 1377510 0 )
NEW met2 ( 1782960 1263550 0 ) ( 1782960 1298145 )
NEW met2 ( 2150160 1298145 ) ( 2150160 1344765 )
NEW met1 ( 1782960 1298145 ) ( 2150160 1298145 )
NEW met1 ( 2150160 1344765 ) M1M2_PR
NEW met1 ( 2232240 1344765 ) M1M2_PR
NEW met1 ( 1782960 1298145 ) M1M2_PR
NEW met1 ( 2150160 1298145 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[72\] ( mprj la_data_out[72] ) ( mgmt_buffers la_data_out_core[72] )
+ ROUTED met2 ( 1719600 1188810 ) ( 1719600 1199170 0 )
NEW met3 ( 1719600 1188810 ) ( 2253600 1188810 )
NEW met3 ( 2250000 1353090 ) ( 2253600 1353090 )
NEW met2 ( 2250000 1353090 ) ( 2250000 1377510 0 )
NEW met4 ( 2253600 1188810 ) ( 2253600 1353090 )
NEW met2 ( 1719600 1188810 ) via2_FR
NEW met3 ( 2253600 1188810 ) M3M4_PR_M
NEW met3 ( 2253600 1353090 ) M3M4_PR_M
NEW met2 ( 2250000 1353090 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[73\] ( mprj la_data_out[73] ) ( mgmt_buffers la_data_out_core[73] )
+ ROUTED met2 ( 1784880 1263550 0 ) ( 1784880 1314055 )
NEW met1 ( 1784880 1314055 ) ( 2267760 1314055 )
NEW met2 ( 2267760 1314055 ) ( 2267760 1377510 0 )
NEW met1 ( 1784880 1314055 ) M1M2_PR
NEW met1 ( 2267760 1314055 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[74\] ( mprj la_data_out[74] ) ( mgmt_buffers la_data_out_core[74] )
+ ROUTED met2 ( 1773840 1183815 ) ( 1773840 1194915 )
NEW met2 ( 1721520 1194915 ) ( 1721520 1197690 )
NEW met2 ( 1721280 1197690 ) ( 1721520 1197690 )
NEW met2 ( 1721280 1197690 ) ( 1721280 1199170 0 )
NEW met1 ( 1721520 1194915 ) ( 1773840 1194915 )
NEW met1 ( 1773840 1183815 ) ( 2277360 1183815 )
NEW met2 ( 2284080 1377510 ) ( 2285520 1377510 0 )
NEW met1 ( 2277360 1288895 ) ( 2284080 1288895 )
NEW met2 ( 2277360 1183815 ) ( 2277360 1288895 )
NEW met2 ( 2284080 1288895 ) ( 2284080 1377510 )
NEW met1 ( 1773840 1194915 ) M1M2_PR
NEW met1 ( 1773840 1183815 ) M1M2_PR
NEW met1 ( 1721520 1194915 ) M1M2_PR
NEW met1 ( 2277360 1183815 ) M1M2_PR
NEW met1 ( 2277360 1288895 ) M1M2_PR
NEW met1 ( 2284080 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[75\] ( mprj la_data_out[75] ) ( mgmt_buffers la_data_out_core[75] )
+ ROUTED met2 ( 1786560 1263550 0 ) ( 1786800 1263550 )
NEW met2 ( 1786800 1263550 ) ( 1786800 1315535 )
NEW met1 ( 1786800 1315535 ) ( 2303760 1315535 )
NEW met2 ( 2303760 1315535 ) ( 2303760 1377510 0 )
NEW met1 ( 1786800 1315535 ) M1M2_PR
NEW met1 ( 2303760 1315535 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[76\] ( mprj la_data_out[76] ) ( mgmt_buffers la_data_out_core[76] )
+ ROUTED met2 ( 1723440 1190475 ) ( 1723440 1197690 )
NEW met2 ( 1723200 1197690 ) ( 1723440 1197690 )
NEW met2 ( 1723200 1197690 ) ( 1723200 1199170 0 )
NEW met2 ( 2318640 1377510 ) ( 2321520 1377510 0 )
NEW met2 ( 2318640 1190475 ) ( 2318640 1377510 )
NEW met1 ( 1728720 1190105 ) ( 1728720 1190475 )
NEW met1 ( 1728720 1190105 ) ( 1729680 1190105 )
NEW met1 ( 1729680 1190105 ) ( 1729680 1190475 )
NEW met1 ( 1723440 1190475 ) ( 1728720 1190475 )
NEW met1 ( 1729680 1190475 ) ( 2318640 1190475 )
NEW met1 ( 1723440 1190475 ) M1M2_PR
NEW met1 ( 2318640 1190475 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[77\] ( mprj la_data_out[77] ) ( mgmt_buffers la_data_out_core[77] )
+ ROUTED met2 ( 1788480 1263550 0 ) ( 1788480 1264290 )
NEW met2 ( 1788480 1264290 ) ( 1788720 1264290 )
NEW met2 ( 1788720 1264290 ) ( 1788720 1315905 )
NEW met1 ( 1788720 1315905 ) ( 2339280 1315905 )
NEW met2 ( 2339280 1315905 ) ( 2339280 1377510 0 )
NEW met1 ( 1788720 1315905 ) M1M2_PR
NEW met1 ( 2339280 1315905 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[78\] ( mprj la_data_out[78] ) ( mgmt_buffers la_data_out_core[78] )
+ ROUTED met2 ( 2355600 1377510 ) ( 2357040 1377510 0 )
NEW met1 ( 2347440 1288895 ) ( 2355600 1288895 )
NEW met2 ( 2347440 1191215 ) ( 2347440 1288895 )
NEW met2 ( 2355600 1288895 ) ( 2355600 1377510 )
NEW met2 ( 1724880 1191215 ) ( 1724880 1199170 0 )
NEW met1 ( 1724880 1191215 ) ( 2347440 1191215 )
NEW met1 ( 2347440 1191215 ) M1M2_PR
NEW met1 ( 2347440 1288895 ) M1M2_PR
NEW met1 ( 2355600 1288895 ) M1M2_PR
NEW met1 ( 1724880 1191215 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[79\] ( mprj la_data_out[79] ) ( mgmt_buffers la_data_out_core[79] )
+ ROUTED met2 ( 1790160 1263550 ) ( 1790400 1263550 0 )
NEW met2 ( 1790160 1263550 ) ( 1790160 1316275 )
NEW met2 ( 2374800 1316275 ) ( 2374800 1377510 0 )
NEW met1 ( 1790160 1316275 ) ( 2374800 1316275 )
NEW met1 ( 1790160 1316275 ) M1M2_PR
NEW met1 ( 2374800 1316275 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[7\] ( mprj la_data_out[7] ) ( mgmt_buffers la_data_out_core[7] )
+ ROUTED met2 ( 1713840 1194175 ) ( 1713840 1194915 )
NEW met1 ( 1713840 1194175 ) ( 1726800 1194175 )
NEW met2 ( 1726800 1194175 ) ( 1726800 1199170 0 )
NEW met1 ( 1093680 1194915 ) ( 1713840 1194915 )
NEW met2 ( 1093200 1245790 ) ( 1093680 1245790 )
NEW met2 ( 1093200 1245790 ) ( 1093200 1279090 )
NEW met2 ( 1093200 1279090 ) ( 1093680 1279090 )
NEW met2 ( 1093680 1194915 ) ( 1093680 1245790 )
NEW met1 ( 1090320 1302955 ) ( 1093680 1302955 )
NEW met2 ( 1090320 1302955 ) ( 1090320 1377510 0 )
NEW met2 ( 1093680 1279090 ) ( 1093680 1302955 )
NEW met1 ( 1093680 1194915 ) M1M2_PR
NEW met1 ( 1713840 1194915 ) M1M2_PR
NEW met1 ( 1713840 1194175 ) M1M2_PR
NEW met1 ( 1726800 1194175 ) M1M2_PR
NEW met1 ( 1093680 1302955 ) M1M2_PR
NEW met1 ( 1090320 1302955 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[80\] ( mprj la_data_out[80] ) ( mgmt_buffers la_data_out_core[80] )
+ ROUTED met2 ( 1792080 1263550 0 ) ( 1792080 1316645 )
NEW met2 ( 2393040 1316645 ) ( 2393040 1377510 0 )
NEW met1 ( 1792080 1316645 ) ( 2393040 1316645 )
NEW met1 ( 1792080 1316645 ) M1M2_PR
NEW met1 ( 2393040 1316645 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[81\] ( mprj la_data_out[81] ) ( mgmt_buffers la_data_out_core[81] )
+ ROUTED met2 ( 2406000 1377510 ) ( 2410800 1377510 0 )
NEW met2 ( 2406000 1192325 ) ( 2406000 1377510 )
NEW met2 ( 1728720 1192325 ) ( 1728720 1199170 0 )
NEW met1 ( 1728720 1192325 ) ( 2406000 1192325 )
NEW met1 ( 2406000 1192325 ) M1M2_PR
NEW met1 ( 1728720 1192325 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[82\] ( mprj la_data_out[82] ) ( mgmt_buffers la_data_out_core[82] )
+ ROUTED met2 ( 1794000 1263550 0 ) ( 1794000 1317015 )
NEW met2 ( 2428080 1317015 ) ( 2428080 1377510 0 )
NEW met1 ( 1794000 1317015 ) ( 2428080 1317015 )
NEW met1 ( 1794000 1317015 ) M1M2_PR
NEW met1 ( 2428080 1317015 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[83\] ( mprj la_data_out[83] ) ( mgmt_buffers la_data_out_core[83] )
+ ROUTED met2 ( 2444880 1377510 ) ( 2446320 1377510 0 )
NEW met1 ( 2434320 1288895 ) ( 2444880 1288895 )
NEW met2 ( 2434320 1192695 ) ( 2434320 1288895 )
NEW met2 ( 2444880 1288895 ) ( 2444880 1377510 )
NEW met2 ( 1730640 1192695 ) ( 1730640 1199170 0 )
NEW met1 ( 1730640 1192695 ) ( 2434320 1192695 )
NEW met1 ( 2434320 1192695 ) M1M2_PR
NEW met1 ( 2434320 1288895 ) M1M2_PR
NEW met1 ( 2444880 1288895 ) M1M2_PR
NEW met1 ( 1730640 1192695 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[84\] ( mprj la_data_out[84] ) ( mgmt_buffers la_data_out_core[84] )
+ ROUTED met2 ( 1795920 1263550 0 ) ( 1795920 1317385 )
NEW met1 ( 1795920 1317385 ) ( 2464080 1317385 )
NEW met2 ( 2464080 1317385 ) ( 2464080 1377510 0 )
NEW met1 ( 1795920 1317385 ) M1M2_PR
NEW met1 ( 2464080 1317385 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[85\] ( mprj la_data_out[85] ) ( mgmt_buffers la_data_out_core[85] )
+ ROUTED met2 ( 1732560 1193435 ) ( 1732560 1197690 )
NEW met2 ( 1732320 1197690 ) ( 1732560 1197690 )
NEW met2 ( 1732320 1197690 ) ( 1732320 1199170 0 )
NEW met2 ( 2477520 1377510 ) ( 2482320 1377510 0 )
NEW met2 ( 2477520 1193435 ) ( 2477520 1377510 )
NEW met1 ( 1792560 1193435 ) ( 1792560 1193805 )
NEW met1 ( 1792560 1193805 ) ( 1793520 1193805 )
NEW met1 ( 1793520 1193435 ) ( 1793520 1193805 )
NEW met1 ( 1732560 1193435 ) ( 1792560 1193435 )
NEW met1 ( 1793520 1193435 ) ( 2477520 1193435 )
NEW met1 ( 1732560 1193435 ) M1M2_PR
NEW met1 ( 2477520 1193435 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[86\] ( mprj la_data_out[86] ) ( mgmt_buffers la_data_out_core[86] )
+ ROUTED met2 ( 1797600 1263550 0 ) ( 1797840 1263550 )
NEW met2 ( 1797840 1263550 ) ( 1797840 1324415 )
NEW met1 ( 1797840 1324415 ) ( 2499600 1324415 )
NEW met2 ( 2499600 1324415 ) ( 2499600 1377510 0 )
NEW met1 ( 1797840 1324415 ) M1M2_PR
NEW met1 ( 2499600 1324415 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[87\] ( mprj la_data_out[87] ) ( mgmt_buffers la_data_out_core[87] )
+ ROUTED met2 ( 1734480 1194175 ) ( 1734480 1197690 )
NEW met2 ( 1734240 1197690 ) ( 1734480 1197690 )
NEW met2 ( 1734240 1197690 ) ( 1734240 1199170 0 )
NEW met2 ( 2515920 1377510 ) ( 2517360 1377510 0 )
NEW met1 ( 2506320 1288895 ) ( 2515920 1288895 )
NEW met2 ( 2506320 1194175 ) ( 2506320 1288895 )
NEW met2 ( 2515920 1288895 ) ( 2515920 1377510 )
NEW met1 ( 1771920 1194175 ) ( 1771920 1194545 )
NEW met1 ( 1771920 1194545 ) ( 1772400 1194545 )
NEW met2 ( 1772400 1193805 ) ( 1772400 1194545 )
NEW met1 ( 1772400 1193805 ) ( 1772880 1193805 )
NEW met1 ( 1772880 1193805 ) ( 1772880 1194175 )
NEW met1 ( 1734480 1194175 ) ( 1771920 1194175 )
NEW met1 ( 1772880 1194175 ) ( 2506320 1194175 )
NEW met1 ( 1734480 1194175 ) M1M2_PR
NEW met1 ( 2506320 1194175 ) M1M2_PR
NEW met1 ( 2506320 1288895 ) M1M2_PR
NEW met1 ( 2515920 1288895 ) M1M2_PR
NEW met1 ( 1772400 1194545 ) M1M2_PR
NEW met1 ( 1772400 1193805 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[88\] ( mprj la_data_out[88] ) ( mgmt_buffers la_data_out_core[88] )
+ ROUTED met2 ( 1799520 1263550 0 ) ( 1799520 1264290 )
NEW met2 ( 1799520 1264290 ) ( 1799760 1264290 )
NEW met2 ( 1799760 1264290 ) ( 1799760 1324045 )
NEW met2 ( 2534640 1377510 ) ( 2535600 1377510 0 )
NEW met1 ( 1799760 1324045 ) ( 2534640 1324045 )
NEW met2 ( 2534640 1324045 ) ( 2534640 1377510 )
NEW met1 ( 1799760 1324045 ) M1M2_PR
NEW met1 ( 2534640 1324045 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[89\] ( mprj la_data_out[89] ) ( mgmt_buffers la_data_out_core[89] )
+ ROUTED met2 ( 2549520 1377510 ) ( 2553360 1377510 0 )
NEW met2 ( 2549520 1190290 ) ( 2549520 1377510 )
NEW met2 ( 1735920 1190290 ) ( 1735920 1199170 0 )
NEW met3 ( 1735920 1190290 ) ( 2549520 1190290 )
NEW met2 ( 2549520 1190290 ) via2_FR
NEW met2 ( 1735920 1190290 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[8\] ( mprj la_data_out[8] ) ( mgmt_buffers la_data_out_core[8] )
+ ROUTED met2 ( 1801200 1263550 ) ( 1801440 1263550 0 )
NEW met2 ( 1801200 1263550 ) ( 1801200 1334405 )
NEW met2 ( 1108080 1334405 ) ( 1108080 1377510 0 )
NEW met1 ( 1108080 1334405 ) ( 1801200 1334405 )
NEW met1 ( 1801200 1334405 ) M1M2_PR
NEW met1 ( 1108080 1334405 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[90\] ( mprj la_data_out[90] ) ( mgmt_buffers la_data_out_core[90] )
+ ROUTED met2 ( 2570160 1377510 ) ( 2571600 1377510 0 )
NEW met1 ( 2564400 1288895 ) ( 2570160 1288895 )
NEW met2 ( 2564400 1191030 ) ( 2564400 1288895 )
NEW met2 ( 2570160 1288895 ) ( 2570160 1377510 )
NEW met2 ( 1737840 1191030 ) ( 1737840 1199170 0 )
NEW met3 ( 1737840 1191030 ) ( 2564400 1191030 )
NEW met2 ( 2564400 1191030 ) via2_FR
NEW met1 ( 2564400 1288895 ) M1M2_PR
NEW met1 ( 2570160 1288895 ) M1M2_PR
NEW met2 ( 1737840 1191030 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[91\] ( mprj la_data_out[91] ) ( mgmt_buffers la_data_out_core[91] )
+ ROUTED met2 ( 1803120 1263550 0 ) ( 1803120 1323305 )
NEW met2 ( 2588880 1323305 ) ( 2588880 1377510 0 )
NEW met1 ( 1803120 1323305 ) ( 2588880 1323305 )
NEW met1 ( 1803120 1323305 ) M1M2_PR
NEW met1 ( 2588880 1323305 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[92\] ( mprj la_data_out[92] ) ( mgmt_buffers la_data_out_core[92] )
+ ROUTED met2 ( 2606640 1122950 ) ( 2606640 1377510 0 )
NEW met2 ( 1739760 1168275 ) ( 1740240 1168275 )
NEW met2 ( 1739760 1168275 ) ( 1739760 1199170 0 )
NEW met2 ( 1740240 1122950 ) ( 1740240 1168275 )
NEW met3 ( 1740240 1122950 ) ( 2606640 1122950 )
NEW met2 ( 2606640 1122950 ) via2_FR
NEW met2 ( 1740240 1122950 ) via2_FR
+ USE SIGNAL ;
- la_data_out_user\[93\] ( mprj la_data_out[93] ) ( mgmt_buffers la_data_out_core[93] )
+ ROUTED met2 ( 1805040 1263550 0 ) ( 1805040 1323675 )
NEW met2 ( 2624880 1323675 ) ( 2624880 1377510 0 )
NEW met1 ( 1805040 1323675 ) ( 2624880 1323675 )
NEW met1 ( 1805040 1323675 ) M1M2_PR
NEW met1 ( 2624880 1323675 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[94\] ( mprj la_data_out[94] ) ( mgmt_buffers la_data_out_core[94] )
+ ROUTED met2 ( 2641200 1377510 ) ( 2642640 1377510 0 )
NEW met1 ( 2196720 1132015 ) ( 2196720 1132755 )
NEW met1 ( 2196720 1132015 ) ( 2197680 1132015 )
NEW met1 ( 2197680 1132015 ) ( 2197680 1132755 )
NEW met1 ( 2635440 1288895 ) ( 2641200 1288895 )
NEW met2 ( 2635440 1132755 ) ( 2635440 1288895 )
NEW met2 ( 2641200 1288895 ) ( 2641200 1377510 )
NEW met2 ( 1741680 1168275 ) ( 1742160 1168275 )
NEW met2 ( 1741680 1168275 ) ( 1741680 1199170 0 )
NEW met2 ( 1742160 1132570 ) ( 1742160 1168275 )
NEW met2 ( 2131440 1132570 ) ( 2131440 1132755 )
NEW met3 ( 1742160 1132570 ) ( 2131440 1132570 )
NEW met1 ( 2131440 1132755 ) ( 2196720 1132755 )
NEW met1 ( 2197680 1132755 ) ( 2635440 1132755 )
NEW met1 ( 2635440 1132755 ) M1M2_PR
NEW met1 ( 2635440 1288895 ) M1M2_PR
NEW met1 ( 2641200 1288895 ) M1M2_PR
NEW met2 ( 1742160 1132570 ) via2_FR
NEW met2 ( 2131440 1132570 ) via2_FR
NEW met1 ( 2131440 1132755 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[95\] ( mprj la_data_out[95] ) ( mgmt_buffers la_data_out_core[95] )
+ ROUTED met2 ( 1806960 1263550 0 ) ( 1806960 1322935 )
NEW met1 ( 1806960 1322935 ) ( 2660400 1322935 )
NEW met2 ( 2660400 1322935 ) ( 2660400 1377510 0 )
NEW met1 ( 1806960 1322935 ) M1M2_PR
NEW met1 ( 2660400 1322935 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[96\] ( mprj la_data_out[96] ) ( mgmt_buffers la_data_out_core[96] )
+ ROUTED met2 ( 1743600 1164205 ) ( 1743600 1197690 )
NEW met2 ( 1743360 1197690 ) ( 1743600 1197690 )
NEW met2 ( 1743360 1197690 ) ( 1743360 1199170 0 )
NEW met2 ( 2175600 1159210 ) ( 2175600 1189365 )
NEW met3 ( 2141280 1159210 ) ( 2141280 1159950 )
NEW met3 ( 2073360 1159950 ) ( 2141280 1159950 )
NEW met2 ( 2073360 1159950 ) ( 2073360 1164205 )
NEW met3 ( 2141280 1159210 ) ( 2175600 1159210 )
NEW met1 ( 2175600 1189365 ) ( 2665200 1189365 )
NEW met2 ( 2676720 1377510 ) ( 2678160 1377510 0 )
NEW met1 ( 2665200 1288895 ) ( 2676720 1288895 )
NEW met2 ( 2665200 1189365 ) ( 2665200 1288895 )
NEW met2 ( 2676720 1288895 ) ( 2676720 1377510 )
NEW met1 ( 1743600 1164205 ) ( 2073360 1164205 )
NEW met1 ( 2665200 1189365 ) M1M2_PR
NEW met1 ( 1743600 1164205 ) M1M2_PR
NEW met2 ( 2175600 1159210 ) via2_FR
NEW met1 ( 2175600 1189365 ) M1M2_PR
NEW met2 ( 2073360 1159950 ) via2_FR
NEW met1 ( 2073360 1164205 ) M1M2_PR
NEW met1 ( 2665200 1288895 ) M1M2_PR
NEW met1 ( 2676720 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[97\] ( mprj la_data_out[97] ) ( mgmt_buffers la_data_out_core[97] )
+ ROUTED met2 ( 1808640 1263550 0 ) ( 1808880 1263550 )
NEW met2 ( 1808880 1263550 ) ( 1808880 1322565 )
NEW met1 ( 1808880 1322565 ) ( 2695920 1322565 )
NEW met2 ( 2695920 1322565 ) ( 2695920 1377510 0 )
NEW met1 ( 1808880 1322565 ) M1M2_PR
NEW met1 ( 2695920 1322565 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[98\] ( mprj la_data_out[98] ) ( mgmt_buffers la_data_out_core[98] )
+ ROUTED met2 ( 1745520 1164945 ) ( 1745520 1197690 )
NEW met2 ( 1745280 1197690 ) ( 1745520 1197690 )
NEW met2 ( 1745280 1197690 ) ( 1745280 1199170 0 )
NEW met2 ( 2184240 1159950 ) ( 2184240 1188995 )
NEW met3 ( 2142240 1159950 ) ( 2142240 1160690 )
NEW met3 ( 2072880 1160690 ) ( 2142240 1160690 )
NEW met2 ( 2072880 1160690 ) ( 2072880 1164945 )
NEW met1 ( 1745520 1164945 ) ( 2072880 1164945 )
NEW met3 ( 2142240 1159950 ) ( 2184240 1159950 )
NEW met1 ( 2184240 1188995 ) ( 2707920 1188995 )
NEW met1 ( 2707920 1238575 ) ( 2708880 1238575 )
NEW met2 ( 2707920 1188995 ) ( 2707920 1238575 )
NEW met1 ( 2708880 1331445 ) ( 2714160 1331445 )
NEW met2 ( 2714160 1331445 ) ( 2714160 1377510 0 )
NEW met2 ( 2708880 1238575 ) ( 2708880 1331445 )
NEW met1 ( 2707920 1188995 ) M1M2_PR
NEW met1 ( 1745520 1164945 ) M1M2_PR
NEW met2 ( 2184240 1159950 ) via2_FR
NEW met1 ( 2184240 1188995 ) M1M2_PR
NEW met2 ( 2072880 1160690 ) via2_FR
NEW met1 ( 2072880 1164945 ) M1M2_PR
NEW met1 ( 2707920 1238575 ) M1M2_PR
NEW met1 ( 2708880 1238575 ) M1M2_PR
NEW met1 ( 2708880 1331445 ) M1M2_PR
NEW met1 ( 2714160 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[99\] ( mprj la_data_out[99] ) ( mgmt_buffers la_data_out_core[99] )
+ ROUTED met2 ( 1810560 1263550 0 ) ( 1810560 1264290 )
NEW met2 ( 1810560 1264290 ) ( 1810800 1264290 )
NEW met2 ( 1810800 1264290 ) ( 1810800 1321825 )
NEW met1 ( 1810800 1321825 ) ( 2731920 1321825 )
NEW met2 ( 2731920 1321825 ) ( 2731920 1377510 0 )
NEW met1 ( 1810800 1321825 ) M1M2_PR
NEW met1 ( 2731920 1321825 ) M1M2_PR
+ USE SIGNAL ;
- la_data_out_user\[9\] ( mprj la_data_out[9] ) ( mgmt_buffers la_data_out_core[9] )
+ ROUTED met2 ( 1746960 1194545 ) ( 1746960 1199170 0 )
NEW met1 ( 1126320 1344395 ) ( 1136880 1344395 )
NEW met2 ( 1126320 1344395 ) ( 1126320 1377510 0 )
NEW met1 ( 1136880 1194545 ) ( 1746960 1194545 )
NEW met2 ( 1136880 1194545 ) ( 1136880 1344395 )
NEW met1 ( 1746960 1194545 ) M1M2_PR
NEW met1 ( 1136880 1194545 ) M1M2_PR
NEW met1 ( 1136880 1344395 ) M1M2_PR
NEW met1 ( 1126320 1344395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[0\] ( soc la_oen[0] ) ( mgmt_buffers la_oen_mprj[0] )
+ ROUTED met2 ( 1984560 1170495 ) ( 1984560 1199170 0 )
NEW met2 ( 2030400 1117770 0 ) ( 2030400 1119065 )
NEW met2 ( 2030400 1119065 ) ( 2030640 1119065 )
NEW met2 ( 2030640 1119065 ) ( 2030640 1119805 )
NEW met1 ( 2025840 1119805 ) ( 2030640 1119805 )
NEW met2 ( 2025840 1119805 ) ( 2025840 1170495 )
NEW met1 ( 1984560 1170495 ) ( 2025840 1170495 )
NEW met1 ( 1984560 1170495 ) M1M2_PR
NEW met1 ( 2030640 1119805 ) M1M2_PR
NEW met1 ( 2025840 1119805 ) M1M2_PR
NEW met1 ( 2025840 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[100\] ( soc la_oen[100] ) ( mgmt_buffers la_oen_mprj[100] )
+ ROUTED met2 ( 1986480 1184555 ) ( 1986480 1197690 )
NEW met2 ( 1986240 1197690 ) ( 1986480 1197690 )
NEW met2 ( 1986240 1197690 ) ( 1986240 1199170 0 )
NEW met2 ( 2269200 1179930 ) ( 2269200 1184555 )
NEW met2 ( 2269200 1179930 ) ( 2269680 1179930 )
NEW met1 ( 1986480 1184555 ) ( 2269200 1184555 )
NEW met2 ( 2269680 1117770 0 ) ( 2269680 1179930 )
NEW met1 ( 1986480 1184555 ) M1M2_PR
NEW met1 ( 2269200 1184555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[101\] ( soc la_oen[101] ) ( mgmt_buffers la_oen_mprj[101] )
+ ROUTED met1 ( 1988400 1144595 ) ( 2028240 1144595 )
NEW met2 ( 1988400 1144595 ) ( 1988400 1197690 )
NEW met2 ( 1988160 1197690 ) ( 1988400 1197690 )
NEW met2 ( 1988160 1197690 ) ( 1988160 1199170 0 )
NEW met2 ( 2028480 1117770 0 ) ( 2028480 1118510 )
NEW met2 ( 2028480 1118510 ) ( 2028720 1118510 )
NEW met2 ( 2028720 1118510 ) ( 2028720 1119990 )
NEW met2 ( 2028240 1119990 ) ( 2028720 1119990 )
NEW met2 ( 2028240 1119990 ) ( 2028240 1144595 )
NEW met1 ( 2028240 1144595 ) M1M2_PR
NEW met1 ( 1988400 1144595 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[102\] ( soc la_oen[102] ) ( mgmt_buffers la_oen_mprj[102] )
+ ROUTED met2 ( 2019600 1155325 ) ( 2019600 1158655 )
NEW met1 ( 1989840 1158655 ) ( 2019600 1158655 )
NEW met2 ( 1989840 1158655 ) ( 1989840 1199170 0 )
NEW met2 ( 2095440 1155325 ) ( 2095440 1158655 )
NEW met1 ( 2019600 1155325 ) ( 2095440 1155325 )
NEW met1 ( 2095440 1158655 ) ( 2271120 1158655 )
NEW met2 ( 2271360 1117770 0 ) ( 2271360 1119250 )
NEW met2 ( 2271120 1119250 ) ( 2271360 1119250 )
NEW met2 ( 2271120 1119250 ) ( 2271120 1158655 )
NEW met1 ( 2019600 1155325 ) M1M2_PR
NEW met1 ( 2019600 1158655 ) M1M2_PR
NEW met1 ( 1989840 1158655 ) M1M2_PR
NEW met1 ( 2095440 1155325 ) M1M2_PR
NEW met1 ( 2095440 1158655 ) M1M2_PR
NEW met1 ( 2271120 1158655 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[103\] ( soc la_oen[103] ) ( mgmt_buffers la_oen_mprj[103] )
+ ROUTED met2 ( 1991760 1147185 ) ( 1991760 1199170 0 )
NEW met2 ( 2026800 1117770 0 ) ( 2026800 1147185 )
NEW met1 ( 1991760 1147185 ) ( 2026800 1147185 )
NEW met1 ( 1991760 1147185 ) M1M2_PR
NEW met1 ( 2026800 1147185 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[104\] ( soc la_oen[104] ) ( mgmt_buffers la_oen_mprj[104] )
+ ROUTED met2 ( 1994160 1164575 ) ( 1994160 1165130 )
NEW met2 ( 1993200 1165130 ) ( 1994160 1165130 )
NEW met2 ( 1993200 1165130 ) ( 1993200 1182890 )
NEW met2 ( 1993200 1182890 ) ( 1993680 1182890 )
NEW met2 ( 1993680 1182890 ) ( 1993680 1199170 0 )
NEW met2 ( 2094960 1164575 ) ( 2094960 1165315 )
NEW met1 ( 1994160 1164575 ) ( 2094960 1164575 )
NEW met2 ( 2273280 1117770 0 ) ( 2273280 1119065 )
NEW met2 ( 2273040 1119065 ) ( 2273280 1119065 )
NEW met2 ( 2273040 1119065 ) ( 2273040 1164945 )
NEW met1 ( 2219280 1164945 ) ( 2273040 1164945 )
NEW met2 ( 2217840 1164945 ) ( 2219280 1164945 )
NEW met2 ( 2217840 1164945 ) ( 2217840 1165315 )
NEW met1 ( 2094960 1165315 ) ( 2217840 1165315 )
NEW met1 ( 1994160 1164575 ) M1M2_PR
NEW met1 ( 2094960 1164575 ) M1M2_PR
NEW met1 ( 2094960 1165315 ) M1M2_PR
NEW met1 ( 2273040 1164945 ) M1M2_PR
NEW met1 ( 2219280 1164945 ) M1M2_PR
NEW met1 ( 2217840 1165315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[105\] ( soc la_oen[105] ) ( mgmt_buffers la_oen_mprj[105] )
+ ROUTED met1 ( 1995600 1151255 ) ( 2024880 1151255 )
NEW met2 ( 1995600 1151255 ) ( 1995600 1199170 0 )
NEW met2 ( 2024880 1117770 0 ) ( 2024880 1151255 )
NEW met1 ( 2024880 1151255 ) M1M2_PR
NEW met1 ( 1995600 1151255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[106\] ( soc la_oen[106] ) ( mgmt_buffers la_oen_mprj[106] )
+ ROUTED met2 ( 1997520 1188995 ) ( 1997520 1197690 )
NEW met2 ( 1997280 1197690 ) ( 1997520 1197690 )
NEW met2 ( 1997280 1197690 ) ( 1997280 1199170 0 )
NEW met2 ( 2095440 1184185 ) ( 2095440 1188995 )
NEW met1 ( 1997520 1188995 ) ( 2095440 1188995 )
NEW met1 ( 2095440 1184185 ) ( 2274960 1184185 )
NEW met2 ( 2274960 1117770 0 ) ( 2274960 1184185 )
NEW met1 ( 1997520 1188995 ) M1M2_PR
NEW met1 ( 2095440 1188995 ) M1M2_PR
NEW met1 ( 2095440 1184185 ) M1M2_PR
NEW met1 ( 2274960 1184185 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[107\] ( soc la_oen[107] ) ( mgmt_buffers la_oen_mprj[107] )
+ ROUTED met2 ( 1999200 1197690 ) ( 1999440 1197690 )
NEW met2 ( 1999200 1197690 ) ( 1999200 1199170 0 )
NEW met2 ( 2022960 1117770 0 ) ( 2022960 1169015 )
NEW met2 ( 1999440 1169015 ) ( 1999440 1197690 )
NEW met1 ( 1999440 1169015 ) ( 2022960 1169015 )
NEW met1 ( 2022960 1169015 ) M1M2_PR
NEW met1 ( 1999440 1169015 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[108\] ( soc la_oen[108] ) ( mgmt_buffers la_oen_mprj[108] )
+ ROUTED met1 ( 2070480 1163095 ) ( 2070480 1163835 )
NEW met2 ( 2276880 1117770 0 ) ( 2276880 1164205 )
NEW met1 ( 2219280 1164205 ) ( 2276880 1164205 )
NEW met2 ( 2219280 1163835 ) ( 2219280 1164205 )
NEW met2 ( 2217840 1163835 ) ( 2219280 1163835 )
NEW met1 ( 2070480 1163835 ) ( 2217840 1163835 )
NEW met2 ( 2000400 1179190 ) ( 2000880 1179190 )
NEW met2 ( 2000400 1163095 ) ( 2000400 1179190 )
NEW met2 ( 2000880 1179190 ) ( 2000880 1199170 0 )
NEW met1 ( 2000400 1163095 ) ( 2070480 1163095 )
NEW met1 ( 2276880 1164205 ) M1M2_PR
NEW met1 ( 2219280 1164205 ) M1M2_PR
NEW met1 ( 2217840 1163835 ) M1M2_PR
NEW met1 ( 2000400 1163095 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[109\] ( soc la_oen[109] ) ( mgmt_buffers la_oen_mprj[109] )
+ ROUTED met1 ( 2002320 1150885 ) ( 2021040 1150885 )
NEW met2 ( 2021040 1117770 0 ) ( 2021040 1150885 )
NEW met2 ( 2002320 1184925 ) ( 2002800 1184925 )
NEW met2 ( 2002800 1184925 ) ( 2002800 1199170 0 )
NEW met2 ( 2002320 1150885 ) ( 2002320 1184925 )
NEW met1 ( 2021040 1150885 ) M1M2_PR
NEW met1 ( 2002320 1150885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[10\] ( soc la_oen[10] ) ( mgmt_buffers la_oen_mprj[10] )
+ ROUTED met2 ( 2005200 1165315 ) ( 2005200 1170310 )
NEW met2 ( 2004720 1170310 ) ( 2005200 1170310 )
NEW met2 ( 2004720 1170310 ) ( 2004720 1199170 0 )
NEW met2 ( 2035440 1165315 ) ( 2035440 1171235 )
NEW met1 ( 2035440 1171235 ) ( 2042640 1171235 )
NEW met1 ( 2042640 1171235 ) ( 2042640 1171605 )
NEW met1 ( 2042640 1171605 ) ( 2094960 1171605 )
NEW met1 ( 2094960 1171605 ) ( 2094960 1171975 )
NEW met1 ( 2005200 1165315 ) ( 2035440 1165315 )
NEW met2 ( 2121360 1171975 ) ( 2121360 1180670 )
NEW met1 ( 2094960 1171975 ) ( 2121360 1171975 )
NEW met2 ( 2207280 1137565 ) ( 2207280 1180670 )
NEW met1 ( 2207280 1137565 ) ( 2278800 1137565 )
NEW met2 ( 2278800 1117770 0 ) ( 2278800 1137565 )
NEW met3 ( 2121360 1180670 ) ( 2207280 1180670 )
NEW met1 ( 2005200 1165315 ) M1M2_PR
NEW met1 ( 2035440 1165315 ) M1M2_PR
NEW met1 ( 2035440 1171235 ) M1M2_PR
NEW met1 ( 2121360 1171975 ) M1M2_PR
NEW met2 ( 2121360 1180670 ) via2_FR
NEW met2 ( 2207280 1180670 ) via2_FR
NEW met1 ( 2207280 1137565 ) M1M2_PR
NEW met1 ( 2278800 1137565 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[110\] ( soc la_oen[110] ) ( mgmt_buffers la_oen_mprj[110] )
+ ROUTED met2 ( 2006640 1168275 ) ( 2006640 1199170 0 )
NEW met2 ( 2019360 1117770 0 ) ( 2019360 1119250 )
NEW met2 ( 2019360 1119250 ) ( 2020560 1119250 )
NEW met2 ( 2020560 1119250 ) ( 2020560 1168275 )
NEW met1 ( 2006640 1168275 ) ( 2020560 1168275 )
NEW met1 ( 2006640 1168275 ) M1M2_PR
NEW met1 ( 2020560 1168275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[111\] ( soc la_oen[111] ) ( mgmt_buffers la_oen_mprj[111] )
+ ROUTED met2 ( 2026320 1150885 ) ( 2026320 1158655 )
NEW met1 ( 2020080 1158655 ) ( 2026320 1158655 )
NEW met2 ( 2020080 1158655 ) ( 2020080 1159210 )
NEW met2 ( 2019600 1159210 ) ( 2020080 1159210 )
NEW met2 ( 2019600 1159210 ) ( 2019600 1168830 )
NEW met2 ( 2019120 1168830 ) ( 2019600 1168830 )
NEW met2 ( 2019120 1168830 ) ( 2019120 1180115 )
NEW met1 ( 2008560 1180115 ) ( 2019120 1180115 )
NEW met2 ( 2008560 1180115 ) ( 2008560 1197690 )
NEW met2 ( 2008320 1197690 ) ( 2008560 1197690 )
NEW met2 ( 2008320 1197690 ) ( 2008320 1199170 0 )
NEW met2 ( 2095920 1143855 ) ( 2095920 1150885 )
NEW met1 ( 2026320 1150885 ) ( 2095920 1150885 )
NEW met1 ( 2095920 1143855 ) ( 2280720 1143855 )
NEW met2 ( 2280720 1117770 0 ) ( 2280720 1143855 )
NEW met1 ( 2026320 1150885 ) M1M2_PR
NEW met1 ( 2026320 1158655 ) M1M2_PR
NEW met1 ( 2020080 1158655 ) M1M2_PR
NEW met1 ( 2019120 1180115 ) M1M2_PR
NEW met1 ( 2008560 1180115 ) M1M2_PR
NEW met1 ( 2095920 1150885 ) M1M2_PR
NEW met1 ( 2095920 1143855 ) M1M2_PR
NEW met1 ( 2280720 1143855 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[112\] ( soc la_oen[112] ) ( mgmt_buffers la_oen_mprj[112] )
+ ROUTED met2 ( 2017440 1117770 0 ) ( 2017440 1119250 )
NEW met2 ( 2017200 1119250 ) ( 2017440 1119250 )
NEW met2 ( 2017200 1119250 ) ( 2017200 1144225 )
NEW met2 ( 2016240 1144225 ) ( 2017200 1144225 )
NEW met1 ( 2015280 1166425 ) ( 2016240 1166425 )
NEW met2 ( 2015280 1166425 ) ( 2015280 1167535 )
NEW met2 ( 2014800 1167535 ) ( 2015280 1167535 )
NEW met1 ( 2010480 1167535 ) ( 2014800 1167535 )
NEW met2 ( 2010480 1167535 ) ( 2010480 1197690 )
NEW met2 ( 2010240 1197690 ) ( 2010480 1197690 )
NEW met2 ( 2010240 1197690 ) ( 2010240 1199170 0 )
NEW met2 ( 2016240 1144225 ) ( 2016240 1166425 )
NEW met1 ( 2016240 1166425 ) M1M2_PR
NEW met1 ( 2015280 1166425 ) M1M2_PR
NEW met1 ( 2014800 1167535 ) M1M2_PR
NEW met1 ( 2010480 1167535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[113\] ( soc la_oen[113] ) ( mgmt_buffers la_oen_mprj[113] )
+ ROUTED met1 ( 2031120 1165685 ) ( 2031120 1166055 )
NEW met1 ( 2011920 1165685 ) ( 2031120 1165685 )
NEW met2 ( 2011920 1165685 ) ( 2011920 1199170 0 )
NEW met2 ( 2282400 1117770 0 ) ( 2282400 1119250 )
NEW met2 ( 2282160 1119250 ) ( 2282400 1119250 )
NEW met2 ( 2282160 1119250 ) ( 2282160 1166055 )
NEW met1 ( 2280240 1166055 ) ( 2282160 1166055 )
NEW met1 ( 2280240 1165685 ) ( 2280240 1166055 )
NEW met1 ( 2219280 1165685 ) ( 2280240 1165685 )
NEW met1 ( 2219280 1165685 ) ( 2219280 1166055 )
NEW met1 ( 2031120 1166055 ) ( 2219280 1166055 )
NEW met1 ( 2011920 1165685 ) M1M2_PR
NEW met1 ( 2282160 1166055 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[114\] ( soc la_oen[114] ) ( mgmt_buffers la_oen_mprj[114] )
+ ROUTED met2 ( 2013840 1180115 ) ( 2015760 1180115 )
NEW met2 ( 2013840 1180115 ) ( 2013840 1199170 0 )
NEW met2 ( 2015760 1117770 0 ) ( 2015760 1180115 )
+ USE SIGNAL ;
- la_oen_mprj\[115\] ( soc la_oen[115] ) ( mgmt_buffers la_oen_mprj[115] )
+ ROUTED met2 ( 2284320 1117770 0 ) ( 2284320 1119250 )
NEW met2 ( 2284080 1119250 ) ( 2284320 1119250 )
NEW met2 ( 2284080 1119250 ) ( 2284080 1144225 )
NEW met2 ( 2094000 1141635 ) ( 2094000 1144225 )
NEW met1 ( 2014800 1141635 ) ( 2094000 1141635 )
NEW met1 ( 2094000 1144225 ) ( 2284080 1144225 )
NEW met1 ( 2013360 1166425 ) ( 2014800 1166425 )
NEW met2 ( 2013360 1166425 ) ( 2013360 1190845 )
NEW met1 ( 2013360 1190845 ) ( 2015760 1190845 )
NEW met2 ( 2015760 1190845 ) ( 2015760 1199170 0 )
NEW met2 ( 2014800 1141635 ) ( 2014800 1166425 )
NEW met1 ( 2014800 1141635 ) M1M2_PR
NEW met1 ( 2284080 1144225 ) M1M2_PR
NEW met1 ( 2094000 1141635 ) M1M2_PR
NEW met1 ( 2094000 1144225 ) M1M2_PR
NEW met1 ( 2014800 1166425 ) M1M2_PR
NEW met1 ( 2013360 1166425 ) M1M2_PR
NEW met1 ( 2013360 1190845 ) M1M2_PR
NEW met1 ( 2015760 1190845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[116\] ( soc la_oen[116] ) ( mgmt_buffers la_oen_mprj[116] )
+ ROUTED met1 ( 2013840 1151995 ) ( 2017680 1151995 )
NEW met2 ( 2017680 1151995 ) ( 2017680 1157545 )
NEW met2 ( 2017680 1157545 ) ( 2018160 1157545 )
NEW met2 ( 2018160 1157545 ) ( 2018160 1171050 )
NEW met2 ( 2017680 1171050 ) ( 2018160 1171050 )
NEW met2 ( 2017680 1171050 ) ( 2017680 1199170 0 )
NEW met2 ( 2013840 1117770 0 ) ( 2013840 1151995 )
NEW met1 ( 2013840 1151995 ) M1M2_PR
NEW met1 ( 2017680 1151995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[117\] ( soc la_oen[117] ) ( mgmt_buffers la_oen_mprj[117] )
+ ROUTED met2 ( 2019600 1180115 ) ( 2019600 1197690 )
NEW met2 ( 2019360 1197690 ) ( 2019600 1197690 )
NEW met2 ( 2019360 1197690 ) ( 2019360 1199170 0 )
NEW met2 ( 2074320 1178265 ) ( 2074320 1180115 )
NEW met1 ( 2019600 1180115 ) ( 2074320 1180115 )
NEW met2 ( 2286000 1117770 0 ) ( 2286000 1128685 )
NEW met2 ( 2189040 1128685 ) ( 2189040 1178265 )
NEW met1 ( 2189040 1128685 ) ( 2286000 1128685 )
NEW met2 ( 2100720 1178265 ) ( 2100720 1180670 )
NEW met3 ( 2100720 1180670 ) ( 2104560 1180670 )
NEW met2 ( 2104560 1178265 ) ( 2104560 1180670 )
NEW met1 ( 2074320 1178265 ) ( 2100720 1178265 )
NEW met1 ( 2104560 1178265 ) ( 2189040 1178265 )
NEW met1 ( 2019600 1180115 ) M1M2_PR
NEW met1 ( 2074320 1180115 ) M1M2_PR
NEW met1 ( 2074320 1178265 ) M1M2_PR
NEW met1 ( 2286000 1128685 ) M1M2_PR
NEW met1 ( 2189040 1178265 ) M1M2_PR
NEW met1 ( 2189040 1128685 ) M1M2_PR
NEW met1 ( 2100720 1178265 ) M1M2_PR
NEW met2 ( 2100720 1180670 ) via2_FR
NEW met2 ( 2104560 1180670 ) via2_FR
NEW met1 ( 2104560 1178265 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[118\] ( soc la_oen[118] ) ( mgmt_buffers la_oen_mprj[118] )
+ ROUTED met1 ( 2011920 1151625 ) ( 2021040 1151625 )
NEW met2 ( 2021040 1151625 ) ( 2021040 1197690 )
NEW met2 ( 2021040 1197690 ) ( 2021280 1197690 )
NEW met2 ( 2021280 1197690 ) ( 2021280 1199170 0 )
NEW met2 ( 2011920 1117770 0 ) ( 2011920 1151625 )
NEW met1 ( 2011920 1151625 ) M1M2_PR
NEW met1 ( 2021040 1151625 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[119\] ( soc la_oen[119] ) ( mgmt_buffers la_oen_mprj[119] )
+ ROUTED met2 ( 2022960 1180485 ) ( 2022960 1199170 0 )
NEW met2 ( 2260080 1180485 ) ( 2260080 1181410 )
NEW met2 ( 2260080 1181410 ) ( 2261520 1181410 )
NEW met2 ( 2261520 1144595 ) ( 2261520 1181410 )
NEW met1 ( 2261520 1144595 ) ( 2287920 1144595 )
NEW met1 ( 2022960 1180485 ) ( 2260080 1180485 )
NEW met2 ( 2287920 1117770 0 ) ( 2287920 1144595 )
NEW met1 ( 2022960 1180485 ) M1M2_PR
NEW met1 ( 2260080 1180485 ) M1M2_PR
NEW met1 ( 2261520 1144595 ) M1M2_PR
NEW met1 ( 2287920 1144595 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[11\] ( soc la_oen[11] ) ( mgmt_buffers la_oen_mprj[11] )
+ ROUTED met1 ( 2010000 1168645 ) ( 2024880 1168645 )
NEW met2 ( 2024880 1168645 ) ( 2024880 1199170 0 )
NEW met2 ( 2010000 1117770 0 ) ( 2010000 1168645 )
NEW met1 ( 2010000 1168645 ) M1M2_PR
NEW met1 ( 2024880 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[120\] ( soc la_oen[120] ) ( mgmt_buffers la_oen_mprj[120] )
+ ROUTED met2 ( 2289840 1117770 0 ) ( 2289840 1152735 )
NEW met2 ( 2051280 1165685 ) ( 2051280 1196765 )
NEW met1 ( 2026800 1196765 ) ( 2051280 1196765 )
NEW met2 ( 2026800 1196765 ) ( 2026800 1199170 0 )
NEW met2 ( 2108400 1157545 ) ( 2108400 1165685 )
NEW met1 ( 2108400 1157545 ) ( 2138160 1157545 )
NEW met2 ( 2138160 1152735 ) ( 2138160 1157545 )
NEW met1 ( 2051280 1165685 ) ( 2108400 1165685 )
NEW met1 ( 2138160 1152735 ) ( 2289840 1152735 )
NEW met1 ( 2289840 1152735 ) M1M2_PR
NEW met1 ( 2051280 1165685 ) M1M2_PR
NEW met1 ( 2051280 1196765 ) M1M2_PR
NEW met1 ( 2026800 1196765 ) M1M2_PR
NEW met1 ( 2108400 1165685 ) M1M2_PR
NEW met1 ( 2108400 1157545 ) M1M2_PR
NEW met1 ( 2138160 1157545 ) M1M2_PR
NEW met1 ( 2138160 1152735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[121\] ( soc la_oen[121] ) ( mgmt_buffers la_oen_mprj[121] )
+ ROUTED met1 ( 2008560 1167905 ) ( 2028720 1167905 )
NEW met2 ( 2028720 1167905 ) ( 2028720 1199170 0 )
NEW met2 ( 2008320 1117770 0 ) ( 2008320 1119065 )
NEW met2 ( 2008320 1119065 ) ( 2008560 1119065 )
NEW met2 ( 2008560 1119065 ) ( 2008560 1167905 )
NEW met1 ( 2008560 1167905 ) M1M2_PR
NEW met1 ( 2028720 1167905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[122\] ( soc la_oen[122] ) ( mgmt_buffers la_oen_mprj[122] )
+ ROUTED met2 ( 2031120 1158655 ) ( 2031120 1197690 )
NEW met2 ( 2030400 1197690 ) ( 2031120 1197690 )
NEW met2 ( 2030400 1197690 ) ( 2030400 1199170 0 )
NEW met1 ( 2094960 1158285 ) ( 2094960 1158655 )
NEW met1 ( 2031120 1158655 ) ( 2094960 1158655 )
NEW met1 ( 2094960 1158285 ) ( 2291760 1158285 )
NEW met2 ( 2291760 1117770 0 ) ( 2291760 1158285 )
NEW met1 ( 2031120 1158655 ) M1M2_PR
NEW met1 ( 2291760 1158285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[123\] ( soc la_oen[123] ) ( mgmt_buffers la_oen_mprj[123] )
+ ROUTED met1 ( 2007120 1197135 ) ( 2032080 1197135 )
NEW met2 ( 2032080 1197135 ) ( 2032080 1197690 )
NEW met2 ( 2032080 1197690 ) ( 2032320 1197690 )
NEW met2 ( 2032320 1197690 ) ( 2032320 1199170 0 )
NEW met2 ( 2006400 1117770 0 ) ( 2006400 1119250 )
NEW met2 ( 2006160 1119250 ) ( 2006400 1119250 )
NEW met2 ( 2006160 1119250 ) ( 2006160 1119990 )
NEW met2 ( 2006160 1119990 ) ( 2007120 1119990 )
NEW met2 ( 2007120 1119990 ) ( 2007120 1197135 )
NEW met1 ( 2007120 1197135 ) M1M2_PR
NEW met1 ( 2032080 1197135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[124\] ( soc la_oen[124] ) ( mgmt_buffers la_oen_mprj[124] )
+ ROUTED met2 ( 2293440 1117770 0 ) ( 2293440 1119250 )
NEW met2 ( 2293200 1119250 ) ( 2293440 1119250 )
NEW met2 ( 2293200 1119250 ) ( 2293200 1157915 )
NEW met1 ( 2050320 1157915 ) ( 2050320 1158285 )
NEW met1 ( 2034000 1158285 ) ( 2050320 1158285 )
NEW met2 ( 2034000 1158285 ) ( 2034000 1199170 0 )
NEW met2 ( 2102640 1157915 ) ( 2102640 1158470 )
NEW met2 ( 2102640 1158470 ) ( 2103120 1158470 )
NEW met2 ( 2103120 1156065 ) ( 2103120 1158470 )
NEW met1 ( 2103120 1156065 ) ( 2197200 1156065 )
NEW met2 ( 2197200 1156065 ) ( 2197200 1158470 )
NEW met2 ( 2197200 1158470 ) ( 2198160 1158470 )
NEW met2 ( 2198160 1157915 ) ( 2198160 1158470 )
NEW met1 ( 2050320 1157915 ) ( 2102640 1157915 )
NEW met1 ( 2198160 1157915 ) ( 2293200 1157915 )
NEW met1 ( 2293200 1157915 ) M1M2_PR
NEW met1 ( 2034000 1158285 ) M1M2_PR
NEW met1 ( 2102640 1157915 ) M1M2_PR
NEW met1 ( 2103120 1156065 ) M1M2_PR
NEW met1 ( 2197200 1156065 ) M1M2_PR
NEW met1 ( 2198160 1157915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[125\] ( soc la_oen[125] ) ( mgmt_buffers la_oen_mprj[125] )
+ ROUTED met1 ( 2004720 1169385 ) ( 2035920 1169385 )
NEW met2 ( 2035920 1169385 ) ( 2035920 1199170 0 )
NEW met2 ( 2004720 1117770 0 ) ( 2004720 1169385 )
NEW met1 ( 2004720 1169385 ) M1M2_PR
NEW met1 ( 2035920 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[126\] ( soc la_oen[126] ) ( mgmt_buffers la_oen_mprj[126] )
+ ROUTED met2 ( 2195280 1130535 ) ( 2195280 1135345 )
NEW met2 ( 2127120 1130535 ) ( 2127120 1170865 )
NEW met1 ( 2127120 1130535 ) ( 2195280 1130535 )
NEW met2 ( 2295360 1117770 0 ) ( 2295360 1119250 )
NEW met2 ( 2295120 1119250 ) ( 2295360 1119250 )
NEW met2 ( 2295120 1119250 ) ( 2295120 1135345 )
NEW met1 ( 2195280 1135345 ) ( 2295120 1135345 )
NEW met2 ( 2077680 1170865 ) ( 2077680 1196950 )
NEW met1 ( 2077680 1170865 ) ( 2127120 1170865 )
NEW met2 ( 2044560 1196395 ) ( 2044560 1196950 )
NEW met1 ( 2037840 1196395 ) ( 2044560 1196395 )
NEW met2 ( 2037840 1196395 ) ( 2037840 1199170 0 )
NEW met3 ( 2044560 1196950 ) ( 2077680 1196950 )
NEW met1 ( 2195280 1130535 ) M1M2_PR
NEW met1 ( 2195280 1135345 ) M1M2_PR
NEW met1 ( 2127120 1170865 ) M1M2_PR
NEW met1 ( 2127120 1130535 ) M1M2_PR
NEW met1 ( 2295120 1135345 ) M1M2_PR
NEW met1 ( 2077680 1170865 ) M1M2_PR
NEW met2 ( 2077680 1196950 ) via2_FR
NEW met2 ( 2044560 1196950 ) via2_FR
NEW met1 ( 2044560 1196395 ) M1M2_PR
NEW met1 ( 2037840 1196395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[127\] ( soc la_oen[127] ) ( mgmt_buffers la_oen_mprj[127] )
+ ROUTED met1 ( 2003280 1169755 ) ( 2039760 1169755 )
NEW met2 ( 2039760 1169755 ) ( 2039760 1199170 0 )
NEW met2 ( 2002800 1117770 0 ) ( 2002800 1133310 )
NEW met2 ( 2002800 1133310 ) ( 2003280 1133310 )
NEW met2 ( 2003280 1133310 ) ( 2003280 1169755 )
NEW met1 ( 2003280 1169755 ) M1M2_PR
NEW met1 ( 2039760 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[12\] ( soc la_oen[12] ) ( mgmt_buffers la_oen_mprj[12] )
+ ROUTED met2 ( 2041680 1172715 ) ( 2041680 1197690 )
NEW met2 ( 2041440 1197690 ) ( 2041680 1197690 )
NEW met2 ( 2041440 1197690 ) ( 2041440 1199170 0 )
NEW met2 ( 2195760 1172715 ) ( 2195760 1177895 )
NEW met1 ( 2041680 1172715 ) ( 2195760 1172715 )
NEW met2 ( 2249040 1140155 ) ( 2249040 1177895 )
NEW met1 ( 2249040 1140155 ) ( 2297040 1140155 )
NEW met1 ( 2195760 1177895 ) ( 2249040 1177895 )
NEW met2 ( 2297040 1117770 0 ) ( 2297040 1140155 )
NEW met1 ( 2041680 1172715 ) M1M2_PR
NEW met1 ( 2195760 1172715 ) M1M2_PR
NEW met1 ( 2195760 1177895 ) M1M2_PR
NEW met1 ( 2249040 1177895 ) M1M2_PR
NEW met1 ( 2249040 1140155 ) M1M2_PR
NEW met1 ( 2297040 1140155 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[13\] ( soc la_oen[13] ) ( mgmt_buffers la_oen_mprj[13] )
+ ROUTED met2 ( 2043120 1170125 ) ( 2043120 1197690 )
NEW met2 ( 2043120 1197690 ) ( 2043360 1197690 )
NEW met2 ( 2043360 1197690 ) ( 2043360 1199170 0 )
NEW met2 ( 2000880 1117770 0 ) ( 2000880 1170125 )
NEW met1 ( 2000880 1170125 ) ( 2043120 1170125 )
NEW met1 ( 2043120 1170125 ) M1M2_PR
NEW met1 ( 2000880 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[14\] ( soc la_oen[14] ) ( mgmt_buffers la_oen_mprj[14] )
+ ROUTED met2 ( 2203920 1140525 ) ( 2203920 1152365 )
NEW met1 ( 2203920 1140525 ) ( 2298960 1140525 )
NEW met2 ( 2298960 1117770 0 ) ( 2298960 1140525 )
NEW met2 ( 2045040 1189365 ) ( 2045040 1199170 0 )
NEW met2 ( 2153040 1152365 ) ( 2153040 1189365 )
NEW met1 ( 2045040 1189365 ) ( 2153040 1189365 )
NEW met1 ( 2153040 1152365 ) ( 2203920 1152365 )
NEW met1 ( 2203920 1152365 ) M1M2_PR
NEW met1 ( 2203920 1140525 ) M1M2_PR
NEW met1 ( 2298960 1140525 ) M1M2_PR
NEW met1 ( 2045040 1189365 ) M1M2_PR
NEW met1 ( 2153040 1189365 ) M1M2_PR
NEW met1 ( 2153040 1152365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[15\] ( soc la_oen[15] ) ( mgmt_buffers la_oen_mprj[15] )
+ ROUTED met1 ( 1998960 1166055 ) ( 2030400 1166055 )
NEW met1 ( 2030400 1166055 ) ( 2030400 1166425 )
NEW met2 ( 1998960 1117770 0 ) ( 1998960 1166055 )
NEW met2 ( 2046960 1166425 ) ( 2046960 1199170 0 )
NEW met1 ( 2030400 1166425 ) ( 2046960 1166425 )
NEW met1 ( 1998960 1166055 ) M1M2_PR
NEW met1 ( 2046960 1166425 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[16\] ( soc la_oen[16] ) ( mgmt_buffers la_oen_mprj[16] )
+ ROUTED met2 ( 2070000 1156805 ) ( 2070000 1163465 )
NEW met1 ( 2048880 1163465 ) ( 2070000 1163465 )
NEW met2 ( 2048880 1163465 ) ( 2048880 1199170 0 )
NEW met2 ( 2300880 1117770 0 ) ( 2300880 1157545 )
NEW met1 ( 2110800 1156435 ) ( 2110800 1156805 )
NEW met1 ( 2110800 1156435 ) ( 2131920 1156435 )
NEW met2 ( 2131920 1156435 ) ( 2131920 1157175 )
NEW met1 ( 2131920 1157175 ) ( 2138640 1157175 )
NEW met1 ( 2138640 1157175 ) ( 2138640 1157545 )
NEW met1 ( 2070000 1156805 ) ( 2110800 1156805 )
NEW met1 ( 2138640 1157545 ) ( 2300880 1157545 )
NEW met1 ( 2070000 1156805 ) M1M2_PR
NEW met1 ( 2070000 1163465 ) M1M2_PR
NEW met1 ( 2048880 1163465 ) M1M2_PR
NEW met1 ( 2300880 1157545 ) M1M2_PR
NEW met1 ( 2131920 1156435 ) M1M2_PR
NEW met1 ( 2131920 1157175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[17\] ( soc la_oen[17] ) ( mgmt_buffers la_oen_mprj[17] )
+ ROUTED met1 ( 1997520 1171235 ) ( 2028720 1171235 )
NEW met1 ( 2028720 1170495 ) ( 2028720 1171235 )
NEW met1 ( 2028720 1170495 ) ( 2043600 1170495 )
NEW met1 ( 2043600 1170125 ) ( 2043600 1170495 )
NEW met2 ( 1997280 1117770 0 ) ( 1997280 1119250 )
NEW met2 ( 1997280 1119250 ) ( 1997520 1119250 )
NEW met2 ( 1997520 1119250 ) ( 1997520 1171235 )
NEW met2 ( 2050800 1170125 ) ( 2050800 1199170 0 )
NEW met1 ( 2043600 1170125 ) ( 2050800 1170125 )
NEW met1 ( 1997520 1171235 ) M1M2_PR
NEW met1 ( 2050800 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[18\] ( soc la_oen[18] ) ( mgmt_buffers la_oen_mprj[18] )
+ ROUTED met1 ( 2196000 1131275 ) ( 2196000 1132385 )
NEW met1 ( 2052720 1169015 ) ( 2142000 1169015 )
NEW met2 ( 2052720 1169015 ) ( 2052720 1197690 )
NEW met2 ( 2052480 1197690 ) ( 2052720 1197690 )
NEW met2 ( 2052480 1197690 ) ( 2052480 1199170 0 )
NEW met2 ( 2142000 1132385 ) ( 2142000 1169015 )
NEW met1 ( 2142000 1132385 ) ( 2196000 1132385 )
NEW met2 ( 2302800 1117770 0 ) ( 2302800 1131275 )
NEW met1 ( 2196000 1131275 ) ( 2302800 1131275 )
NEW met1 ( 2142000 1169015 ) M1M2_PR
NEW met1 ( 2052720 1169015 ) M1M2_PR
NEW met1 ( 2142000 1132385 ) M1M2_PR
NEW met1 ( 2302800 1131275 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[19\] ( soc la_oen[19] ) ( mgmt_buffers la_oen_mprj[19] )
+ ROUTED met1 ( 1996080 1172345 ) ( 2044080 1172345 )
NEW met2 ( 2044080 1171790 ) ( 2044080 1172345 )
NEW met2 ( 2044080 1171790 ) ( 2044560 1171790 )
NEW met2 ( 2044560 1170495 ) ( 2044560 1171790 )
NEW met2 ( 1995360 1117770 0 ) ( 1995360 1119250 )
NEW met2 ( 1995360 1119250 ) ( 1996080 1119250 )
NEW met2 ( 1996080 1119250 ) ( 1996080 1172345 )
NEW met2 ( 2054160 1170495 ) ( 2054160 1197690 )
NEW met2 ( 2054160 1197690 ) ( 2054400 1197690 )
NEW met2 ( 2054400 1197690 ) ( 2054400 1199170 0 )
NEW met1 ( 2044560 1170495 ) ( 2054160 1170495 )
NEW met1 ( 1996080 1172345 ) M1M2_PR
NEW met1 ( 2044080 1172345 ) M1M2_PR
NEW met1 ( 2044560 1170495 ) M1M2_PR
NEW met1 ( 2054160 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[1\] ( soc la_oen[1] ) ( mgmt_buffers la_oen_mprj[1] )
+ ROUTED met2 ( 2122800 1163465 ) ( 2122800 1164575 )
NEW met1 ( 2095920 1164575 ) ( 2122800 1164575 )
NEW met2 ( 2095920 1159395 ) ( 2095920 1164575 )
NEW met1 ( 2056080 1159395 ) ( 2095920 1159395 )
NEW met2 ( 2056080 1159395 ) ( 2056080 1199170 0 )
NEW met2 ( 2304480 1117770 0 ) ( 2304480 1119250 )
NEW met2 ( 2304240 1119250 ) ( 2304480 1119250 )
NEW met2 ( 2304240 1119250 ) ( 2304240 1167350 )
NEW met3 ( 2219760 1167350 ) ( 2304240 1167350 )
NEW met2 ( 2219760 1163465 ) ( 2219760 1167350 )
NEW met2 ( 2218320 1163465 ) ( 2219760 1163465 )
NEW met1 ( 2122800 1163465 ) ( 2218320 1163465 )
NEW met1 ( 2122800 1163465 ) M1M2_PR
NEW met1 ( 2122800 1164575 ) M1M2_PR
NEW met1 ( 2095920 1164575 ) M1M2_PR
NEW met1 ( 2095920 1159395 ) M1M2_PR
NEW met1 ( 2056080 1159395 ) M1M2_PR
NEW met2 ( 2304240 1167350 ) via2_FR
NEW met2 ( 2219760 1167350 ) via2_FR
NEW met1 ( 2218320 1163465 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[20\] ( soc la_oen[20] ) ( mgmt_buffers la_oen_mprj[20] )
+ ROUTED met1 ( 1993680 1150885 ) ( 2001360 1150885 )
NEW met2 ( 2001360 1150885 ) ( 2001360 1170310 )
NEW met2 ( 2001360 1170310 ) ( 2001840 1170310 )
NEW met2 ( 2001840 1170310 ) ( 2001840 1171975 )
NEW met2 ( 1993680 1117770 0 ) ( 1993680 1150885 )
NEW met2 ( 2040240 1171975 ) ( 2040240 1191955 )
NEW met1 ( 2040240 1191955 ) ( 2055120 1191955 )
NEW met2 ( 2055120 1191955 ) ( 2055120 1197505 )
NEW met1 ( 2055120 1197505 ) ( 2058000 1197505 )
NEW met2 ( 2058000 1197505 ) ( 2058000 1199170 0 )
NEW met1 ( 2001840 1171975 ) ( 2040240 1171975 )
NEW met1 ( 1993680 1150885 ) M1M2_PR
NEW met1 ( 2001360 1150885 ) M1M2_PR
NEW met1 ( 2001840 1171975 ) M1M2_PR
NEW met1 ( 2040240 1171975 ) M1M2_PR
NEW met1 ( 2040240 1191955 ) M1M2_PR
NEW met1 ( 2055120 1191955 ) M1M2_PR
NEW met1 ( 2055120 1197505 ) M1M2_PR
NEW met1 ( 2058000 1197505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[21\] ( soc la_oen[21] ) ( mgmt_buffers la_oen_mprj[21] )
+ ROUTED met1 ( 2122320 1163095 ) ( 2122320 1163465 )
NEW met1 ( 2077200 1163465 ) ( 2122320 1163465 )
NEW met2 ( 2077200 1163465 ) ( 2077200 1166425 )
NEW met1 ( 2059920 1166425 ) ( 2077200 1166425 )
NEW met2 ( 2059920 1166425 ) ( 2059920 1199170 0 )
NEW met2 ( 2306400 1117770 0 ) ( 2306400 1119250 )
NEW met2 ( 2306160 1119250 ) ( 2306400 1119250 )
NEW met2 ( 2306160 1119250 ) ( 2306160 1163465 )
NEW met1 ( 2218800 1163465 ) ( 2306160 1163465 )
NEW met1 ( 2218800 1163095 ) ( 2218800 1163465 )
NEW met1 ( 2122320 1163095 ) ( 2218800 1163095 )
NEW met1 ( 2077200 1163465 ) M1M2_PR
NEW met1 ( 2077200 1166425 ) M1M2_PR
NEW met1 ( 2059920 1166425 ) M1M2_PR
NEW met1 ( 2306160 1163465 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[22\] ( soc la_oen[22] ) ( mgmt_buffers la_oen_mprj[22] )
+ ROUTED met2 ( 1991760 1117770 0 ) ( 1991760 1143485 )
NEW met2 ( 2061360 1143485 ) ( 2061360 1171790 )
NEW met2 ( 2061360 1171790 ) ( 2061840 1171790 )
NEW met2 ( 2061840 1171790 ) ( 2061840 1199170 0 )
NEW met1 ( 1991760 1143485 ) ( 2061360 1143485 )
NEW met1 ( 1991760 1143485 ) M1M2_PR
NEW met1 ( 2061360 1143485 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[23\] ( soc la_oen[23] ) ( mgmt_buffers la_oen_mprj[23] )
+ ROUTED met2 ( 2073840 1164205 ) ( 2073840 1165130 )
NEW met2 ( 2073360 1165130 ) ( 2073840 1165130 )
NEW met2 ( 2073360 1165130 ) ( 2073360 1178265 )
NEW met1 ( 2063760 1178265 ) ( 2073360 1178265 )
NEW met2 ( 2063760 1178265 ) ( 2063760 1197690 )
NEW met2 ( 2063520 1197690 ) ( 2063760 1197690 )
NEW met2 ( 2063520 1197690 ) ( 2063520 1199170 0 )
NEW met2 ( 2308080 1117770 0 ) ( 2308080 1163835 )
NEW met1 ( 2218800 1163835 ) ( 2308080 1163835 )
NEW met1 ( 2218800 1163835 ) ( 2218800 1164205 )
NEW met2 ( 2218800 1164205 ) ( 2218800 1164390 )
NEW met2 ( 2218320 1164390 ) ( 2218800 1164390 )
NEW met2 ( 2218320 1164205 ) ( 2218320 1164390 )
NEW met1 ( 2073840 1164205 ) ( 2218320 1164205 )
NEW met1 ( 2073840 1164205 ) M1M2_PR
NEW met1 ( 2073360 1178265 ) M1M2_PR
NEW met1 ( 2063760 1178265 ) M1M2_PR
NEW met1 ( 2308080 1163835 ) M1M2_PR
NEW met1 ( 2218800 1164205 ) M1M2_PR
NEW met1 ( 2218320 1164205 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[24\] ( soc la_oen[24] ) ( mgmt_buffers la_oen_mprj[24] )
+ ROUTED met2 ( 2022480 1180485 ) ( 2022480 1184370 )
NEW met3 ( 2022480 1184370 ) ( 2044080 1184370 )
NEW met2 ( 2044080 1183630 ) ( 2044080 1184370 )
NEW met2 ( 2044080 1183630 ) ( 2044560 1183630 )
NEW met2 ( 2044560 1179745 ) ( 2044560 1183630 )
NEW met2 ( 2065200 1179745 ) ( 2065200 1197690 )
NEW met2 ( 2065200 1197690 ) ( 2065440 1197690 )
NEW met2 ( 2065440 1197690 ) ( 2065440 1199170 0 )
NEW met1 ( 2044560 1179745 ) ( 2065200 1179745 )
NEW met2 ( 1989840 1117770 0 ) ( 1989840 1137010 )
NEW met2 ( 1989360 1137010 ) ( 1989840 1137010 )
NEW met2 ( 1989360 1137010 ) ( 1989360 1182335 )
NEW met1 ( 1989360 1182335 ) ( 1999920 1182335 )
NEW met2 ( 1999920 1180855 ) ( 1999920 1182335 )
NEW met1 ( 1999920 1180855 ) ( 2000880 1180855 )
NEW met1 ( 2000880 1180485 ) ( 2000880 1180855 )
NEW met1 ( 2000880 1180485 ) ( 2022480 1180485 )
NEW met1 ( 2022480 1180485 ) M1M2_PR
NEW met2 ( 2022480 1184370 ) via2_FR
NEW met2 ( 2044080 1184370 ) via2_FR
NEW met1 ( 2044560 1179745 ) M1M2_PR
NEW met1 ( 2065200 1179745 ) M1M2_PR
NEW met1 ( 1989360 1182335 ) M1M2_PR
NEW met1 ( 1999920 1182335 ) M1M2_PR
NEW met1 ( 1999920 1180855 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[25\] ( soc la_oen[25] ) ( mgmt_buffers la_oen_mprj[25] )
+ ROUTED met2 ( 2179920 1137935 ) ( 2179920 1142005 )
NEW met2 ( 2117520 1142005 ) ( 2117520 1161985 )
NEW met1 ( 2115120 1161985 ) ( 2117520 1161985 )
NEW met1 ( 2115120 1161985 ) ( 2115120 1162355 )
NEW met1 ( 2091600 1162355 ) ( 2115120 1162355 )
NEW met2 ( 2091600 1162355 ) ( 2091600 1167350 )
NEW met3 ( 2067600 1167350 ) ( 2091600 1167350 )
NEW met2 ( 2067600 1167350 ) ( 2067600 1170310 )
NEW met2 ( 2067120 1170310 ) ( 2067600 1170310 )
NEW met2 ( 2067120 1170310 ) ( 2067120 1199170 0 )
NEW met1 ( 2117520 1142005 ) ( 2179920 1142005 )
NEW met1 ( 2179920 1137935 ) ( 2310000 1137935 )
NEW met2 ( 2310000 1117770 0 ) ( 2310000 1137935 )
NEW met1 ( 2179920 1142005 ) M1M2_PR
NEW met1 ( 2179920 1137935 ) M1M2_PR
NEW met1 ( 2117520 1142005 ) M1M2_PR
NEW met1 ( 2117520 1161985 ) M1M2_PR
NEW met1 ( 2091600 1162355 ) M1M2_PR
NEW met2 ( 2091600 1167350 ) via2_FR
NEW met2 ( 2067600 1167350 ) via2_FR
NEW met1 ( 2310000 1137935 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[26\] ( soc la_oen[26] ) ( mgmt_buffers la_oen_mprj[26] )
+ ROUTED met1 ( 1987920 1170865 ) ( 2023440 1170865 )
NEW met2 ( 2023440 1169015 ) ( 2023440 1170865 )
NEW met2 ( 1987920 1117770 0 ) ( 1987920 1170865 )
NEW met1 ( 2050800 1169015 ) ( 2050800 1169385 )
NEW met1 ( 2050800 1169385 ) ( 2069040 1169385 )
NEW met2 ( 2069040 1169385 ) ( 2069040 1199170 0 )
NEW met1 ( 2023440 1169015 ) ( 2050800 1169015 )
NEW met1 ( 1987920 1170865 ) M1M2_PR
NEW met1 ( 2023440 1170865 ) M1M2_PR
NEW met1 ( 2023440 1169015 ) M1M2_PR
NEW met1 ( 2069040 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[27\] ( soc la_oen[27] ) ( mgmt_buffers la_oen_mprj[27] )
+ ROUTED met1 ( 2094000 1164945 ) ( 2094000 1165315 )
NEW met1 ( 2070960 1165315 ) ( 2094000 1165315 )
NEW met2 ( 2070960 1165315 ) ( 2070960 1199170 0 )
NEW met2 ( 2311920 1117770 0 ) ( 2311920 1165315 )
NEW met1 ( 2218320 1165315 ) ( 2311920 1165315 )
NEW met1 ( 2218320 1164945 ) ( 2218320 1165315 )
NEW met1 ( 2094000 1164945 ) ( 2218320 1164945 )
NEW met1 ( 2070960 1165315 ) M1M2_PR
NEW met1 ( 2311920 1165315 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[28\] ( soc la_oen[28] ) ( mgmt_buffers la_oen_mprj[28] )
+ ROUTED met1 ( 1986480 1170865 ) ( 1987440 1170865 )
NEW met1 ( 1987440 1170865 ) ( 1987440 1171235 )
NEW met1 ( 1987440 1171235 ) ( 1996560 1171235 )
NEW met2 ( 1996560 1171235 ) ( 1996560 1179005 )
NEW met2 ( 1986240 1117770 0 ) ( 1986240 1119250 )
NEW met2 ( 1986240 1119250 ) ( 1986480 1119250 )
NEW met2 ( 1986480 1119250 ) ( 1986480 1170865 )
NEW met1 ( 2030160 1179005 ) ( 2030160 1179375 )
NEW met1 ( 2030160 1179375 ) ( 2047440 1179375 )
NEW met2 ( 2047440 1179375 ) ( 2047440 1197875 )
NEW met1 ( 2047440 1197875 ) ( 2072880 1197875 )
NEW met2 ( 2072880 1197875 ) ( 2072880 1199170 0 )
NEW met1 ( 1996560 1179005 ) ( 2030160 1179005 )
NEW met1 ( 1986480 1170865 ) M1M2_PR
NEW met1 ( 1996560 1171235 ) M1M2_PR
NEW met1 ( 1996560 1179005 ) M1M2_PR
NEW met1 ( 2047440 1179375 ) M1M2_PR
NEW met1 ( 2047440 1197875 ) M1M2_PR
NEW met1 ( 2072880 1197875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[29\] ( soc la_oen[29] ) ( mgmt_buffers la_oen_mprj[29] )
+ ROUTED met2 ( 2101680 1160875 ) ( 2101680 1180115 )
NEW met1 ( 2074800 1180115 ) ( 2101680 1180115 )
NEW met2 ( 2074800 1180115 ) ( 2074800 1197690 )
NEW met2 ( 2074560 1197690 ) ( 2074800 1197690 )
NEW met2 ( 2074560 1197690 ) ( 2074560 1199170 0 )
NEW met1 ( 2101680 1160875 ) ( 2313840 1160875 )
NEW met2 ( 2313840 1117770 0 ) ( 2313840 1160875 )
NEW met1 ( 2101680 1160875 ) M1M2_PR
NEW met1 ( 2101680 1180115 ) M1M2_PR
NEW met1 ( 2074800 1180115 ) M1M2_PR
NEW met1 ( 2313840 1160875 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[2\] ( soc la_oen[2] ) ( mgmt_buffers la_oen_mprj[2] )
+ ROUTED met2 ( 2076240 1170125 ) ( 2076240 1197690 )
NEW met2 ( 2076240 1197690 ) ( 2076480 1197690 )
NEW met2 ( 2076480 1197690 ) ( 2076480 1199170 0 )
NEW met2 ( 1984320 1117770 0 ) ( 1984320 1118510 )
NEW met2 ( 1984320 1118510 ) ( 1984560 1118510 )
NEW met2 ( 1984560 1118510 ) ( 1984560 1119250 )
NEW met2 ( 1984560 1119250 ) ( 1985520 1119250 )
NEW met1 ( 1985520 1166795 ) ( 2016240 1166795 )
NEW met1 ( 2016240 1166795 ) ( 2016240 1167535 )
NEW met1 ( 2016240 1167535 ) ( 2052240 1167535 )
NEW met2 ( 2052240 1167535 ) ( 2052240 1170125 )
NEW met2 ( 1985520 1119250 ) ( 1985520 1166795 )
NEW met1 ( 2052240 1170125 ) ( 2076240 1170125 )
NEW met1 ( 2076240 1170125 ) M1M2_PR
NEW met1 ( 1985520 1166795 ) M1M2_PR
NEW met1 ( 2052240 1167535 ) M1M2_PR
NEW met1 ( 2052240 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[30\] ( soc la_oen[30] ) ( mgmt_buffers la_oen_mprj[30] )
+ ROUTED met2 ( 2087760 1152365 ) ( 2087760 1168275 )
NEW met1 ( 2078160 1168275 ) ( 2087760 1168275 )
NEW met2 ( 2078160 1168275 ) ( 2078160 1199170 0 )
NEW met2 ( 2280720 1146445 ) ( 2280720 1153105 )
NEW met1 ( 2280720 1146445 ) ( 2315280 1146445 )
NEW met2 ( 2315520 1117770 0 ) ( 2315520 1119250 )
NEW met2 ( 2315280 1119250 ) ( 2315520 1119250 )
NEW met2 ( 2315280 1119250 ) ( 2315280 1146445 )
NEW met1 ( 2130000 1152365 ) ( 2130000 1152735 )
NEW met1 ( 2130000 1152735 ) ( 2130960 1152735 )
NEW met1 ( 2130960 1152735 ) ( 2130960 1153105 )
NEW met1 ( 2087760 1152365 ) ( 2130000 1152365 )
NEW met1 ( 2130960 1153105 ) ( 2280720 1153105 )
NEW met1 ( 2087760 1152365 ) M1M2_PR
NEW met1 ( 2087760 1168275 ) M1M2_PR
NEW met1 ( 2078160 1168275 ) M1M2_PR
NEW met1 ( 2280720 1153105 ) M1M2_PR
NEW met1 ( 2280720 1146445 ) M1M2_PR
NEW met1 ( 2315280 1146445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[31\] ( soc la_oen[31] ) ( mgmt_buffers la_oen_mprj[31] )
+ ROUTED met1 ( 1982640 1172715 ) ( 2025360 1172715 )
NEW met2 ( 2025360 1168645 ) ( 2025360 1172715 )
NEW met2 ( 1982640 1117770 0 ) ( 1982640 1172715 )
NEW met2 ( 2073840 1168645 ) ( 2073840 1173085 )
NEW met1 ( 2073840 1173085 ) ( 2080080 1173085 )
NEW met2 ( 2080080 1173085 ) ( 2080080 1199170 0 )
NEW met1 ( 2025360 1168645 ) ( 2073840 1168645 )
NEW met1 ( 1982640 1172715 ) M1M2_PR
NEW met1 ( 2025360 1172715 ) M1M2_PR
NEW met1 ( 2025360 1168645 ) M1M2_PR
NEW met1 ( 2073840 1168645 ) M1M2_PR
NEW met1 ( 2073840 1173085 ) M1M2_PR
NEW met1 ( 2080080 1173085 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[32\] ( soc la_oen[32] ) ( mgmt_buffers la_oen_mprj[32] )
+ ROUTED met2 ( 2142480 1169015 ) ( 2142480 1170125 )
NEW met1 ( 2082000 1170125 ) ( 2142480 1170125 )
NEW met2 ( 2082000 1170125 ) ( 2082000 1199170 0 )
NEW met2 ( 2280720 1165685 ) ( 2280720 1169015 )
NEW met1 ( 2280720 1165685 ) ( 2317200 1165685 )
NEW met1 ( 2142480 1169015 ) ( 2280720 1169015 )
NEW met2 ( 2317440 1117770 0 ) ( 2317440 1119250 )
NEW met2 ( 2317200 1119250 ) ( 2317440 1119250 )
NEW met2 ( 2317200 1119250 ) ( 2317200 1165685 )
NEW met1 ( 2142480 1169015 ) M1M2_PR
NEW met1 ( 2142480 1170125 ) M1M2_PR
NEW met1 ( 2082000 1170125 ) M1M2_PR
NEW met1 ( 2280720 1169015 ) M1M2_PR
NEW met1 ( 2280720 1165685 ) M1M2_PR
NEW met1 ( 2317200 1165685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[33\] ( soc la_oen[33] ) ( mgmt_buffers la_oen_mprj[33] )
+ ROUTED met1 ( 1980720 1168645 ) ( 2009520 1168645 )
NEW met2 ( 2009520 1168645 ) ( 2009520 1168830 )
NEW met3 ( 2009520 1168830 ) ( 2036400 1168830 )
NEW met2 ( 2036400 1168830 ) ( 2036400 1169385 )
NEW met2 ( 1980720 1117770 0 ) ( 1980720 1168645 )
NEW met2 ( 2050320 1169385 ) ( 2050320 1171235 )
NEW met1 ( 2050320 1171235 ) ( 2083920 1171235 )
NEW met2 ( 2083920 1171235 ) ( 2083920 1199170 0 )
NEW met1 ( 2036400 1169385 ) ( 2050320 1169385 )
NEW met1 ( 1980720 1168645 ) M1M2_PR
NEW met1 ( 2009520 1168645 ) M1M2_PR
NEW met2 ( 2009520 1168830 ) via2_FR
NEW met2 ( 2036400 1168830 ) via2_FR
NEW met1 ( 2036400 1169385 ) M1M2_PR
NEW met1 ( 2050320 1169385 ) M1M2_PR
NEW met1 ( 2050320 1171235 ) M1M2_PR
NEW met1 ( 2083920 1171235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[34\] ( soc la_oen[34] ) ( mgmt_buffers la_oen_mprj[34] )
+ ROUTED met1 ( 2115600 1162355 ) ( 2115600 1163095 )
NEW met1 ( 2085840 1163095 ) ( 2115600 1163095 )
NEW met2 ( 2085840 1163095 ) ( 2085840 1197690 )
NEW met2 ( 2085600 1197690 ) ( 2085840 1197690 )
NEW met2 ( 2085600 1197690 ) ( 2085600 1199170 0 )
NEW met2 ( 2319120 1117770 0 ) ( 2319120 1162355 )
NEW met1 ( 2219760 1162355 ) ( 2219760 1162725 )
NEW met1 ( 2219760 1162725 ) ( 2220720 1162725 )
NEW met1 ( 2220720 1162355 ) ( 2220720 1162725 )
NEW met1 ( 2115600 1162355 ) ( 2219760 1162355 )
NEW met1 ( 2220720 1162355 ) ( 2319120 1162355 )
NEW met1 ( 2085840 1163095 ) M1M2_PR
NEW met1 ( 2319120 1162355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[35\] ( soc la_oen[35] ) ( mgmt_buffers la_oen_mprj[35] )
+ ROUTED met1 ( 1978800 1173085 ) ( 2043600 1173085 )
NEW met2 ( 2043600 1167350 ) ( 2043600 1173085 )
NEW met2 ( 1978800 1117770 0 ) ( 1978800 1173085 )
NEW met2 ( 2045040 1167350 ) ( 2045040 1172345 )
NEW met1 ( 2045040 1172345 ) ( 2087280 1172345 )
NEW met2 ( 2087280 1172345 ) ( 2087280 1197690 )
NEW met2 ( 2087280 1197690 ) ( 2087520 1197690 )
NEW met2 ( 2087520 1197690 ) ( 2087520 1199170 0 )
NEW met3 ( 2043600 1167350 ) ( 2045040 1167350 )
NEW met1 ( 1978800 1173085 ) M1M2_PR
NEW met1 ( 2043600 1173085 ) M1M2_PR
NEW met2 ( 2043600 1167350 ) via2_FR
NEW met2 ( 2045040 1167350 ) via2_FR
NEW met1 ( 2045040 1172345 ) M1M2_PR
NEW met1 ( 2087280 1172345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[36\] ( soc la_oen[36] ) ( mgmt_buffers la_oen_mprj[36] )
+ ROUTED met2 ( 2188080 1134975 ) ( 2188080 1144410 )
NEW met2 ( 2129520 1144410 ) ( 2129520 1172345 )
NEW met1 ( 2089200 1172345 ) ( 2129520 1172345 )
NEW met2 ( 2089200 1172345 ) ( 2089200 1199170 0 )
NEW met3 ( 2129520 1144410 ) ( 2188080 1144410 )
NEW met2 ( 2321040 1117770 0 ) ( 2321040 1134975 )
NEW met1 ( 2188080 1134975 ) ( 2321040 1134975 )
NEW met2 ( 2188080 1144410 ) via2_FR
NEW met1 ( 2188080 1134975 ) M1M2_PR
NEW met2 ( 2129520 1144410 ) via2_FR
NEW met1 ( 2129520 1172345 ) M1M2_PR
NEW met1 ( 2089200 1172345 ) M1M2_PR
NEW met1 ( 2321040 1134975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[37\] ( soc la_oen[37] ) ( mgmt_buffers la_oen_mprj[37] )
+ ROUTED met1 ( 1976880 1151995 ) ( 2013360 1151995 )
NEW met1 ( 2013360 1151995 ) ( 2013360 1152365 )
NEW met1 ( 2013360 1152365 ) ( 2018160 1152365 )
NEW met1 ( 2018160 1151995 ) ( 2018160 1152365 )
NEW met2 ( 1976880 1117770 0 ) ( 1976880 1151995 )
NEW met2 ( 2050800 1151995 ) ( 2050800 1158285 )
NEW met1 ( 2050800 1158285 ) ( 2090640 1158285 )
NEW met2 ( 2090640 1158285 ) ( 2090640 1162910 )
NEW met2 ( 2090640 1162910 ) ( 2091120 1162910 )
NEW met2 ( 2091120 1162910 ) ( 2091120 1199170 0 )
NEW met1 ( 2018160 1151995 ) ( 2050800 1151995 )
NEW met1 ( 1976880 1151995 ) M1M2_PR
NEW met1 ( 2050800 1151995 ) M1M2_PR
NEW met1 ( 2050800 1158285 ) M1M2_PR
NEW met1 ( 2090640 1158285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[38\] ( soc la_oen[38] ) ( mgmt_buffers la_oen_mprj[38] )
+ ROUTED met2 ( 2102160 1160135 ) ( 2102160 1179745 )
NEW met1 ( 2093040 1179745 ) ( 2102160 1179745 )
NEW met2 ( 2093040 1179745 ) ( 2093040 1199170 0 )
NEW met2 ( 2322960 1117770 0 ) ( 2322960 1160135 )
NEW met1 ( 2102160 1160135 ) ( 2322960 1160135 )
NEW met1 ( 2102160 1160135 ) M1M2_PR
NEW met1 ( 2102160 1179745 ) M1M2_PR
NEW met1 ( 2093040 1179745 ) M1M2_PR
NEW met1 ( 2322960 1160135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[39\] ( soc la_oen[39] ) ( mgmt_buffers la_oen_mprj[39] )
+ ROUTED met1 ( 1975440 1141635 ) ( 1995600 1141635 )
NEW met2 ( 1995600 1137935 ) ( 1995600 1141635 )
NEW met2 ( 1975200 1117770 0 ) ( 1975200 1119250 )
NEW met2 ( 1975200 1119250 ) ( 1975440 1119250 )
NEW met2 ( 1975440 1119250 ) ( 1975440 1141635 )
NEW met2 ( 2040720 1137935 ) ( 2040720 1171975 )
NEW met1 ( 2040720 1171975 ) ( 2094480 1171975 )
NEW met2 ( 2094480 1171975 ) ( 2094480 1185110 )
NEW met2 ( 2094480 1185110 ) ( 2094960 1185110 )
NEW met2 ( 2094960 1185110 ) ( 2094960 1199170 0 )
NEW met1 ( 1995600 1137935 ) ( 2040720 1137935 )
NEW met1 ( 1975440 1141635 ) M1M2_PR
NEW met1 ( 1995600 1141635 ) M1M2_PR
NEW met1 ( 1995600 1137935 ) M1M2_PR
NEW met1 ( 2040720 1137935 ) M1M2_PR
NEW met1 ( 2040720 1171975 ) M1M2_PR
NEW met1 ( 2094480 1171975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[3\] ( soc la_oen[3] ) ( mgmt_buffers la_oen_mprj[3] )
+ ROUTED met2 ( 2096880 1159395 ) ( 2096880 1197690 )
NEW met2 ( 2096640 1197690 ) ( 2096880 1197690 )
NEW met2 ( 2096640 1197690 ) ( 2096640 1199170 0 )
NEW met1 ( 2096880 1159395 ) ( 2324880 1159395 )
NEW met2 ( 2324880 1117770 0 ) ( 2324880 1159395 )
NEW met1 ( 2096880 1159395 ) M1M2_PR
NEW met1 ( 2324880 1159395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[40\] ( soc la_oen[40] ) ( mgmt_buffers la_oen_mprj[40] )
+ ROUTED met2 ( 2002800 1169385 ) ( 2002800 1179745 )
NEW met2 ( 2044080 1179375 ) ( 2044080 1179745 )
NEW met2 ( 2044080 1179375 ) ( 2045040 1179375 )
NEW met2 ( 2045040 1179375 ) ( 2045040 1184185 )
NEW met2 ( 2045040 1184185 ) ( 2046000 1184185 )
NEW met2 ( 2046000 1183445 ) ( 2046000 1184185 )
NEW met1 ( 2046000 1183445 ) ( 2069520 1183445 )
NEW met2 ( 2069520 1183445 ) ( 2069520 1195285 )
NEW met1 ( 2069520 1195285 ) ( 2098320 1195285 )
NEW met2 ( 2098320 1195285 ) ( 2098320 1197690 )
NEW met2 ( 2098320 1197690 ) ( 2098560 1197690 )
NEW met2 ( 2098560 1197690 ) ( 2098560 1199170 0 )
NEW met1 ( 2002800 1179745 ) ( 2044080 1179745 )
NEW met2 ( 1973280 1117770 0 ) ( 1973280 1119250 )
NEW met2 ( 1973280 1119250 ) ( 1974000 1119250 )
NEW met2 ( 1974000 1119250 ) ( 1974000 1169385 )
NEW met1 ( 1974000 1169385 ) ( 2002800 1169385 )
NEW met1 ( 2002800 1169385 ) M1M2_PR
NEW met1 ( 2002800 1179745 ) M1M2_PR
NEW met1 ( 2044080 1179745 ) M1M2_PR
NEW met1 ( 2046000 1183445 ) M1M2_PR
NEW met1 ( 2069520 1183445 ) M1M2_PR
NEW met1 ( 2069520 1195285 ) M1M2_PR
NEW met1 ( 2098320 1195285 ) M1M2_PR
NEW met1 ( 1974000 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[41\] ( soc la_oen[41] ) ( mgmt_buffers la_oen_mprj[41] )
+ ROUTED met2 ( 2100240 1173085 ) ( 2100240 1199170 0 )
NEW met2 ( 2326560 1117770 0 ) ( 2326560 1119250 )
NEW met2 ( 2326320 1119250 ) ( 2326560 1119250 )
NEW met2 ( 2326320 1119250 ) ( 2326320 1152365 )
NEW met2 ( 2217840 1167905 ) ( 2217840 1173085 )
NEW met1 ( 2217840 1167905 ) ( 2231760 1167905 )
NEW met2 ( 2231760 1152365 ) ( 2231760 1167905 )
NEW met1 ( 2100240 1173085 ) ( 2217840 1173085 )
NEW met1 ( 2231760 1152365 ) ( 2326320 1152365 )
NEW met1 ( 2100240 1173085 ) M1M2_PR
NEW met1 ( 2326320 1152365 ) M1M2_PR
NEW met1 ( 2217840 1173085 ) M1M2_PR
NEW met1 ( 2217840 1167905 ) M1M2_PR
NEW met1 ( 2231760 1167905 ) M1M2_PR
NEW met1 ( 2231760 1152365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[42\] ( soc la_oen[42] ) ( mgmt_buffers la_oen_mprj[42] )
+ ROUTED met1 ( 1971600 1144225 ) ( 2016720 1144225 )
NEW met1 ( 2016720 1143855 ) ( 2016720 1144225 )
NEW met2 ( 1971600 1117770 0 ) ( 1971600 1144225 )
NEW met2 ( 2087760 1143855 ) ( 2087760 1151810 )
NEW met2 ( 2087760 1151810 ) ( 2088240 1151810 )
NEW met2 ( 2088240 1151810 ) ( 2088240 1156435 )
NEW met1 ( 2088240 1156435 ) ( 2101200 1156435 )
NEW met2 ( 2101200 1156435 ) ( 2101200 1180670 )
NEW met2 ( 2101200 1180670 ) ( 2102160 1180670 )
NEW met2 ( 2102160 1180670 ) ( 2102160 1199170 0 )
NEW met1 ( 2016720 1143855 ) ( 2087760 1143855 )
NEW met1 ( 1971600 1144225 ) M1M2_PR
NEW met1 ( 2087760 1143855 ) M1M2_PR
NEW met1 ( 2088240 1156435 ) M1M2_PR
NEW met1 ( 2101200 1156435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[43\] ( soc la_oen[43] ) ( mgmt_buffers la_oen_mprj[43] )
+ ROUTED met2 ( 2328480 1117770 0 ) ( 2328480 1119250 )
NEW met2 ( 2328240 1119250 ) ( 2328480 1119250 )
NEW met2 ( 2328240 1119250 ) ( 2328240 1156065 )
NEW met1 ( 2104080 1180115 ) ( 2153520 1180115 )
NEW met2 ( 2153520 1157915 ) ( 2153520 1180115 )
NEW met1 ( 2153520 1157915 ) ( 2197680 1157915 )
NEW met2 ( 2197680 1156065 ) ( 2197680 1157915 )
NEW met2 ( 2104080 1180115 ) ( 2104080 1199170 0 )
NEW met1 ( 2197680 1156065 ) ( 2328240 1156065 )
NEW met1 ( 2328240 1156065 ) M1M2_PR
NEW met1 ( 2104080 1180115 ) M1M2_PR
NEW met1 ( 2153520 1180115 ) M1M2_PR
NEW met1 ( 2153520 1157915 ) M1M2_PR
NEW met1 ( 2197680 1157915 ) M1M2_PR
NEW met1 ( 2197680 1156065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[44\] ( soc la_oen[44] ) ( mgmt_buffers la_oen_mprj[44] )
+ ROUTED met1 ( 1969680 1143855 ) ( 2016240 1143855 )
NEW met2 ( 2016240 1142745 ) ( 2016240 1143855 )
NEW met2 ( 1969680 1117770 0 ) ( 1969680 1143855 )
NEW met2 ( 2053200 1142745 ) ( 2053200 1144595 )
NEW met1 ( 2053200 1144595 ) ( 2071440 1144595 )
NEW met2 ( 2071440 1144595 ) ( 2071440 1163465 )
NEW met1 ( 2071440 1163465 ) ( 2075280 1163465 )
NEW met2 ( 2075280 1163465 ) ( 2075280 1164945 )
NEW met1 ( 2075280 1164945 ) ( 2089680 1164945 )
NEW met2 ( 2089680 1162725 ) ( 2089680 1164945 )
NEW met1 ( 2089680 1162725 ) ( 2106000 1162725 )
NEW met2 ( 2106000 1162725 ) ( 2106000 1199170 0 )
NEW met1 ( 2016240 1142745 ) ( 2053200 1142745 )
NEW met1 ( 1969680 1143855 ) M1M2_PR
NEW met1 ( 2016240 1143855 ) M1M2_PR
NEW met1 ( 2016240 1142745 ) M1M2_PR
NEW met1 ( 2053200 1142745 ) M1M2_PR
NEW met1 ( 2053200 1144595 ) M1M2_PR
NEW met1 ( 2071440 1144595 ) M1M2_PR
NEW met1 ( 2071440 1163465 ) M1M2_PR
NEW met1 ( 2075280 1163465 ) M1M2_PR
NEW met1 ( 2075280 1164945 ) M1M2_PR
NEW met1 ( 2089680 1164945 ) M1M2_PR
NEW met1 ( 2089680 1162725 ) M1M2_PR
NEW met1 ( 2106000 1162725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[45\] ( soc la_oen[45] ) ( mgmt_buffers la_oen_mprj[45] )
+ ROUTED met2 ( 2107680 1197690 ) ( 2107920 1197690 )
NEW met2 ( 2107680 1197690 ) ( 2107680 1199170 0 )
NEW met2 ( 2330160 1117770 0 ) ( 2330160 1155325 )
NEW met1 ( 2107920 1155325 ) ( 2139600 1155325 )
NEW met1 ( 2139600 1154585 ) ( 2139600 1155325 )
NEW met1 ( 2139600 1154585 ) ( 2198160 1154585 )
NEW met1 ( 2198160 1154585 ) ( 2198160 1155325 )
NEW met2 ( 2107920 1155325 ) ( 2107920 1197690 )
NEW met1 ( 2198160 1155325 ) ( 2330160 1155325 )
NEW met1 ( 2330160 1155325 ) M1M2_PR
NEW met1 ( 2107920 1155325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[46\] ( soc la_oen[46] ) ( mgmt_buffers la_oen_mprj[46] )
+ ROUTED met1 ( 1967760 1151625 ) ( 2011440 1151625 )
NEW met2 ( 2011440 1151625 ) ( 2011440 1151810 )
NEW met3 ( 2011440 1151810 ) ( 2022000 1151810 )
NEW met2 ( 2022000 1150885 ) ( 2022000 1151810 )
NEW met1 ( 2022000 1150885 ) ( 2025360 1150885 )
NEW met1 ( 2025360 1150885 ) ( 2025360 1151255 )
NEW met2 ( 1967760 1117770 0 ) ( 1967760 1151625 )
NEW met2 ( 2067600 1151255 ) ( 2067600 1157545 )
NEW met2 ( 2109360 1197690 ) ( 2109600 1197690 )
NEW met2 ( 2109600 1197690 ) ( 2109600 1199170 0 )
NEW met1 ( 2025360 1151255 ) ( 2067600 1151255 )
NEW met2 ( 2106000 1157545 ) ( 2106000 1160505 )
NEW met1 ( 2106000 1160505 ) ( 2109360 1160505 )
NEW met1 ( 2067600 1157545 ) ( 2106000 1157545 )
NEW met2 ( 2109360 1160505 ) ( 2109360 1197690 )
NEW met1 ( 1967760 1151625 ) M1M2_PR
NEW met1 ( 2011440 1151625 ) M1M2_PR
NEW met2 ( 2011440 1151810 ) via2_FR
NEW met2 ( 2022000 1151810 ) via2_FR
NEW met1 ( 2022000 1150885 ) M1M2_PR
NEW met1 ( 2067600 1151255 ) M1M2_PR
NEW met1 ( 2067600 1157545 ) M1M2_PR
NEW met1 ( 2106000 1157545 ) M1M2_PR
NEW met1 ( 2106000 1160505 ) M1M2_PR
NEW met1 ( 2109360 1160505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[47\] ( soc la_oen[47] ) ( mgmt_buffers la_oen_mprj[47] )
+ ROUTED met2 ( 2132400 1153845 ) ( 2132400 1156805 )
NEW met1 ( 2111280 1156805 ) ( 2132400 1156805 )
NEW met2 ( 2111280 1156805 ) ( 2111280 1199170 0 )
NEW met1 ( 2132400 1153845 ) ( 2332080 1153845 )
NEW met2 ( 2332080 1117770 0 ) ( 2332080 1153845 )
NEW met1 ( 2132400 1153845 ) M1M2_PR
NEW met1 ( 2132400 1156805 ) M1M2_PR
NEW met1 ( 2111280 1156805 ) M1M2_PR
NEW met1 ( 2332080 1153845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[48\] ( soc la_oen[48] ) ( mgmt_buffers la_oen_mprj[48] )
+ ROUTED met4 ( 2206560 1139970 ) ( 2206560 1197690 )
NEW met4 ( 2206560 1197690 ) ( 2207520 1197690 )
NEW met2 ( 1965840 1117770 0 ) ( 1965840 1139970 )
NEW met4 ( 2207520 1197690 ) ( 2207520 1286490 )
NEW met3 ( 1965840 1139970 ) ( 2206560 1139970 )
NEW met2 ( 2047920 1263550 0 ) ( 2047920 1286490 )
NEW met3 ( 2047920 1286490 ) ( 2207520 1286490 )
NEW met2 ( 1965840 1139970 ) via2_FR
NEW met3 ( 2206560 1139970 ) M3M4_PR_M
NEW met3 ( 2207520 1286490 ) M3M4_PR_M
NEW met2 ( 2047920 1286490 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[49\] ( soc la_oen[49] ) ( mgmt_buffers la_oen_mprj[49] )
+ ROUTED met2 ( 2113200 1160505 ) ( 2113200 1199170 0 )
NEW met1 ( 2113200 1160505 ) ( 2334000 1160505 )
NEW met2 ( 2334000 1117770 0 ) ( 2334000 1160505 )
NEW met1 ( 2113200 1160505 ) M1M2_PR
NEW met1 ( 2334000 1160505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[4\] ( soc la_oen[4] ) ( mgmt_buffers la_oen_mprj[4] )
+ ROUTED met2 ( 1964160 1117770 0 ) ( 1964160 1119250 )
NEW met2 ( 1964160 1119250 ) ( 1964400 1119250 )
NEW met2 ( 1964400 1119250 ) ( 1964400 1191585 )
NEW met2 ( 2164560 1128870 ) ( 2164560 1136270 )
NEW met2 ( 2195280 1274650 ) ( 2195280 1276130 )
NEW met2 ( 2195280 1274650 ) ( 2197680 1274650 )
NEW met3 ( 2118240 1134790 ) ( 2118240 1136270 )
NEW met3 ( 2118240 1136270 ) ( 2164560 1136270 )
NEW met2 ( 2049840 1263550 0 ) ( 2049840 1276130 )
NEW met3 ( 2049840 1276130 ) ( 2195280 1276130 )
NEW met3 ( 2164560 1128870 ) ( 2270880 1128870 )
NEW met3 ( 2197680 1274650 ) ( 2270880 1274650 )
NEW met4 ( 2270880 1128870 ) ( 2270880 1274650 )
NEW met2 ( 2096880 1134790 ) ( 2096880 1153475 )
NEW met1 ( 2076720 1153475 ) ( 2096880 1153475 )
NEW met2 ( 2076720 1153475 ) ( 2076720 1170865 )
NEW met1 ( 2029680 1170865 ) ( 2076720 1170865 )
NEW met2 ( 2029680 1170865 ) ( 2029680 1191585 )
NEW met1 ( 1964400 1191585 ) ( 2029680 1191585 )
NEW met3 ( 2096880 1134790 ) ( 2118240 1134790 )
NEW met1 ( 1964400 1191585 ) M1M2_PR
NEW met2 ( 2164560 1136270 ) via2_FR
NEW met2 ( 2164560 1128870 ) via2_FR
NEW met2 ( 2195280 1276130 ) via2_FR
NEW met2 ( 2197680 1274650 ) via2_FR
NEW met2 ( 2049840 1276130 ) via2_FR
NEW met3 ( 2270880 1128870 ) M3M4_PR_M
NEW met3 ( 2270880 1274650 ) M3M4_PR_M
NEW met2 ( 2096880 1134790 ) via2_FR
NEW met1 ( 2096880 1153475 ) M1M2_PR
NEW met1 ( 2076720 1153475 ) M1M2_PR
NEW met1 ( 2076720 1170865 ) M1M2_PR
NEW met1 ( 2029680 1170865 ) M1M2_PR
NEW met1 ( 2029680 1191585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[50\] ( soc la_oen[50] ) ( mgmt_buffers la_oen_mprj[50] )
+ ROUTED met2 ( 2115120 1171235 ) ( 2115120 1199170 0 )
NEW met2 ( 2252880 1167905 ) ( 2252880 1171235 )
NEW met1 ( 2252880 1167905 ) ( 2335920 1167905 )
NEW met1 ( 2115120 1171235 ) ( 2252880 1171235 )
NEW met2 ( 2335920 1117770 0 ) ( 2335920 1167905 )
NEW met1 ( 2115120 1171235 ) M1M2_PR
NEW met1 ( 2252880 1171235 ) M1M2_PR
NEW met1 ( 2252880 1167905 ) M1M2_PR
NEW met1 ( 2335920 1167905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[51\] ( soc la_oen[51] ) ( mgmt_buffers la_oen_mprj[51] )
+ ROUTED met2 ( 1962240 1117770 0 ) ( 1962240 1119250 )
NEW met2 ( 1962240 1119250 ) ( 1962480 1119250 )
NEW met2 ( 1962480 1119250 ) ( 1962480 1168275 )
NEW met3 ( 2192160 1130350 ) ( 2192160 1131090 )
NEW met3 ( 2196960 1274650 ) ( 2196960 1275390 )
NEW met2 ( 2131440 1168275 ) ( 2131440 1170495 )
NEW met1 ( 2131440 1168275 ) ( 2141520 1168275 )
NEW met2 ( 2141520 1130350 ) ( 2141520 1168275 )
NEW met3 ( 2141520 1130350 ) ( 2192160 1130350 )
NEW met3 ( 2051760 1274650 ) ( 2196960 1274650 )
NEW met3 ( 2192160 1131090 ) ( 2267040 1131090 )
NEW met3 ( 2196960 1275390 ) ( 2267040 1275390 )
NEW met4 ( 2267040 1131090 ) ( 2267040 1275390 )
NEW met2 ( 2006160 1167350 ) ( 2006160 1168275 )
NEW met3 ( 2006160 1167350 ) ( 2017440 1167350 )
NEW met3 ( 2017440 1167350 ) ( 2017440 1168090 )
NEW met3 ( 2017440 1168090 ) ( 2054640 1168090 )
NEW met2 ( 2054640 1168090 ) ( 2054640 1170495 )
NEW met2 ( 2051520 1263550 0 ) ( 2051760 1263550 )
NEW met1 ( 1962480 1168275 ) ( 2006160 1168275 )
NEW met2 ( 2051760 1263550 ) ( 2051760 1274650 )
NEW met1 ( 2054640 1170495 ) ( 2131440 1170495 )
NEW met1 ( 1962480 1168275 ) M1M2_PR
NEW met1 ( 2131440 1170495 ) M1M2_PR
NEW met1 ( 2131440 1168275 ) M1M2_PR
NEW met1 ( 2141520 1168275 ) M1M2_PR
NEW met2 ( 2141520 1130350 ) via2_FR
NEW met2 ( 2051760 1274650 ) via2_FR
NEW met3 ( 2267040 1131090 ) M3M4_PR_M
NEW met3 ( 2267040 1275390 ) M3M4_PR_M
NEW met1 ( 2006160 1168275 ) M1M2_PR
NEW met2 ( 2006160 1167350 ) via2_FR
NEW met2 ( 2054640 1168090 ) via2_FR
NEW met1 ( 2054640 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[52\] ( soc la_oen[52] ) ( mgmt_buffers la_oen_mprj[52] )
+ ROUTED met2 ( 2117520 1162725 ) ( 2117520 1168090 )
NEW met2 ( 2117040 1168090 ) ( 2117520 1168090 )
NEW met2 ( 2117040 1168090 ) ( 2117040 1199170 0 )
NEW met2 ( 2337600 1117770 0 ) ( 2337600 1118510 )
NEW met2 ( 2337600 1118510 ) ( 2337840 1118510 )
NEW met2 ( 2337840 1118510 ) ( 2337840 1119990 )
NEW met2 ( 2336880 1119990 ) ( 2337840 1119990 )
NEW met2 ( 2336880 1119990 ) ( 2336880 1162725 )
NEW met2 ( 2219280 1162725 ) ( 2219280 1163095 )
NEW met2 ( 2219280 1163095 ) ( 2222160 1163095 )
NEW met2 ( 2222160 1161985 ) ( 2222160 1163095 )
NEW met1 ( 2222160 1161985 ) ( 2266800 1161985 )
NEW met2 ( 2266800 1161985 ) ( 2266800 1162170 )
NEW met3 ( 2266800 1162170 ) ( 2268720 1162170 )
NEW met2 ( 2268720 1162170 ) ( 2268720 1162725 )
NEW met1 ( 2117520 1162725 ) ( 2219280 1162725 )
NEW met1 ( 2268720 1162725 ) ( 2336880 1162725 )
NEW met1 ( 2117520 1162725 ) M1M2_PR
NEW met1 ( 2336880 1162725 ) M1M2_PR
NEW met1 ( 2219280 1162725 ) M1M2_PR
NEW met1 ( 2222160 1161985 ) M1M2_PR
NEW met1 ( 2266800 1161985 ) M1M2_PR
NEW met2 ( 2266800 1162170 ) via2_FR
NEW met2 ( 2268720 1162170 ) via2_FR
NEW met1 ( 2268720 1162725 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[53\] ( soc la_oen[53] ) ( mgmt_buffers la_oen_mprj[53] )
+ ROUTED met2 ( 2139120 1134050 ) ( 2139120 1154585 )
NEW met2 ( 2053440 1263550 0 ) ( 2053440 1265030 )
NEW met2 ( 2053440 1265030 ) ( 2053680 1265030 )
NEW met2 ( 2053680 1265030 ) ( 2053680 1278350 )
NEW met3 ( 2139120 1134050 ) ( 2265120 1134050 )
NEW met3 ( 2053680 1278350 ) ( 2265120 1278350 )
NEW met4 ( 2265120 1134050 ) ( 2265120 1278350 )
NEW met2 ( 2018640 1152365 ) ( 2018640 1154215 )
NEW met1 ( 2018640 1152365 ) ( 2046000 1152365 )
NEW met2 ( 2046000 1152365 ) ( 2046000 1154585 )
NEW met1 ( 1960560 1154215 ) ( 2018640 1154215 )
NEW met1 ( 2046000 1154585 ) ( 2139120 1154585 )
NEW met2 ( 1960560 1117770 0 ) ( 1960560 1154215 )
NEW met1 ( 1960560 1154215 ) M1M2_PR
NEW met1 ( 2139120 1154585 ) M1M2_PR
NEW met2 ( 2139120 1134050 ) via2_FR
NEW met2 ( 2053680 1278350 ) via2_FR
NEW met3 ( 2265120 1134050 ) M3M4_PR_M
NEW met3 ( 2265120 1278350 ) M3M4_PR_M
NEW met1 ( 2018640 1154215 ) M1M2_PR
NEW met1 ( 2018640 1152365 ) M1M2_PR
NEW met1 ( 2046000 1152365 ) M1M2_PR
NEW met1 ( 2046000 1154585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[54\] ( soc la_oen[54] ) ( mgmt_buffers la_oen_mprj[54] )
+ ROUTED met2 ( 2118960 1161985 ) ( 2118960 1197690 )
NEW met2 ( 2118720 1197690 ) ( 2118960 1197690 )
NEW met2 ( 2118720 1197690 ) ( 2118720 1199170 0 )
NEW met2 ( 2339520 1117770 0 ) ( 2339520 1119250 )
NEW met2 ( 2339280 1119250 ) ( 2339520 1119250 )
NEW met2 ( 2339280 1119250 ) ( 2339280 1161985 )
NEW met2 ( 2217840 1160690 ) ( 2217840 1161985 )
NEW met3 ( 2217840 1160690 ) ( 2267280 1160690 )
NEW met2 ( 2267280 1160690 ) ( 2267280 1161985 )
NEW met1 ( 2118960 1161985 ) ( 2217840 1161985 )
NEW met1 ( 2267280 1161985 ) ( 2339280 1161985 )
NEW met1 ( 2118960 1161985 ) M1M2_PR
NEW met1 ( 2339280 1161985 ) M1M2_PR
NEW met1 ( 2217840 1161985 ) M1M2_PR
NEW met2 ( 2217840 1160690 ) via2_FR
NEW met2 ( 2267280 1160690 ) via2_FR
NEW met1 ( 2267280 1161985 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[55\] ( soc la_oen[55] ) ( mgmt_buffers la_oen_mprj[55] )
+ ROUTED met3 ( 2196000 1272430 ) ( 2196000 1273170 )
NEW met2 ( 2138160 1134790 ) ( 2138160 1147185 )
NEW met2 ( 2055120 1263550 ) ( 2055360 1263550 0 )
NEW met2 ( 2055120 1263550 ) ( 2055120 1267250 )
NEW met3 ( 2055120 1267250 ) ( 2128560 1267250 )
NEW met2 ( 2128560 1267250 ) ( 2128560 1272430 )
NEW met3 ( 2128560 1272430 ) ( 2196000 1272430 )
NEW met3 ( 2138160 1134790 ) ( 2269920 1134790 )
NEW met3 ( 2196000 1273170 ) ( 2269920 1273170 )
NEW met4 ( 2269920 1134790 ) ( 2269920 1273170 )
NEW met1 ( 2017680 1153105 ) ( 2017680 1153475 )
NEW met1 ( 2017680 1153475 ) ( 2018640 1153475 )
NEW met1 ( 2018640 1153475 ) ( 2018640 1153845 )
NEW met1 ( 2018640 1153845 ) ( 2045520 1153845 )
NEW met2 ( 2065680 1147185 ) ( 2065680 1151810 )
NEW met1 ( 2065680 1147185 ) ( 2138160 1147185 )
NEW met1 ( 1958640 1153105 ) ( 2017680 1153105 )
NEW met2 ( 1958640 1117770 0 ) ( 1958640 1119250 )
NEW met3 ( 1958640 1119250 ) ( 1958880 1119250 )
NEW met4 ( 1958880 1119250 ) ( 1958880 1123690 )
NEW met3 ( 1958640 1123690 ) ( 1958880 1123690 )
NEW met2 ( 1958640 1123690 ) ( 1958640 1153105 )
NEW met2 ( 2045520 1151810 ) ( 2045520 1153845 )
NEW met3 ( 2045520 1151810 ) ( 2065680 1151810 )
NEW met1 ( 2138160 1147185 ) M1M2_PR
NEW met2 ( 2138160 1134790 ) via2_FR
NEW met2 ( 2055120 1267250 ) via2_FR
NEW met2 ( 2128560 1267250 ) via2_FR
NEW met2 ( 2128560 1272430 ) via2_FR
NEW met3 ( 2269920 1134790 ) M3M4_PR_M
NEW met3 ( 2269920 1273170 ) M3M4_PR_M
NEW met1 ( 2045520 1153845 ) M1M2_PR
NEW met2 ( 2065680 1151810 ) via2_FR
NEW met1 ( 2065680 1147185 ) M1M2_PR
NEW met1 ( 1958640 1153105 ) M1M2_PR
NEW met2 ( 2045520 1151810 ) via2_FR
NEW met2 ( 1958640 1119250 ) via2_FR
NEW met3 ( 1958880 1119250 ) M3M4_PR_M
NEW met3 ( 1958880 1123690 ) M3M4_PR_M
NEW met2 ( 1958640 1123690 ) via2_FR
NEW met3 ( 1958880 1119250 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1958880 1123690 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_oen_mprj\[56\] ( soc la_oen[56] ) ( mgmt_buffers la_oen_mprj[56] )
+ ROUTED met2 ( 2120640 1197690 ) ( 2120880 1197690 )
NEW met2 ( 2120640 1197690 ) ( 2120640 1199170 0 )
NEW met2 ( 2341200 1117770 0 ) ( 2341200 1154955 )
NEW met1 ( 2120880 1165685 ) ( 2201520 1165685 )
NEW met2 ( 2201520 1154955 ) ( 2201520 1165685 )
NEW met2 ( 2120880 1165685 ) ( 2120880 1197690 )
NEW met1 ( 2201520 1154955 ) ( 2341200 1154955 )
NEW met1 ( 2341200 1154955 ) M1M2_PR
NEW met1 ( 2120880 1165685 ) M1M2_PR
NEW met1 ( 2201520 1165685 ) M1M2_PR
NEW met1 ( 2201520 1154955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[57\] ( soc la_oen[57] ) ( mgmt_buffers la_oen_mprj[57] )
+ ROUTED met2 ( 1422000 1178265 ) ( 1422000 1264105 )
NEW met2 ( 1875600 1178265 ) ( 1875600 1179745 )
NEW met1 ( 1422000 1178265 ) ( 1875600 1178265 )
NEW met2 ( 2057040 1263550 0 ) ( 2057040 1264105 )
NEW met1 ( 1422000 1264105 ) ( 2057040 1264105 )
NEW met2 ( 1956720 1117770 0 ) ( 1956720 1147185 )
NEW met2 ( 1956720 1147185 ) ( 1957680 1147185 )
NEW met2 ( 1957680 1147185 ) ( 1957680 1179745 )
NEW met1 ( 1875600 1179745 ) ( 1957680 1179745 )
NEW met1 ( 1422000 1178265 ) M1M2_PR
NEW met1 ( 1422000 1264105 ) M1M2_PR
NEW met1 ( 1875600 1178265 ) M1M2_PR
NEW met1 ( 1875600 1179745 ) M1M2_PR
NEW met1 ( 2057040 1264105 ) M1M2_PR
NEW met1 ( 1957680 1179745 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[58\] ( soc la_oen[58] ) ( mgmt_buffers la_oen_mprj[58] )
+ ROUTED met2 ( 2343120 1117770 0 ) ( 2343120 1157175 )
NEW met1 ( 2122320 1177895 ) ( 2156880 1177895 )
NEW met2 ( 2156880 1157175 ) ( 2156880 1177895 )
NEW met2 ( 2122320 1177895 ) ( 2122320 1199170 0 )
NEW met1 ( 2156880 1157175 ) ( 2343120 1157175 )
NEW met1 ( 2343120 1157175 ) M1M2_PR
NEW met1 ( 2122320 1177895 ) M1M2_PR
NEW met1 ( 2156880 1177895 ) M1M2_PR
NEW met1 ( 2156880 1157175 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[59\] ( soc la_oen[59] ) ( mgmt_buffers la_oen_mprj[59] )
+ ROUTED met1 ( 1954800 1155325 ) ( 2018640 1155325 )
NEW met2 ( 2018640 1155325 ) ( 2018640 1157175 )
NEW met2 ( 1954800 1117770 0 ) ( 1954800 1155325 )
NEW met2 ( 2117040 1157175 ) ( 2117040 1167350 )
NEW met1 ( 2018640 1157175 ) ( 2117040 1157175 )
NEW met3 ( 2117040 1167350 ) ( 2215200 1167350 )
NEW met2 ( 2058960 1263550 0 ) ( 2058960 1293890 )
NEW met3 ( 2058960 1293890 ) ( 2214240 1293890 )
NEW met4 ( 2214240 1230435 ) ( 2215200 1230435 )
NEW met4 ( 2214240 1230435 ) ( 2214240 1293890 )
NEW met4 ( 2215200 1167350 ) ( 2215200 1230435 )
NEW met1 ( 1954800 1155325 ) M1M2_PR
NEW met1 ( 2018640 1155325 ) M1M2_PR
NEW met1 ( 2018640 1157175 ) M1M2_PR
NEW met3 ( 2215200 1167350 ) M3M4_PR_M
NEW met3 ( 2214240 1293890 ) M3M4_PR_M
NEW met1 ( 2117040 1157175 ) M1M2_PR
NEW met2 ( 2117040 1167350 ) via2_FR
NEW met2 ( 2058960 1293890 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[5\] ( soc la_oen[5] ) ( mgmt_buffers la_oen_mprj[5] )
+ ROUTED met2 ( 2124240 1164575 ) ( 2124240 1199170 0 )
NEW met2 ( 2345040 1117770 0 ) ( 2345040 1164575 )
NEW met1 ( 2124240 1164575 ) ( 2345040 1164575 )
NEW met1 ( 2124240 1164575 ) M1M2_PR
NEW met1 ( 2345040 1164575 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[60\] ( soc la_oen[60] ) ( mgmt_buffers la_oen_mprj[60] )
+ ROUTED met2 ( 1427760 1179005 ) ( 1427760 1272985 )
NEW met2 ( 1953120 1117770 0 ) ( 1953120 1119250 )
NEW met2 ( 1952880 1119250 ) ( 1953120 1119250 )
NEW met2 ( 1952880 1119250 ) ( 1952880 1173085 )
NEW met2 ( 1894320 1173085 ) ( 1894320 1179005 )
NEW met1 ( 1427760 1179005 ) ( 1894320 1179005 )
NEW met1 ( 1894320 1173085 ) ( 1952880 1173085 )
NEW met2 ( 2060880 1263550 0 ) ( 2060880 1272985 )
NEW met1 ( 1427760 1272985 ) ( 2060880 1272985 )
NEW met1 ( 1427760 1179005 ) M1M2_PR
NEW met1 ( 1952880 1173085 ) M1M2_PR
NEW met1 ( 1427760 1272985 ) M1M2_PR
NEW met1 ( 1894320 1179005 ) M1M2_PR
NEW met1 ( 1894320 1173085 ) M1M2_PR
NEW met1 ( 2060880 1272985 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[61\] ( soc la_oen[61] ) ( mgmt_buffers la_oen_mprj[61] )
+ ROUTED met2 ( 2126160 1169385 ) ( 2126160 1199170 0 )
NEW met1 ( 2126160 1169385 ) ( 2346960 1169385 )
NEW met2 ( 2346960 1117770 0 ) ( 2346960 1169385 )
NEW met1 ( 2126160 1169385 ) M1M2_PR
NEW met1 ( 2346960 1169385 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[62\] ( soc la_oen[62] ) ( mgmt_buffers la_oen_mprj[62] )
+ ROUTED met4 ( 2230560 1185110 ) ( 2230560 1291670 )
NEW met2 ( 2062560 1263550 0 ) ( 2062800 1263550 )
NEW met2 ( 2062800 1263550 ) ( 2062800 1291670 )
NEW met3 ( 2062800 1291670 ) ( 2230560 1291670 )
NEW met2 ( 1951200 1117770 0 ) ( 1951200 1118510 )
NEW met2 ( 1951200 1118510 ) ( 1951440 1118510 )
NEW met2 ( 1951440 1118510 ) ( 1951440 1142005 )
NEW met1 ( 1951440 1142005 ) ( 1997040 1142005 )
NEW met2 ( 1997040 1142005 ) ( 1997040 1185110 )
NEW met3 ( 1997040 1185110 ) ( 2230560 1185110 )
NEW met3 ( 2230560 1185110 ) M3M4_PR_M
NEW met3 ( 2230560 1291670 ) M3M4_PR_M
NEW met2 ( 2062800 1291670 ) via2_FR
NEW met1 ( 1951440 1142005 ) M1M2_PR
NEW met1 ( 1997040 1142005 ) M1M2_PR
NEW met2 ( 1997040 1185110 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[63\] ( soc la_oen[63] ) ( mgmt_buffers la_oen_mprj[63] )
+ ROUTED met2 ( 2195760 1141635 ) ( 2195760 1150885 )
NEW met2 ( 2348640 1117770 0 ) ( 2348640 1119250 )
NEW met2 ( 2348400 1119250 ) ( 2348640 1119250 )
NEW met2 ( 2348400 1119250 ) ( 2348400 1141635 )
NEW met2 ( 2128080 1150885 ) ( 2128080 1199170 0 )
NEW met1 ( 2128080 1150885 ) ( 2195760 1150885 )
NEW met1 ( 2195760 1141635 ) ( 2348400 1141635 )
NEW met1 ( 2195760 1150885 ) M1M2_PR
NEW met1 ( 2195760 1141635 ) M1M2_PR
NEW met1 ( 2348400 1141635 ) M1M2_PR
NEW met1 ( 2128080 1150885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[64\] ( soc la_oen[64] ) ( mgmt_buffers la_oen_mprj[64] )
+ ROUTED met2 ( 1949520 1117770 0 ) ( 1949520 1154585 )
NEW met2 ( 2161680 1133310 ) ( 2161680 1135530 )
NEW met3 ( 2161680 1133310 ) ( 2242080 1133310 )
NEW met4 ( 2242080 1133310 ) ( 2242080 1285750 )
NEW met2 ( 2130480 1135530 ) ( 2130480 1154215 )
NEW met3 ( 2130480 1135530 ) ( 2161680 1135530 )
NEW met2 ( 2064480 1263550 0 ) ( 2064480 1264290 )
NEW met2 ( 2064480 1264290 ) ( 2064720 1264290 )
NEW met2 ( 2064720 1264290 ) ( 2064720 1285750 )
NEW met3 ( 2064720 1285750 ) ( 2242080 1285750 )
NEW met1 ( 2045520 1154215 ) ( 2045520 1154585 )
NEW met1 ( 1949520 1154585 ) ( 2045520 1154585 )
NEW met1 ( 2045520 1154215 ) ( 2130480 1154215 )
NEW met1 ( 1949520 1154585 ) M1M2_PR
NEW met2 ( 2161680 1135530 ) via2_FR
NEW met2 ( 2161680 1133310 ) via2_FR
NEW met3 ( 2242080 1133310 ) M3M4_PR_M
NEW met3 ( 2242080 1285750 ) M3M4_PR_M
NEW met1 ( 2130480 1154215 ) M1M2_PR
NEW met2 ( 2130480 1135530 ) via2_FR
NEW met2 ( 2064720 1285750 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[65\] ( soc la_oen[65] ) ( mgmt_buffers la_oen_mprj[65] )
+ ROUTED met2 ( 2350560 1117770 0 ) ( 2350560 1119250 )
NEW met2 ( 2350320 1119250 ) ( 2350560 1119250 )
NEW met2 ( 2350320 1119250 ) ( 2350320 1170865 )
NEW met2 ( 2130000 1170865 ) ( 2130000 1197690 )
NEW met2 ( 2129760 1197690 ) ( 2130000 1197690 )
NEW met2 ( 2129760 1197690 ) ( 2129760 1199170 0 )
NEW met1 ( 2130000 1170865 ) ( 2350320 1170865 )
NEW met1 ( 2350320 1170865 ) M1M2_PR
NEW met1 ( 2130000 1170865 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[66\] ( soc la_oen[66] ) ( mgmt_buffers la_oen_mprj[66] )
+ ROUTED met2 ( 1947600 1117770 0 ) ( 1947600 1153845 )
NEW met2 ( 2119920 1127390 ) ( 2119920 1153105 )
NEW met2 ( 2066160 1263550 ) ( 2066400 1263550 0 )
NEW met2 ( 2066160 1263550 ) ( 2066160 1292410 )
NEW met3 ( 2119920 1127390 ) ( 2255520 1127390 )
NEW met3 ( 2066160 1292410 ) ( 2255520 1292410 )
NEW met4 ( 2255520 1127390 ) ( 2255520 1292410 )
NEW met2 ( 2018160 1153105 ) ( 2018160 1153845 )
NEW met1 ( 1947600 1153845 ) ( 2018160 1153845 )
NEW met1 ( 2018160 1153105 ) ( 2119920 1153105 )
NEW met1 ( 1947600 1153845 ) M1M2_PR
NEW met1 ( 2119920 1153105 ) M1M2_PR
NEW met2 ( 2119920 1127390 ) via2_FR
NEW met2 ( 2066160 1292410 ) via2_FR
NEW met3 ( 2255520 1127390 ) M3M4_PR_M
NEW met3 ( 2255520 1292410 ) M3M4_PR_M
NEW met1 ( 2018160 1153845 ) M1M2_PR
NEW met1 ( 2018160 1153105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[67\] ( soc la_oen[67] ) ( mgmt_buffers la_oen_mprj[67] )
+ ROUTED met2 ( 2352240 1117770 0 ) ( 2352240 1156435 )
NEW met2 ( 2133360 1156435 ) ( 2133360 1156990 )
NEW met2 ( 2132880 1156990 ) ( 2133360 1156990 )
NEW met2 ( 2132880 1156990 ) ( 2132880 1197690 )
NEW met2 ( 2131680 1197690 ) ( 2132880 1197690 )
NEW met2 ( 2131680 1197690 ) ( 2131680 1199170 0 )
NEW met1 ( 2133360 1156435 ) ( 2352240 1156435 )
NEW met1 ( 2352240 1156435 ) M1M2_PR
NEW met1 ( 2133360 1156435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[68\] ( soc la_oen[68] ) ( mgmt_buffers la_oen_mprj[68] )
+ ROUTED met3 ( 2184480 1265770 ) ( 2184480 1266510 )
NEW met3 ( 2184480 1266510 ) ( 2223840 1266510 )
NEW met4 ( 2223840 1184370 ) ( 2223840 1266510 )
NEW met2 ( 1945680 1117770 0 ) ( 1945680 1145890 )
NEW met2 ( 1945680 1145890 ) ( 1946160 1145890 )
NEW met2 ( 1946160 1145890 ) ( 1946160 1182705 )
NEW met2 ( 1996080 1182705 ) ( 1996080 1185110 )
NEW met3 ( 1996080 1185110 ) ( 1996320 1185110 )
NEW met4 ( 1996320 1183815 ) ( 1996320 1185110 )
NEW met5 ( 1996320 1183815 ) ( 2056800 1183815 )
NEW met4 ( 2056800 1183815 ) ( 2056800 1184370 )
NEW met2 ( 2068080 1263550 0 ) ( 2068080 1265770 )
NEW met1 ( 1946160 1182705 ) ( 1996080 1182705 )
NEW met3 ( 2056800 1184370 ) ( 2223840 1184370 )
NEW met3 ( 2068080 1265770 ) ( 2184480 1265770 )
NEW met3 ( 2223840 1184370 ) M3M4_PR_M
NEW met3 ( 2223840 1266510 ) M3M4_PR_M
NEW met1 ( 1946160 1182705 ) M1M2_PR
NEW met1 ( 1996080 1182705 ) M1M2_PR
NEW met2 ( 1996080 1185110 ) via2_FR
NEW met3 ( 1996320 1185110 ) M3M4_PR_M
NEW met4 ( 1996320 1183815 ) via4_FR
NEW met4 ( 2056800 1183815 ) via4_FR
NEW met3 ( 2056800 1184370 ) M3M4_PR_M
NEW met2 ( 2068080 1265770 ) via2_FR
NEW met3 ( 1996080 1185110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_oen_mprj\[69\] ( soc la_oen[69] ) ( mgmt_buffers la_oen_mprj[69] )
+ ROUTED met2 ( 2354160 1117770 0 ) ( 2354160 1161245 )
NEW met2 ( 2133360 1161245 ) ( 2133360 1199170 0 )
NEW met1 ( 2133360 1161245 ) ( 2354160 1161245 )
NEW met1 ( 2354160 1161245 ) M1M2_PR
NEW met1 ( 2133360 1161245 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[6\] ( soc la_oen[6] ) ( mgmt_buffers la_oen_mprj[6] )
+ ROUTED met2 ( 1414320 1246530 ) ( 1414800 1246530 )
NEW met2 ( 1414800 1246530 ) ( 1414800 1272615 )
NEW met2 ( 1414320 1147185 ) ( 1414320 1246530 )
NEW met2 ( 1851120 1144595 ) ( 1851120 1147185 )
NEW met1 ( 1851120 1144595 ) ( 1903920 1144595 )
NEW met1 ( 1903920 1143485 ) ( 1903920 1144595 )
NEW met1 ( 1903920 1143485 ) ( 1943760 1143485 )
NEW met1 ( 1414320 1147185 ) ( 1851120 1147185 )
NEW met2 ( 2070000 1263550 0 ) ( 2070000 1272615 )
NEW met1 ( 1414800 1272615 ) ( 2070000 1272615 )
NEW met2 ( 1943760 1117770 0 ) ( 1943760 1119250 )
NEW met3 ( 1943520 1119250 ) ( 1943760 1119250 )
NEW met4 ( 1943520 1119250 ) ( 1943520 1123690 )
NEW met3 ( 1943520 1123690 ) ( 1943760 1123690 )
NEW met2 ( 1943760 1123690 ) ( 1943760 1143485 )
NEW met1 ( 1414320 1147185 ) M1M2_PR
NEW met1 ( 1414800 1272615 ) M1M2_PR
NEW met1 ( 1851120 1147185 ) M1M2_PR
NEW met1 ( 1851120 1144595 ) M1M2_PR
NEW met1 ( 1943760 1143485 ) M1M2_PR
NEW met1 ( 2070000 1272615 ) M1M2_PR
NEW met2 ( 1943760 1119250 ) via2_FR
NEW met3 ( 1943520 1119250 ) M3M4_PR_M
NEW met3 ( 1943520 1123690 ) M3M4_PR_M
NEW met2 ( 1943760 1123690 ) via2_FR
NEW met3 ( 1943520 1119250 ) RECT ( -380 -150 0 150 )
NEW met3 ( 1943520 1123690 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_oen_mprj\[70\] ( soc la_oen[70] ) ( mgmt_buffers la_oen_mprj[70] )
+ ROUTED met2 ( 2356080 1117770 0 ) ( 2356080 1153475 )
NEW met2 ( 2135280 1153475 ) ( 2135280 1199170 0 )
NEW met1 ( 2135280 1153475 ) ( 2356080 1153475 )
NEW met1 ( 2356080 1153475 ) M1M2_PR
NEW met1 ( 2135280 1153475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[71\] ( soc la_oen[71] ) ( mgmt_buffers la_oen_mprj[71] )
+ ROUTED met2 ( 1427280 1179375 ) ( 1427280 1273355 )
NEW met2 ( 1871760 1169385 ) ( 1871760 1179375 )
NEW met1 ( 1871760 1169385 ) ( 1941840 1169385 )
NEW met1 ( 1427280 1179375 ) ( 1871760 1179375 )
NEW met2 ( 2071920 1263550 0 ) ( 2071920 1273355 )
NEW met1 ( 1427280 1273355 ) ( 2071920 1273355 )
NEW met2 ( 1942080 1117770 0 ) ( 1942080 1119065 )
NEW met2 ( 1941840 1119065 ) ( 1942080 1119065 )
NEW met2 ( 1941840 1119065 ) ( 1941840 1169385 )
NEW met1 ( 1427280 1179375 ) M1M2_PR
NEW met1 ( 1427280 1273355 ) M1M2_PR
NEW met1 ( 1871760 1179375 ) M1M2_PR
NEW met1 ( 1871760 1169385 ) M1M2_PR
NEW met1 ( 1941840 1169385 ) M1M2_PR
NEW met1 ( 2071920 1273355 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[72\] ( soc la_oen[72] ) ( mgmt_buffers la_oen_mprj[72] )
+ ROUTED met2 ( 2358000 1117770 0 ) ( 2358000 1170495 )
NEW met2 ( 2137200 1170495 ) ( 2137200 1199170 0 )
NEW met1 ( 2137200 1170495 ) ( 2358000 1170495 )
NEW met1 ( 2358000 1170495 ) M1M2_PR
NEW met1 ( 2137200 1170495 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[73\] ( soc la_oen[73] ) ( mgmt_buffers la_oen_mprj[73] )
+ ROUTED met4 ( 2212320 1183630 ) ( 2212320 1194730 )
NEW met3 ( 2212320 1194730 ) ( 2229600 1194730 )
NEW met4 ( 2213280 1267990 ) ( 2213280 1294630 )
NEW met3 ( 2213280 1267990 ) ( 2229600 1267990 )
NEW met4 ( 2229600 1194730 ) ( 2229600 1267990 )
NEW met3 ( 1939920 1183630 ) ( 2212320 1183630 )
NEW met2 ( 1940160 1117770 0 ) ( 1940160 1119250 )
NEW met2 ( 1939920 1119250 ) ( 1940160 1119250 )
NEW met2 ( 1939920 1119250 ) ( 1939920 1183630 )
NEW met2 ( 2073600 1263550 0 ) ( 2073840 1263550 )
NEW met2 ( 2073840 1263550 ) ( 2073840 1294630 )
NEW met3 ( 2073840 1294630 ) ( 2213280 1294630 )
NEW met3 ( 2212320 1183630 ) M3M4_PR_M
NEW met3 ( 2212320 1194730 ) M3M4_PR_M
NEW met3 ( 2229600 1194730 ) M3M4_PR_M
NEW met3 ( 2213280 1294630 ) M3M4_PR_M
NEW met3 ( 2213280 1267990 ) M3M4_PR_M
NEW met3 ( 2229600 1267990 ) M3M4_PR_M
NEW met2 ( 1939920 1183630 ) via2_FR
NEW met2 ( 2073840 1294630 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[74\] ( soc la_oen[74] ) ( mgmt_buffers la_oen_mprj[74] )
+ ROUTED met2 ( 2196240 1141265 ) ( 2196240 1151995 )
NEW met2 ( 2359680 1117770 0 ) ( 2359680 1119250 )
NEW met2 ( 2359440 1119250 ) ( 2359680 1119250 )
NEW met2 ( 2359440 1119250 ) ( 2359440 1141265 )
NEW met2 ( 2142480 1151995 ) ( 2142480 1167905 )
NEW met1 ( 2139120 1167905 ) ( 2142480 1167905 )
NEW met2 ( 2139120 1167905 ) ( 2139120 1199170 0 )
NEW met1 ( 2142480 1151995 ) ( 2196240 1151995 )
NEW met1 ( 2196240 1141265 ) ( 2359440 1141265 )
NEW met1 ( 2196240 1151995 ) M1M2_PR
NEW met1 ( 2196240 1141265 ) M1M2_PR
NEW met1 ( 2359440 1141265 ) M1M2_PR
NEW met1 ( 2142480 1151995 ) M1M2_PR
NEW met1 ( 2142480 1167905 ) M1M2_PR
NEW met1 ( 2139120 1167905 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[75\] ( soc la_oen[75] ) ( mgmt_buffers la_oen_mprj[75] )
+ ROUTED met2 ( 2160720 1131830 ) ( 2160720 1133310 )
NEW met3 ( 2160720 1131830 ) ( 2234400 1131830 )
NEW met4 ( 2234400 1131830 ) ( 2234400 1293150 )
NEW met2 ( 1938480 1117770 0 ) ( 1938480 1153475 )
NEW met2 ( 2130960 1133310 ) ( 2130960 1153845 )
NEW met3 ( 2130960 1133310 ) ( 2160720 1133310 )
NEW met2 ( 2075520 1263550 0 ) ( 2075520 1264290 )
NEW met2 ( 2075520 1264290 ) ( 2075760 1264290 )
NEW met2 ( 2075760 1264290 ) ( 2075760 1293150 )
NEW met3 ( 2075760 1293150 ) ( 2234400 1293150 )
NEW met2 ( 2016720 1151625 ) ( 2016720 1153475 )
NEW met2 ( 2016720 1151625 ) ( 2019600 1151625 )
NEW met2 ( 2019600 1151625 ) ( 2019600 1153475 )
NEW met1 ( 2019600 1153475 ) ( 2046000 1153475 )
NEW met1 ( 2046000 1153475 ) ( 2046000 1153845 )
NEW met1 ( 1938480 1153475 ) ( 2016720 1153475 )
NEW met1 ( 2046000 1153845 ) ( 2130960 1153845 )
NEW met2 ( 2160720 1133310 ) via2_FR
NEW met2 ( 2160720 1131830 ) via2_FR
NEW met3 ( 2234400 1131830 ) M3M4_PR_M
NEW met3 ( 2234400 1293150 ) M3M4_PR_M
NEW met1 ( 1938480 1153475 ) M1M2_PR
NEW met1 ( 2130960 1153845 ) M1M2_PR
NEW met2 ( 2130960 1133310 ) via2_FR
NEW met2 ( 2075760 1293150 ) via2_FR
NEW met1 ( 2016720 1153475 ) M1M2_PR
NEW met1 ( 2019600 1153475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[76\] ( soc la_oen[76] ) ( mgmt_buffers la_oen_mprj[76] )
+ ROUTED met2 ( 2361600 1117770 0 ) ( 2361600 1119250 )
NEW met2 ( 2361360 1119250 ) ( 2361600 1119250 )
NEW met2 ( 2361360 1119250 ) ( 2361360 1156805 )
NEW met2 ( 2140800 1197690 ) ( 2141040 1197690 )
NEW met2 ( 2140800 1197690 ) ( 2140800 1199170 0 )
NEW met2 ( 2141040 1156805 ) ( 2141040 1197690 )
NEW met1 ( 2141040 1156805 ) ( 2361360 1156805 )
NEW met1 ( 2361360 1156805 ) M1M2_PR
NEW met1 ( 2141040 1156805 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[77\] ( soc la_oen[77] ) ( mgmt_buffers la_oen_mprj[77] )
+ ROUTED met2 ( 1992720 1151255 ) ( 1992720 1196210 )
NEW met3 ( 2218080 1264290 ) ( 2218080 1265030 )
NEW met4 ( 2218080 1196210 ) ( 2218080 1265030 )
NEW met1 ( 1936560 1151255 ) ( 1992720 1151255 )
NEW met3 ( 1992720 1196210 ) ( 2218080 1196210 )
NEW met2 ( 1936560 1117770 0 ) ( 1936560 1151255 )
NEW met2 ( 2077200 1263550 ) ( 2077440 1263550 0 )
NEW met2 ( 2077200 1263550 ) ( 2077200 1265030 )
NEW met3 ( 2077200 1265030 ) ( 2077920 1265030 )
NEW met3 ( 2077920 1264290 ) ( 2077920 1265030 )
NEW met3 ( 2077920 1264290 ) ( 2218080 1264290 )
NEW met1 ( 1992720 1151255 ) M1M2_PR
NEW met2 ( 1992720 1196210 ) via2_FR
NEW met3 ( 2218080 1196210 ) M3M4_PR_M
NEW met3 ( 2218080 1265030 ) M3M4_PR_M
NEW met1 ( 1936560 1151255 ) M1M2_PR
NEW met2 ( 2077200 1265030 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[78\] ( soc la_oen[78] ) ( mgmt_buffers la_oen_mprj[78] )
+ ROUTED met1 ( 2180400 1141635 ) ( 2180400 1142005 )
NEW met2 ( 2363280 1117770 0 ) ( 2363280 1142005 )
NEW met2 ( 2143440 1141635 ) ( 2143440 1197690 )
NEW met2 ( 2142720 1197690 ) ( 2143440 1197690 )
NEW met2 ( 2142720 1197690 ) ( 2142720 1199170 0 )
NEW met1 ( 2143440 1141635 ) ( 2180400 1141635 )
NEW met1 ( 2180400 1142005 ) ( 2363280 1142005 )
NEW met1 ( 2363280 1142005 ) M1M2_PR
NEW met1 ( 2143440 1141635 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[79\] ( soc la_oen[79] ) ( mgmt_buffers la_oen_mprj[79] )
+ ROUTED met3 ( 2196000 1265030 ) ( 2196000 1265770 )
NEW met1 ( 1934640 1137935 ) ( 1994640 1137935 )
NEW met2 ( 2079120 1263550 0 ) ( 2079120 1265030 )
NEW met3 ( 2079120 1265030 ) ( 2196000 1265030 )
NEW met3 ( 2196000 1265770 ) ( 2254560 1265770 )
NEW met4 ( 2230560 1116290 ) ( 2230560 1163650 )
NEW met3 ( 2230560 1163650 ) ( 2254560 1163650 )
NEW met4 ( 2254560 1163650 ) ( 2254560 1265770 )
NEW met3 ( 1994640 1119990 ) ( 1999200 1119990 )
NEW met3 ( 1999200 1118510 ) ( 1999200 1119990 )
NEW met2 ( 1934640 1117770 0 ) ( 1934640 1137935 )
NEW met2 ( 1994640 1119990 ) ( 1994640 1137935 )
NEW met4 ( 2065440 1116290 ) ( 2065440 1118510 )
NEW met3 ( 2065440 1116290 ) ( 2087520 1116290 )
NEW met4 ( 2087520 1116290 ) ( 2088480 1116290 )
NEW met3 ( 1999200 1118510 ) ( 2065440 1118510 )
NEW met3 ( 2088480 1116290 ) ( 2230560 1116290 )
NEW met1 ( 1994640 1137935 ) M1M2_PR
NEW met1 ( 1934640 1137935 ) M1M2_PR
NEW met2 ( 2079120 1265030 ) via2_FR
NEW met3 ( 2254560 1265770 ) M3M4_PR_M
NEW met3 ( 2230560 1116290 ) M3M4_PR_M
NEW met3 ( 2230560 1163650 ) M3M4_PR_M
NEW met3 ( 2254560 1163650 ) M3M4_PR_M
NEW met2 ( 1994640 1119990 ) via2_FR
NEW met3 ( 2065440 1118510 ) M3M4_PR_M
NEW met3 ( 2065440 1116290 ) M3M4_PR_M
NEW met3 ( 2087520 1116290 ) M3M4_PR_M
NEW met3 ( 2088480 1116290 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[7\] ( soc la_oen[7] ) ( mgmt_buffers la_oen_mprj[7] )
+ ROUTED met2 ( 2353200 1165315 ) ( 2353200 1170125 )
NEW met1 ( 2353200 1165315 ) ( 2365200 1165315 )
NEW met2 ( 2365200 1117770 0 ) ( 2365200 1165315 )
NEW met2 ( 2144400 1170125 ) ( 2144400 1199170 0 )
NEW met1 ( 2144400 1170125 ) ( 2353200 1170125 )
NEW met1 ( 2353200 1170125 ) M1M2_PR
NEW met1 ( 2353200 1165315 ) M1M2_PR
NEW met1 ( 2365200 1165315 ) M1M2_PR
NEW met1 ( 2144400 1170125 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[80\] ( soc la_oen[80] ) ( mgmt_buffers la_oen_mprj[80] )
+ ROUTED met3 ( 2147280 1128870 ) ( 2160480 1128870 )
NEW met3 ( 2160480 1128870 ) ( 2160480 1129610 )
NEW met3 ( 2160480 1129610 ) ( 2235120 1129610 )
NEW met2 ( 2235120 1129610 ) ( 2235120 1131830 )
NEW met2 ( 2147280 1128870 ) ( 2147280 1168645 )
NEW met2 ( 2058960 1157545 ) ( 2058960 1167905 )
NEW met1 ( 2058960 1167905 ) ( 2094960 1167905 )
NEW met1 ( 2094960 1167905 ) ( 2094960 1168645 )
NEW met1 ( 1932720 1157545 ) ( 2058960 1157545 )
NEW met1 ( 2094960 1168645 ) ( 2147280 1168645 )
NEW met2 ( 1932720 1117770 0 ) ( 1932720 1157545 )
NEW met2 ( 2081040 1263550 0 ) ( 2081040 1268730 )
NEW met3 ( 2235120 1131830 ) ( 2264160 1131830 )
NEW met3 ( 2081040 1268730 ) ( 2264160 1268730 )
NEW met4 ( 2264160 1131830 ) ( 2264160 1268730 )
NEW met1 ( 2147280 1168645 ) M1M2_PR
NEW met2 ( 2147280 1128870 ) via2_FR
NEW met2 ( 2235120 1129610 ) via2_FR
NEW met2 ( 2235120 1131830 ) via2_FR
NEW met1 ( 1932720 1157545 ) M1M2_PR
NEW met1 ( 2058960 1157545 ) M1M2_PR
NEW met1 ( 2058960 1167905 ) M1M2_PR
NEW met2 ( 2081040 1268730 ) via2_FR
NEW met3 ( 2264160 1131830 ) M3M4_PR_M
NEW met3 ( 2264160 1268730 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[81\] ( soc la_oen[81] ) ( mgmt_buffers la_oen_mprj[81] )
+ ROUTED met2 ( 2146320 1161615 ) ( 2146320 1199170 0 )
NEW met2 ( 2367120 1117770 0 ) ( 2367120 1161615 )
NEW met1 ( 2146320 1161615 ) ( 2367120 1161615 )
NEW met1 ( 2146320 1161615 ) M1M2_PR
NEW met1 ( 2367120 1161615 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[82\] ( soc la_oen[82] ) ( mgmt_buffers la_oen_mprj[82] )
+ ROUTED met2 ( 2082960 1263550 0 ) ( 2082960 1264475 )
NEW met3 ( 1931280 1125170 ) ( 2001360 1125170 )
NEW met3 ( 2147040 1118510 ) ( 2147040 1119250 )
NEW met3 ( 2147040 1118510 ) ( 2148960 1118510 )
NEW met3 ( 2148960 1117770 ) ( 2148960 1118510 )
NEW met3 ( 2148960 1117770 ) ( 2252640 1117770 )
NEW met2 ( 2225040 1264475 ) ( 2225040 1266510 )
NEW met3 ( 2225040 1266510 ) ( 2252640 1266510 )
NEW met1 ( 2082960 1264475 ) ( 2225040 1264475 )
NEW met4 ( 2252640 1117770 ) ( 2252640 1266510 )
NEW met2 ( 1931040 1117770 0 ) ( 1931040 1119065 )
NEW met2 ( 1931040 1119065 ) ( 1931280 1119065 )
NEW met3 ( 2001360 1119250 ) ( 2028000 1119250 )
NEW met4 ( 2028000 1119250 ) ( 2029920 1119250 )
NEW met2 ( 1931280 1119065 ) ( 1931280 1125170 )
NEW met2 ( 2001360 1119250 ) ( 2001360 1125170 )
NEW met3 ( 2029920 1119250 ) ( 2147040 1119250 )
NEW met1 ( 2082960 1264475 ) M1M2_PR
NEW met3 ( 2252640 1117770 ) M3M4_PR_M
NEW met2 ( 1931280 1125170 ) via2_FR
NEW met2 ( 2001360 1125170 ) via2_FR
NEW met1 ( 2225040 1264475 ) M1M2_PR
NEW met2 ( 2225040 1266510 ) via2_FR
NEW met3 ( 2252640 1266510 ) M3M4_PR_M
NEW met2 ( 2001360 1119250 ) via2_FR
NEW met3 ( 2028000 1119250 ) M3M4_PR_M
NEW met3 ( 2029920 1119250 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[83\] ( soc la_oen[83] ) ( mgmt_buffers la_oen_mprj[83] )
+ ROUTED met2 ( 2369040 1117770 0 ) ( 2369040 1155695 )
NEW met1 ( 2148240 1155325 ) ( 2196720 1155325 )
NEW met1 ( 2196720 1155325 ) ( 2196720 1155695 )
NEW met2 ( 2148240 1155325 ) ( 2148240 1199170 0 )
NEW met1 ( 2196720 1155695 ) ( 2369040 1155695 )
NEW met1 ( 2369040 1155695 ) M1M2_PR
NEW met1 ( 2148240 1155325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[84\] ( soc la_oen[84] ) ( mgmt_buffers la_oen_mprj[84] )
+ ROUTED met3 ( 2152800 1123690 ) ( 2152800 1124430 )
NEW met3 ( 2152800 1123690 ) ( 2157840 1123690 )
NEW met2 ( 2157840 1123690 ) ( 2157840 1126465 )
NEW met1 ( 2157840 1126465 ) ( 2165520 1126465 )
NEW met2 ( 2165520 1126465 ) ( 2165520 1128130 )
NEW met3 ( 2165520 1128130 ) ( 2237280 1128130 )
NEW met2 ( 2232240 1265030 ) ( 2232240 1265585 )
NEW met3 ( 2232240 1265030 ) ( 2237280 1265030 )
NEW met4 ( 2237280 1128130 ) ( 2237280 1265030 )
NEW met2 ( 2084640 1263550 0 ) ( 2084880 1263550 )
NEW met2 ( 2084880 1263550 ) ( 2084880 1265585 )
NEW met1 ( 2084880 1265585 ) ( 2232240 1265585 )
NEW met2 ( 1929120 1117770 0 ) ( 1929120 1118510 )
NEW met2 ( 1929120 1118510 ) ( 1929360 1118510 )
NEW met2 ( 1929360 1118510 ) ( 1929360 1124430 )
NEW met3 ( 1929360 1124430 ) ( 2152800 1124430 )
NEW met2 ( 2157840 1123690 ) via2_FR
NEW met1 ( 2157840 1126465 ) M1M2_PR
NEW met1 ( 2165520 1126465 ) M1M2_PR
NEW met2 ( 2165520 1128130 ) via2_FR
NEW met3 ( 2237280 1128130 ) M3M4_PR_M
NEW met1 ( 2232240 1265585 ) M1M2_PR
NEW met2 ( 2232240 1265030 ) via2_FR
NEW met3 ( 2237280 1265030 ) M3M4_PR_M
NEW met1 ( 2084880 1265585 ) M1M2_PR
NEW met2 ( 1929360 1124430 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[85\] ( soc la_oen[85] ) ( mgmt_buffers la_oen_mprj[85] )
+ ROUTED met2 ( 2198640 1154585 ) ( 2198640 1155510 )
NEW met2 ( 2196240 1155510 ) ( 2198640 1155510 )
NEW met2 ( 2196240 1155510 ) ( 2196240 1155695 )
NEW met1 ( 2160240 1155695 ) ( 2196240 1155695 )
NEW met2 ( 2160240 1154770 ) ( 2160240 1155695 )
NEW met2 ( 2159760 1154770 ) ( 2160240 1154770 )
NEW met2 ( 2159760 1154215 ) ( 2159760 1154770 )
NEW met1 ( 2150160 1154215 ) ( 2159760 1154215 )
NEW met2 ( 2150160 1154215 ) ( 2150160 1199170 0 )
NEW met2 ( 2370720 1117770 0 ) ( 2370720 1119250 )
NEW met2 ( 2370480 1119250 ) ( 2370720 1119250 )
NEW met2 ( 2370480 1119250 ) ( 2370480 1154585 )
NEW met1 ( 2198640 1154585 ) ( 2370480 1154585 )
NEW met1 ( 2198640 1154585 ) M1M2_PR
NEW met1 ( 2196240 1155695 ) M1M2_PR
NEW met1 ( 2160240 1155695 ) M1M2_PR
NEW met1 ( 2159760 1154215 ) M1M2_PR
NEW met1 ( 2150160 1154215 ) M1M2_PR
NEW met1 ( 2370480 1154585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[86\] ( soc la_oen[86] ) ( mgmt_buffers la_oen_mprj[86] )
+ ROUTED met2 ( 1927440 1117770 0 ) ( 1927440 1125910 )
NEW met2 ( 1927440 1125910 ) ( 1927920 1125910 )
NEW met2 ( 2086560 1263550 0 ) ( 2086560 1264105 )
NEW met1 ( 2189040 1197875 ) ( 2218800 1197875 )
NEW met2 ( 2218800 1197690 ) ( 2218800 1197875 )
NEW met3 ( 2218800 1197690 ) ( 2231520 1197690 )
NEW met4 ( 2231520 1197690 ) ( 2231520 1265030 )
NEW met3 ( 2231280 1265030 ) ( 2231520 1265030 )
NEW met2 ( 2231280 1264475 ) ( 2231280 1265030 )
NEW met1 ( 2231280 1264105 ) ( 2231280 1264475 )
NEW met3 ( 2188320 1196950 ) ( 2188320 1197875 )
NEW met3 ( 2188320 1197875 ) ( 2189040 1197875 )
NEW met1 ( 2086560 1264105 ) ( 2231280 1264105 )
NEW met3 ( 2058960 1197690 ) ( 2058960 1198430 )
NEW met2 ( 2058960 1189550 ) ( 2058960 1197690 )
NEW met3 ( 1927920 1197690 ) ( 1927920 1198430 )
NEW met2 ( 1927920 1125910 ) ( 1927920 1197690 )
NEW met2 ( 2109840 1189550 ) ( 2109840 1196950 )
NEW met3 ( 2058960 1189550 ) ( 2109840 1189550 )
NEW met3 ( 2109840 1196950 ) ( 2188320 1196950 )
NEW met3 ( 1993440 1196950 ) ( 1993440 1198430 )
NEW met3 ( 1993440 1196950 ) ( 2041440 1196950 )
NEW met3 ( 2041440 1196950 ) ( 2041440 1198430 )
NEW met3 ( 1927920 1198430 ) ( 1993440 1198430 )
NEW met3 ( 2041440 1198430 ) ( 2058960 1198430 )
NEW met1 ( 2086560 1264105 ) M1M2_PR
NEW met1 ( 2189040 1197875 ) M1M2_PR
NEW met2 ( 2189040 1197875 ) via2_FR
NEW met1 ( 2218800 1197875 ) M1M2_PR
NEW met2 ( 2218800 1197690 ) via2_FR
NEW met3 ( 2231520 1197690 ) M3M4_PR_M
NEW met3 ( 2231520 1265030 ) M3M4_PR_M
NEW met2 ( 2231280 1265030 ) via2_FR
NEW met1 ( 2231280 1264475 ) M1M2_PR
NEW met2 ( 2058960 1197690 ) via2_FR
NEW met2 ( 2058960 1189550 ) via2_FR
NEW met2 ( 1927920 1197690 ) via2_FR
NEW met2 ( 2109840 1189550 ) via2_FR
NEW met2 ( 2109840 1196950 ) via2_FR
NEW met3 ( 2231280 1265030 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- la_oen_mprj\[87\] ( soc la_oen[87] ) ( mgmt_buffers la_oen_mprj[87] )
+ ROUTED met2 ( 2237040 1139415 ) ( 2237040 1140895 )
NEW met1 ( 2205840 1139415 ) ( 2237040 1139415 )
NEW met2 ( 2205840 1139415 ) ( 2205840 1139970 )
NEW met2 ( 2204400 1139970 ) ( 2205840 1139970 )
NEW met2 ( 2204400 1139970 ) ( 2204400 1140155 )
NEW met1 ( 2179440 1140155 ) ( 2204400 1140155 )
NEW met2 ( 2179440 1137935 ) ( 2179440 1140155 )
NEW met1 ( 2152080 1137935 ) ( 2179440 1137935 )
NEW met2 ( 2152080 1137935 ) ( 2152080 1197690 )
NEW met2 ( 2151840 1197690 ) ( 2152080 1197690 )
NEW met2 ( 2151840 1197690 ) ( 2151840 1199170 0 )
NEW met2 ( 2372640 1117770 0 ) ( 2372640 1119250 )
NEW met2 ( 2372400 1119250 ) ( 2372640 1119250 )
NEW met2 ( 2372400 1119250 ) ( 2372400 1140895 )
NEW met1 ( 2237040 1140895 ) ( 2372400 1140895 )
NEW met1 ( 2237040 1140895 ) M1M2_PR
NEW met1 ( 2237040 1139415 ) M1M2_PR
NEW met1 ( 2205840 1139415 ) M1M2_PR
NEW met1 ( 2204400 1140155 ) M1M2_PR
NEW met1 ( 2179440 1140155 ) M1M2_PR
NEW met1 ( 2179440 1137935 ) M1M2_PR
NEW met1 ( 2152080 1137935 ) M1M2_PR
NEW met1 ( 2372400 1140895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[88\] ( soc la_oen[88] ) ( mgmt_buffers la_oen_mprj[88] )
+ ROUTED met3 ( 1950240 1185850 ) ( 1950240 1186590 )
NEW met3 ( 1926000 1186590 ) ( 1950240 1186590 )
NEW met3 ( 1950240 1185850 ) ( 2249760 1185850 )
NEW met2 ( 1925520 1117770 0 ) ( 1925520 1125910 )
NEW met2 ( 1925520 1125910 ) ( 1926000 1125910 )
NEW met2 ( 1926000 1125910 ) ( 1926000 1186590 )
NEW met2 ( 2088240 1263550 ) ( 2088480 1263550 0 )
NEW met2 ( 2088240 1263550 ) ( 2088240 1273910 )
NEW met3 ( 2088240 1273910 ) ( 2249760 1273910 )
NEW met4 ( 2249760 1185850 ) ( 2249760 1273910 )
NEW met2 ( 1926000 1186590 ) via2_FR
NEW met3 ( 2249760 1185850 ) M3M4_PR_M
NEW met2 ( 2088240 1273910 ) via2_FR
NEW met3 ( 2249760 1273910 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[89\] ( soc la_oen[89] ) ( mgmt_buffers la_oen_mprj[89] )
+ ROUTED met2 ( 2154000 1169755 ) ( 2154000 1197690 )
NEW met2 ( 2153760 1197690 ) ( 2154000 1197690 )
NEW met2 ( 2153760 1197690 ) ( 2153760 1199170 0 )
NEW met2 ( 2374320 1117770 0 ) ( 2374320 1169755 )
NEW met1 ( 2154000 1169755 ) ( 2374320 1169755 )
NEW met1 ( 2154000 1169755 ) M1M2_PR
NEW met1 ( 2374320 1169755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[8\] ( soc la_oen[8] ) ( mgmt_buffers la_oen_mprj[8] )
+ ROUTED met1 ( 1956720 1156065 ) ( 1956720 1156435 )
NEW met1 ( 1924080 1156065 ) ( 1956720 1156065 )
NEW met2 ( 2072400 1156435 ) ( 2072400 1168090 )
NEW met1 ( 1956720 1156435 ) ( 2072400 1156435 )
NEW met3 ( 2072400 1168090 ) ( 2214240 1168090 )
NEW met2 ( 1923600 1117770 0 ) ( 1923600 1125910 )
NEW met2 ( 1923600 1125910 ) ( 1924080 1125910 )
NEW met2 ( 1924080 1125910 ) ( 1924080 1156065 )
NEW met2 ( 2090160 1263550 0 ) ( 2090160 1265955 )
NEW met2 ( 2201520 1265030 ) ( 2201520 1265955 )
NEW met3 ( 2201520 1265030 ) ( 2213280 1265030 )
NEW met4 ( 2213280 1197690 ) ( 2213280 1265030 )
NEW met3 ( 2213280 1196950 ) ( 2213280 1197690 )
NEW met3 ( 2213280 1196950 ) ( 2213520 1196950 )
NEW met2 ( 2213520 1186590 ) ( 2213520 1196950 )
NEW met3 ( 2213520 1186590 ) ( 2214240 1186590 )
NEW met1 ( 2090160 1265955 ) ( 2201520 1265955 )
NEW met4 ( 2214240 1168090 ) ( 2214240 1186590 )
NEW met3 ( 2214240 1168090 ) M3M4_PR_M
NEW met1 ( 1924080 1156065 ) M1M2_PR
NEW met1 ( 2072400 1156435 ) M1M2_PR
NEW met2 ( 2072400 1168090 ) via2_FR
NEW met1 ( 2090160 1265955 ) M1M2_PR
NEW met1 ( 2201520 1265955 ) M1M2_PR
NEW met2 ( 2201520 1265030 ) via2_FR
NEW met3 ( 2213280 1265030 ) M3M4_PR_M
NEW met3 ( 2213280 1197690 ) M3M4_PR_M
NEW met2 ( 2213520 1196950 ) via2_FR
NEW met2 ( 2213520 1186590 ) via2_FR
NEW met3 ( 2214240 1186590 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[90\] ( soc la_oen[90] ) ( mgmt_buffers la_oen_mprj[90] )
+ ROUTED met2 ( 2237520 1139415 ) ( 2237520 1147555 )
NEW met1 ( 2237520 1147555 ) ( 2237520 1147925 )
NEW met1 ( 2229840 1147925 ) ( 2237520 1147925 )
NEW met2 ( 2229840 1147925 ) ( 2229840 1149405 )
NEW met1 ( 2200080 1149405 ) ( 2229840 1149405 )
NEW met2 ( 2200080 1149405 ) ( 2200080 1154770 )
NEW met3 ( 2184720 1154770 ) ( 2200080 1154770 )
NEW met2 ( 2184720 1151255 ) ( 2184720 1154770 )
NEW met1 ( 2155440 1151255 ) ( 2184720 1151255 )
NEW met2 ( 2155440 1151255 ) ( 2155440 1199170 0 )
NEW met2 ( 2376240 1117770 0 ) ( 2376240 1139415 )
NEW met1 ( 2237520 1139415 ) ( 2376240 1139415 )
NEW met1 ( 2237520 1139415 ) M1M2_PR
NEW met1 ( 2237520 1147555 ) M1M2_PR
NEW met1 ( 2229840 1147925 ) M1M2_PR
NEW met1 ( 2229840 1149405 ) M1M2_PR
NEW met1 ( 2200080 1149405 ) M1M2_PR
NEW met2 ( 2200080 1154770 ) via2_FR
NEW met2 ( 2184720 1154770 ) via2_FR
NEW met1 ( 2184720 1151255 ) M1M2_PR
NEW met1 ( 2155440 1151255 ) M1M2_PR
NEW met1 ( 2376240 1139415 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[91\] ( soc la_oen[91] ) ( mgmt_buffers la_oen_mprj[91] )
+ ROUTED met1 ( 1971120 1144225 ) ( 1971120 1144595 )
NEW met1 ( 1971120 1144595 ) ( 1987440 1144595 )
NEW met2 ( 1987440 1144595 ) ( 1987440 1195285 )
NEW met1 ( 1921680 1144225 ) ( 1971120 1144225 )
NEW met2 ( 2068560 1143485 ) ( 2068560 1195285 )
NEW met1 ( 2068560 1143485 ) ( 2093040 1143485 )
NEW met2 ( 2093040 1142005 ) ( 2093040 1143485 )
NEW met1 ( 2093040 1142005 ) ( 2095920 1142005 )
NEW met1 ( 2095920 1141635 ) ( 2095920 1142005 )
NEW met1 ( 2095920 1141635 ) ( 2141040 1141635 )
NEW met1 ( 1987440 1195285 ) ( 2068560 1195285 )
NEW met2 ( 1921680 1117770 0 ) ( 1921680 1144225 )
NEW met2 ( 2141040 1126650 ) ( 2141040 1141635 )
NEW met2 ( 2092080 1263550 0 ) ( 2092080 1269470 )
NEW met3 ( 2141040 1126650 ) ( 2263200 1126650 )
NEW met3 ( 2092080 1269470 ) ( 2263200 1269470 )
NEW met4 ( 2263200 1126650 ) ( 2263200 1269470 )
NEW met1 ( 1987440 1144595 ) M1M2_PR
NEW met1 ( 1987440 1195285 ) M1M2_PR
NEW met1 ( 1921680 1144225 ) M1M2_PR
NEW met1 ( 2068560 1195285 ) M1M2_PR
NEW met1 ( 2068560 1143485 ) M1M2_PR
NEW met1 ( 2093040 1143485 ) M1M2_PR
NEW met1 ( 2093040 1142005 ) M1M2_PR
NEW met1 ( 2141040 1141635 ) M1M2_PR
NEW met2 ( 2141040 1126650 ) via2_FR
NEW met2 ( 2092080 1269470 ) via2_FR
NEW met3 ( 2263200 1126650 ) M3M4_PR_M
NEW met3 ( 2263200 1269470 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[92\] ( soc la_oen[92] ) ( mgmt_buffers la_oen_mprj[92] )
+ ROUTED met2 ( 2157360 1171605 ) ( 2157360 1199170 0 )
NEW met2 ( 2378160 1117770 0 ) ( 2378160 1171605 )
NEW met1 ( 2157360 1171605 ) ( 2378160 1171605 )
NEW met1 ( 2157360 1171605 ) M1M2_PR
NEW met1 ( 2378160 1171605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[93\] ( soc la_oen[93] ) ( mgmt_buffers la_oen_mprj[93] )
+ ROUTED met3 ( 2196000 1275390 ) ( 2196000 1276130 )
NEW met2 ( 2094000 1263550 0 ) ( 2094000 1275390 )
NEW met3 ( 2094000 1275390 ) ( 2196000 1275390 )
NEW met3 ( 2196000 1276130 ) ( 2266080 1276130 )
NEW met4 ( 2266080 1135530 ) ( 2266080 1276130 )
NEW met2 ( 2069040 1156805 ) ( 2069040 1167535 )
NEW met2 ( 1920000 1117770 0 ) ( 1920000 1119250 )
NEW met2 ( 1920000 1119250 ) ( 1920240 1119250 )
NEW met2 ( 1920240 1119250 ) ( 1920240 1137565 )
NEW met1 ( 1920240 1137565 ) ( 1958160 1137565 )
NEW met2 ( 1958160 1137565 ) ( 1958160 1156805 )
NEW met1 ( 1958160 1156805 ) ( 2069040 1156805 )
NEW met2 ( 2162640 1135530 ) ( 2162640 1167535 )
NEW met1 ( 2069040 1167535 ) ( 2162640 1167535 )
NEW met3 ( 2162640 1135530 ) ( 2266080 1135530 )
NEW met2 ( 2094000 1275390 ) via2_FR
NEW met3 ( 2266080 1135530 ) M3M4_PR_M
NEW met3 ( 2266080 1276130 ) M3M4_PR_M
NEW met1 ( 2069040 1156805 ) M1M2_PR
NEW met1 ( 2069040 1167535 ) M1M2_PR
NEW met1 ( 1920240 1137565 ) M1M2_PR
NEW met1 ( 1958160 1137565 ) M1M2_PR
NEW met1 ( 1958160 1156805 ) M1M2_PR
NEW met2 ( 2162640 1135530 ) via2_FR
NEW met1 ( 2162640 1167535 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[94\] ( soc la_oen[94] ) ( mgmt_buffers la_oen_mprj[94] )
+ ROUTED met2 ( 2194800 1141265 ) ( 2194800 1142745 )
NEW met1 ( 2158800 1141265 ) ( 2194800 1141265 )
NEW met2 ( 2158800 1141265 ) ( 2158800 1167535 )
NEW met2 ( 2158800 1167535 ) ( 2159280 1167535 )
NEW met2 ( 2159280 1167535 ) ( 2159280 1199170 0 )
NEW met2 ( 2380080 1117770 0 ) ( 2380080 1142745 )
NEW met1 ( 2194800 1142745 ) ( 2380080 1142745 )
NEW met1 ( 2194800 1142745 ) M1M2_PR
NEW met1 ( 2194800 1141265 ) M1M2_PR
NEW met1 ( 2158800 1141265 ) M1M2_PR
NEW met1 ( 2380080 1142745 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[95\] ( soc la_oen[95] ) ( mgmt_buffers la_oen_mprj[95] )
+ ROUTED met3 ( 2236320 1188070 ) ( 2241120 1188070 )
NEW met2 ( 2152080 1123135 ) ( 2152080 1123690 )
NEW met1 ( 2152080 1123135 ) ( 2167440 1123135 )
NEW met2 ( 2167440 1123135 ) ( 2167440 1124430 )
NEW met3 ( 2167440 1124430 ) ( 2236320 1124430 )
NEW met4 ( 2236320 1124430 ) ( 2236320 1188070 )
NEW met4 ( 2241120 1188070 ) ( 2241120 1277610 )
NEW met2 ( 1918080 1117770 0 ) ( 1918080 1119250 )
NEW met2 ( 1918080 1119250 ) ( 1918320 1119250 )
NEW met2 ( 1918320 1119250 ) ( 1918320 1152735 )
NEW met1 ( 2129040 1123505 ) ( 2132880 1123505 )
NEW met2 ( 2132880 1123505 ) ( 2132880 1123690 )
NEW met2 ( 2129040 1123505 ) ( 2129040 1152735 )
NEW met3 ( 2132880 1123690 ) ( 2152080 1123690 )
NEW met2 ( 2095680 1263550 0 ) ( 2095920 1263550 )
NEW met2 ( 2095920 1263550 ) ( 2095920 1277610 )
NEW met3 ( 2095920 1277610 ) ( 2241120 1277610 )
NEW met1 ( 1918320 1152735 ) ( 2129040 1152735 )
NEW met3 ( 2236320 1188070 ) M3M4_PR_M
NEW met3 ( 2241120 1188070 ) M3M4_PR_M
NEW met2 ( 2152080 1123690 ) via2_FR
NEW met1 ( 2152080 1123135 ) M1M2_PR
NEW met1 ( 2167440 1123135 ) M1M2_PR
NEW met2 ( 2167440 1124430 ) via2_FR
NEW met3 ( 2236320 1124430 ) M3M4_PR_M
NEW met3 ( 2241120 1277610 ) M3M4_PR_M
NEW met1 ( 1918320 1152735 ) M1M2_PR
NEW met1 ( 2129040 1152735 ) M1M2_PR
NEW met1 ( 2129040 1123505 ) M1M2_PR
NEW met1 ( 2132880 1123505 ) M1M2_PR
NEW met2 ( 2132880 1123690 ) via2_FR
NEW met2 ( 2095920 1277610 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[96\] ( soc la_oen[96] ) ( mgmt_buffers la_oen_mprj[96] )
+ ROUTED met2 ( 2161200 1159765 ) ( 2161200 1199170 0 )
NEW met2 ( 2381760 1117770 0 ) ( 2381760 1119250 )
NEW met2 ( 2381520 1119250 ) ( 2381760 1119250 )
NEW met2 ( 2381520 1119250 ) ( 2381520 1159765 )
NEW met1 ( 2161200 1159765 ) ( 2381520 1159765 )
NEW met1 ( 2161200 1159765 ) M1M2_PR
NEW met1 ( 2381520 1159765 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[97\] ( soc la_oen[97] ) ( mgmt_buffers la_oen_mprj[97] )
+ ROUTED met2 ( 1994160 1185110 ) ( 1994160 1186590 )
NEW met4 ( 2208480 1186590 ) ( 2208480 1267990 )
NEW met3 ( 1916880 1185110 ) ( 1994160 1185110 )
NEW met3 ( 1994160 1186590 ) ( 2208480 1186590 )
NEW met2 ( 1916400 1117770 0 ) ( 1916400 1125910 )
NEW met2 ( 1916400 1125910 ) ( 1916880 1125910 )
NEW met2 ( 1916880 1125910 ) ( 1916880 1185110 )
NEW met2 ( 2097600 1263550 0 ) ( 2097600 1264290 )
NEW met2 ( 2097600 1264290 ) ( 2097840 1264290 )
NEW met2 ( 2097840 1264290 ) ( 2097840 1267990 )
NEW met3 ( 2097840 1267990 ) ( 2208480 1267990 )
NEW met2 ( 1994160 1185110 ) via2_FR
NEW met2 ( 1994160 1186590 ) via2_FR
NEW met3 ( 2208480 1186590 ) M3M4_PR_M
NEW met3 ( 2208480 1267990 ) M3M4_PR_M
NEW met2 ( 1916880 1185110 ) via2_FR
NEW met2 ( 2097840 1267990 ) via2_FR
+ USE SIGNAL ;
- la_oen_mprj\[98\] ( soc la_oen[98] ) ( mgmt_buffers la_oen_mprj[98] )
+ ROUTED met2 ( 2163120 1154215 ) ( 2163120 1197690 )
NEW met2 ( 2162880 1197690 ) ( 2163120 1197690 )
NEW met2 ( 2162880 1197690 ) ( 2162880 1199170 0 )
NEW met2 ( 2383680 1117770 0 ) ( 2383680 1119250 )
NEW met2 ( 2383440 1119250 ) ( 2383680 1119250 )
NEW met2 ( 2383440 1119250 ) ( 2383440 1154215 )
NEW met1 ( 2163120 1154215 ) ( 2383440 1154215 )
NEW met1 ( 2163120 1154215 ) M1M2_PR
NEW met1 ( 2383440 1154215 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_mprj\[99\] ( soc la_oen[99] ) ( mgmt_buffers la_oen_mprj[99] )
+ ROUTED met2 ( 2015280 1125170 ) ( 2015280 1142745 )
NEW met1 ( 1914480 1142745 ) ( 2015280 1142745 )
NEW met2 ( 1914480 1117770 0 ) ( 1914480 1142745 )
NEW met2 ( 2099280 1263550 ) ( 2099520 1263550 0 )
NEW met2 ( 2099280 1263550 ) ( 2099280 1276870 )
NEW met3 ( 2015280 1125170 ) ( 2268960 1125170 )
NEW met3 ( 2099280 1276870 ) ( 2268960 1276870 )
NEW met4 ( 2268960 1125170 ) ( 2268960 1276870 )
NEW met1 ( 2015280 1142745 ) M1M2_PR
NEW met2 ( 2015280 1125170 ) via2_FR
NEW met1 ( 1914480 1142745 ) M1M2_PR
NEW met2 ( 2099280 1276870 ) via2_FR
NEW met3 ( 2268960 1125170 ) M3M4_PR_M
NEW met3 ( 2268960 1276870 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_mprj\[9\] ( soc la_oen[9] ) ( mgmt_buffers la_oen_mprj[9] )
+ ROUTED met1 ( 2178480 1138305 ) ( 2178480 1139415 )
NEW met1 ( 2165040 1138305 ) ( 2178480 1138305 )
NEW met2 ( 2165040 1138305 ) ( 2165040 1197690 )
NEW met2 ( 2164800 1197690 ) ( 2165040 1197690 )
NEW met2 ( 2164800 1197690 ) ( 2164800 1199170 0 )
NEW met2 ( 2385360 1117770 0 ) ( 2385360 1139785 )
NEW met1 ( 2204880 1139415 ) ( 2204880 1140155 )
NEW met1 ( 2204880 1140155 ) ( 2244240 1140155 )
NEW met1 ( 2244240 1139785 ) ( 2244240 1140155 )
NEW met1 ( 2178480 1139415 ) ( 2204880 1139415 )
NEW met1 ( 2244240 1139785 ) ( 2385360 1139785 )
NEW met1 ( 2165040 1138305 ) M1M2_PR
NEW met1 ( 2385360 1139785 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[0\] ( mprj la_oen[0] ) ( mgmt_buffers la_oen_core[0] )
+ ROUTED met2 ( 971760 1332555 ) ( 971760 1377510 0 )
NEW met2 ( 1865760 1263550 0 ) ( 1865760 1264290 )
NEW met2 ( 1865520 1264290 ) ( 1865760 1264290 )
NEW met2 ( 1865520 1264290 ) ( 1865520 1332555 )
NEW met1 ( 971760 1332555 ) ( 1865520 1332555 )
NEW met1 ( 971760 1332555 ) M1M2_PR
NEW met1 ( 1865520 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[100\] ( mprj la_oen[100] ) ( mgmt_buffers la_oen_core[100] )
+ ROUTED met2 ( 2755440 1352905 ) ( 2755440 1377510 0 )
NEW met2 ( 2610000 1302955 ) ( 2610000 1352905 )
NEW met1 ( 2610000 1352905 ) ( 2755440 1352905 )
NEW met2 ( 1867440 1263550 ) ( 1867680 1263550 0 )
NEW met2 ( 1867440 1263550 ) ( 1867440 1302955 )
NEW met1 ( 1867440 1302955 ) ( 2610000 1302955 )
NEW met1 ( 2610000 1352905 ) M1M2_PR
NEW met1 ( 2755440 1352905 ) M1M2_PR
NEW met1 ( 2610000 1302955 ) M1M2_PR
NEW met1 ( 1867440 1302955 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[101\] ( mprj la_oen[101] ) ( mgmt_buffers la_oen_core[101] )
+ ROUTED met2 ( 2773200 1308505 ) ( 2773200 1377510 0 )
NEW met2 ( 1869360 1263550 0 ) ( 1869360 1308505 )
NEW met1 ( 1869360 1308505 ) ( 2773200 1308505 )
NEW met1 ( 2773200 1308505 ) M1M2_PR
NEW met1 ( 1869360 1308505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[102\] ( mprj la_oen[102] ) ( mgmt_buffers la_oen_core[102] )
+ ROUTED met2 ( 2791440 1322195 ) ( 2791440 1377510 0 )
NEW met2 ( 1871280 1263550 0 ) ( 1871280 1322195 )
NEW met1 ( 1871280 1322195 ) ( 2791440 1322195 )
NEW met1 ( 2791440 1322195 ) M1M2_PR
NEW met1 ( 1871280 1322195 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[103\] ( mprj la_oen[103] ) ( mgmt_buffers la_oen_core[103] )
+ ROUTED met2 ( 2809200 1308135 ) ( 2809200 1377510 0 )
NEW met2 ( 1873200 1263550 0 ) ( 1873200 1308135 )
NEW met1 ( 1873200 1308135 ) ( 2809200 1308135 )
NEW met1 ( 2809200 1308135 ) M1M2_PR
NEW met1 ( 1873200 1308135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[104\] ( mprj la_oen[104] ) ( mgmt_buffers la_oen_core[104] )
+ ROUTED met2 ( 2826960 1321085 ) ( 2826960 1377510 0 )
NEW met2 ( 1874880 1263550 0 ) ( 1875120 1263550 )
NEW met2 ( 1875120 1263550 ) ( 1875120 1321085 )
NEW met1 ( 1875120 1321085 ) ( 2826960 1321085 )
NEW met1 ( 2826960 1321085 ) M1M2_PR
NEW met1 ( 1875120 1321085 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[105\] ( mprj la_oen[105] ) ( mgmt_buffers la_oen_core[105] )
+ ROUTED met2 ( 2844720 1321455 ) ( 2844720 1377510 0 )
NEW met2 ( 1876800 1263550 0 ) ( 1876800 1264290 )
NEW met2 ( 1876800 1264290 ) ( 1877040 1264290 )
NEW met2 ( 1877040 1264290 ) ( 1877040 1321455 )
NEW met1 ( 1877040 1321455 ) ( 2844720 1321455 )
NEW met1 ( 2844720 1321455 ) M1M2_PR
NEW met1 ( 1877040 1321455 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[106\] ( mprj la_oen[106] ) ( mgmt_buffers la_oen_core[106] )
+ ROUTED met2 ( 1878480 1263550 ) ( 1878720 1263550 0 )
NEW met2 ( 1878480 1263550 ) ( 1878480 1320715 )
NEW met1 ( 1878480 1320715 ) ( 2862480 1320715 )
NEW met2 ( 2862480 1320715 ) ( 2862480 1377510 0 )
NEW met1 ( 1878480 1320715 ) M1M2_PR
NEW met1 ( 2862480 1320715 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[107\] ( mprj la_oen[107] ) ( mgmt_buffers la_oen_core[107] )
+ ROUTED met2 ( 1880400 1263550 0 ) ( 1880400 1320345 )
NEW met1 ( 1880400 1320345 ) ( 2880720 1320345 )
NEW met2 ( 2880720 1320345 ) ( 2880720 1377510 0 )
NEW met1 ( 1880400 1320345 ) M1M2_PR
NEW met1 ( 2880720 1320345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[108\] ( mprj la_oen[108] ) ( mgmt_buffers la_oen_core[108] )
+ ROUTED met2 ( 1882320 1263550 0 ) ( 1882320 1319975 )
NEW met1 ( 1882320 1319975 ) ( 2898480 1319975 )
NEW met2 ( 2898480 1319975 ) ( 2898480 1377510 0 )
NEW met1 ( 1882320 1319975 ) M1M2_PR
NEW met1 ( 2898480 1319975 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[109\] ( mprj la_oen[109] ) ( mgmt_buffers la_oen_core[109] )
+ ROUTED met2 ( 1884240 1263550 0 ) ( 1884240 1319235 )
NEW met1 ( 1884240 1319235 ) ( 2915760 1319235 )
NEW met2 ( 2915760 1319235 ) ( 2915760 1377510 0 )
NEW met1 ( 1884240 1319235 ) M1M2_PR
NEW met1 ( 2915760 1319235 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[10\] ( mprj la_oen[10] ) ( mgmt_buffers la_oen_core[10] )
+ ROUTED met2 ( 1149840 1333665 ) ( 1149840 1377510 0 )
NEW met2 ( 1885920 1263550 0 ) ( 1886160 1263550 )
NEW met2 ( 1886160 1263550 ) ( 1886160 1333665 )
NEW met1 ( 1149840 1333665 ) ( 1886160 1333665 )
NEW met1 ( 1149840 1333665 ) M1M2_PR
NEW met1 ( 1886160 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[110\] ( mprj la_oen[110] ) ( mgmt_buffers la_oen_core[110] )
+ ROUTED met2 ( 1887840 1263550 0 ) ( 1887840 1264290 )
NEW met2 ( 1887840 1264290 ) ( 1888080 1264290 )
NEW met2 ( 1888080 1264290 ) ( 1888080 1319605 )
NEW met1 ( 1888080 1319605 ) ( 2934000 1319605 )
NEW met2 ( 2934000 1319605 ) ( 2934000 1377510 0 )
NEW met1 ( 1888080 1319605 ) M1M2_PR
NEW met1 ( 2934000 1319605 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[111\] ( mprj la_oen[111] ) ( mgmt_buffers la_oen_core[111] )
+ ROUTED met2 ( 1889520 1263550 ) ( 1889760 1263550 0 )
NEW met2 ( 1889520 1263550 ) ( 1889520 1297405 )
NEW met1 ( 1889520 1297405 ) ( 2951760 1297405 )
NEW met2 ( 2951760 1297405 ) ( 2951760 1377510 0 )
NEW met1 ( 1889520 1297405 ) M1M2_PR
NEW met1 ( 2951760 1297405 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[112\] ( mprj la_oen[112] ) ( mgmt_buffers la_oen_core[112] )
+ ROUTED met2 ( 2969520 1327005 ) ( 2969520 1377510 0 )
NEW met2 ( 1891440 1263550 0 ) ( 1891440 1296665 )
NEW met1 ( 1891440 1296665 ) ( 1895760 1296665 )
NEW met2 ( 1895760 1296665 ) ( 1895760 1329965 )
NEW met2 ( 1917360 1327930 ) ( 1917360 1329965 )
NEW met3 ( 1917360 1327930 ) ( 1949040 1327930 )
NEW met2 ( 1949040 1327005 ) ( 1949040 1327930 )
NEW met1 ( 1895760 1329965 ) ( 1917360 1329965 )
NEW met1 ( 1949040 1327005 ) ( 2969520 1327005 )
NEW met1 ( 2969520 1327005 ) M1M2_PR
NEW met1 ( 1891440 1296665 ) M1M2_PR
NEW met1 ( 1895760 1296665 ) M1M2_PR
NEW met1 ( 1895760 1329965 ) M1M2_PR
NEW met1 ( 1917360 1329965 ) M1M2_PR
NEW met2 ( 1917360 1327930 ) via2_FR
NEW met2 ( 1949040 1327930 ) via2_FR
NEW met1 ( 1949040 1327005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[113\] ( mprj la_oen[113] ) ( mgmt_buffers la_oen_core[113] )
+ ROUTED met2 ( 1992720 1329965 ) ( 1992720 1334035 )
NEW met2 ( 2987760 1329965 ) ( 2987760 1377510 0 )
NEW met2 ( 1893360 1263550 0 ) ( 1893360 1285010 )
NEW met2 ( 1893360 1285010 ) ( 1893840 1285010 )
NEW met2 ( 1893840 1285010 ) ( 1893840 1285195 )
NEW met1 ( 1893840 1285195 ) ( 1896240 1285195 )
NEW met2 ( 1896240 1285195 ) ( 1896240 1334035 )
NEW met1 ( 1896240 1334035 ) ( 1992720 1334035 )
NEW met1 ( 1992720 1329965 ) ( 2987760 1329965 )
NEW met1 ( 1992720 1334035 ) M1M2_PR
NEW met1 ( 1992720 1329965 ) M1M2_PR
NEW met1 ( 2987760 1329965 ) M1M2_PR
NEW met1 ( 1893840 1285195 ) M1M2_PR
NEW met1 ( 1896240 1285195 ) M1M2_PR
NEW met1 ( 1896240 1334035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[114\] ( mprj la_oen[114] ) ( mgmt_buffers la_oen_core[114] )
+ ROUTED met2 ( 3005040 1335885 ) ( 3005040 1377510 0 )
NEW met2 ( 1895280 1263550 0 ) ( 1895280 1330705 )
NEW met2 ( 1915920 1330705 ) ( 1915920 1336255 )
NEW met1 ( 1915920 1336255 ) ( 1953360 1336255 )
NEW met2 ( 1953360 1336255 ) ( 1953360 1336625 )
NEW met2 ( 1953360 1336625 ) ( 1954320 1336625 )
NEW met1 ( 1954320 1336625 ) ( 1978320 1336625 )
NEW met1 ( 1978320 1336625 ) ( 1978320 1336995 )
NEW met1 ( 1978320 1336995 ) ( 1995600 1336995 )
NEW met2 ( 1995600 1335885 ) ( 1995600 1336995 )
NEW met1 ( 1895280 1330705 ) ( 1915920 1330705 )
NEW met1 ( 1995600 1335885 ) ( 3005040 1335885 )
NEW met1 ( 3005040 1335885 ) M1M2_PR
NEW met1 ( 1895280 1330705 ) M1M2_PR
NEW met1 ( 1915920 1330705 ) M1M2_PR
NEW met1 ( 1915920 1336255 ) M1M2_PR
NEW met1 ( 1953360 1336255 ) M1M2_PR
NEW met1 ( 1954320 1336625 ) M1M2_PR
NEW met1 ( 1995600 1336995 ) M1M2_PR
NEW met1 ( 1995600 1335885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[115\] ( mprj la_oen[115] ) ( mgmt_buffers la_oen_core[115] )
+ ROUTED met2 ( 3023280 1335515 ) ( 3023280 1377510 0 )
NEW met2 ( 1896960 1263550 0 ) ( 1897200 1263550 )
NEW met2 ( 1897200 1263550 ) ( 1897200 1331075 )
NEW met2 ( 1996080 1331075 ) ( 1996080 1335515 )
NEW met1 ( 1897200 1331075 ) ( 1996080 1331075 )
NEW met1 ( 1996080 1335515 ) ( 3023280 1335515 )
NEW met1 ( 3023280 1335515 ) M1M2_PR
NEW met1 ( 1897200 1331075 ) M1M2_PR
NEW met1 ( 1996080 1331075 ) M1M2_PR
NEW met1 ( 1996080 1335515 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[116\] ( mprj la_oen[116] ) ( mgmt_buffers la_oen_core[116] )
+ ROUTED met1 ( 1994640 1335145 ) ( 1994640 1335885 )
NEW met2 ( 3041040 1335145 ) ( 3041040 1377510 0 )
NEW met2 ( 1898880 1263550 0 ) ( 1898880 1264290 )
NEW met2 ( 1898880 1264290 ) ( 1899120 1264290 )
NEW met2 ( 1899120 1264290 ) ( 1899120 1336255 )
NEW met1 ( 1899120 1336255 ) ( 1911600 1336255 )
NEW met1 ( 1911600 1335885 ) ( 1911600 1336255 )
NEW met1 ( 1911600 1335885 ) ( 1994640 1335885 )
NEW met1 ( 1994640 1335145 ) ( 3041040 1335145 )
NEW met1 ( 3041040 1335145 ) M1M2_PR
NEW met1 ( 1899120 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[117\] ( mprj la_oen[117] ) ( mgmt_buffers la_oen_core[117] )
+ ROUTED met2 ( 1995120 1334775 ) ( 1995120 1337365 )
NEW met2 ( 1900560 1263550 ) ( 1900800 1263550 0 )
NEW met2 ( 1900560 1263550 ) ( 1900560 1337365 )
NEW met1 ( 1900560 1337365 ) ( 1995120 1337365 )
NEW met2 ( 3058800 1334775 ) ( 3058800 1377510 0 )
NEW met2 ( 2491440 1334775 ) ( 2491440 1335330 )
NEW met2 ( 2491440 1335330 ) ( 2492880 1335330 )
NEW met2 ( 2492880 1334775 ) ( 2492880 1335330 )
NEW met1 ( 1995120 1334775 ) ( 2491440 1334775 )
NEW met1 ( 2492880 1334775 ) ( 3058800 1334775 )
NEW met1 ( 1995120 1337365 ) M1M2_PR
NEW met1 ( 1995120 1334775 ) M1M2_PR
NEW met1 ( 1900560 1337365 ) M1M2_PR
NEW met1 ( 3058800 1334775 ) M1M2_PR
NEW met1 ( 2491440 1334775 ) M1M2_PR
NEW met1 ( 2492880 1334775 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[118\] ( mprj la_oen[118] ) ( mgmt_buffers la_oen_core[118] )
+ ROUTED met2 ( 1902480 1263550 0 ) ( 1902480 1304435 )
NEW met1 ( 1902480 1304435 ) ( 3077040 1304435 )
NEW met2 ( 3077040 1304435 ) ( 3077040 1377510 0 )
NEW met1 ( 1902480 1304435 ) M1M2_PR
NEW met1 ( 3077040 1304435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[119\] ( mprj la_oen[119] ) ( mgmt_buffers la_oen_core[119] )
+ ROUTED met2 ( 1904400 1263550 0 ) ( 1904400 1303695 )
NEW met1 ( 1904400 1303695 ) ( 3094320 1303695 )
NEW met2 ( 3094320 1303695 ) ( 3094320 1377510 0 )
NEW met1 ( 1904400 1303695 ) M1M2_PR
NEW met1 ( 3094320 1303695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[11\] ( mprj la_oen[11] ) ( mgmt_buffers la_oen_core[11] )
+ ROUTED met2 ( 1167600 1334035 ) ( 1167600 1377510 0 )
NEW met2 ( 1389360 1334035 ) ( 1389360 1335515 )
NEW met2 ( 1284720 1334035 ) ( 1284720 1335515 )
NEW met1 ( 1167600 1334035 ) ( 1284720 1334035 )
NEW met1 ( 1284720 1335515 ) ( 1389360 1335515 )
NEW met2 ( 1906320 1263550 0 ) ( 1906320 1333665 )
NEW met1 ( 1893360 1333665 ) ( 1906320 1333665 )
NEW met1 ( 1893360 1333665 ) ( 1893360 1334035 )
NEW met1 ( 1389360 1334035 ) ( 1893360 1334035 )
NEW met1 ( 1167600 1334035 ) M1M2_PR
NEW met1 ( 1389360 1335515 ) M1M2_PR
NEW met1 ( 1389360 1334035 ) M1M2_PR
NEW met1 ( 1284720 1334035 ) M1M2_PR
NEW met1 ( 1284720 1335515 ) M1M2_PR
NEW met1 ( 1906320 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[120\] ( mprj la_oen[120] ) ( mgmt_buffers la_oen_core[120] )
+ ROUTED met2 ( 1908000 1263550 0 ) ( 1908240 1263550 )
NEW met2 ( 1908240 1263550 ) ( 1908240 1330335 )
NEW met2 ( 3112560 1330335 ) ( 3112560 1377510 0 )
NEW met1 ( 1908240 1330335 ) ( 3112560 1330335 )
NEW met1 ( 1908240 1330335 ) M1M2_PR
NEW met1 ( 3112560 1330335 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[121\] ( mprj la_oen[121] ) ( mgmt_buffers la_oen_core[121] )
+ ROUTED met2 ( 1994160 1334035 ) ( 1994160 1335515 )
NEW met2 ( 1909920 1263550 0 ) ( 1909920 1264290 )
NEW met2 ( 1909920 1264290 ) ( 1910160 1264290 )
NEW met2 ( 1910160 1264290 ) ( 1910160 1335515 )
NEW met1 ( 1910160 1335515 ) ( 1994160 1335515 )
NEW met2 ( 3130320 1334035 ) ( 3130320 1377510 0 )
NEW met2 ( 2951280 1333850 ) ( 2951280 1334035 )
NEW met3 ( 2951280 1333850 ) ( 2952720 1333850 )
NEW met2 ( 2952720 1333850 ) ( 2952720 1334035 )
NEW met2 ( 3052080 1333850 ) ( 3052080 1334035 )
NEW met3 ( 3052080 1333850 ) ( 3053520 1333850 )
NEW met2 ( 3053520 1333850 ) ( 3053520 1334035 )
NEW met1 ( 2952720 1334035 ) ( 3052080 1334035 )
NEW met1 ( 3053520 1334035 ) ( 3130320 1334035 )
NEW met2 ( 2403600 1334035 ) ( 2403600 1336625 )
NEW met1 ( 2403600 1336625 ) ( 2449200 1336625 )
NEW met2 ( 2449200 1334035 ) ( 2449200 1336625 )
NEW met1 ( 1994160 1334035 ) ( 2403600 1334035 )
NEW met2 ( 2677680 1333850 ) ( 2677680 1334035 )
NEW met2 ( 2677680 1333850 ) ( 2678160 1333850 )
NEW met2 ( 2678160 1333850 ) ( 2678160 1334035 )
NEW met1 ( 2449200 1334035 ) ( 2677680 1334035 )
NEW met1 ( 2678160 1334035 ) ( 2951280 1334035 )
NEW met1 ( 1994160 1335515 ) M1M2_PR
NEW met1 ( 1994160 1334035 ) M1M2_PR
NEW met1 ( 1910160 1335515 ) M1M2_PR
NEW met1 ( 3130320 1334035 ) M1M2_PR
NEW met1 ( 2951280 1334035 ) M1M2_PR
NEW met2 ( 2951280 1333850 ) via2_FR
NEW met2 ( 2952720 1333850 ) via2_FR
NEW met1 ( 2952720 1334035 ) M1M2_PR
NEW met1 ( 3052080 1334035 ) M1M2_PR
NEW met2 ( 3052080 1333850 ) via2_FR
NEW met2 ( 3053520 1333850 ) via2_FR
NEW met1 ( 3053520 1334035 ) M1M2_PR
NEW met1 ( 2403600 1334035 ) M1M2_PR
NEW met1 ( 2403600 1336625 ) M1M2_PR
NEW met1 ( 2449200 1336625 ) M1M2_PR
NEW met1 ( 2449200 1334035 ) M1M2_PR
NEW met1 ( 2677680 1334035 ) M1M2_PR
NEW met1 ( 2678160 1334035 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[122\] ( mprj la_oen[122] ) ( mgmt_buffers la_oen_core[122] )
+ ROUTED met2 ( 1911600 1263550 ) ( 1911840 1263550 0 )
NEW met2 ( 1911600 1263550 ) ( 1911600 1335145 )
NEW met2 ( 3148080 1333665 ) ( 3148080 1377510 0 )
NEW met2 ( 1965360 1333665 ) ( 1965360 1335145 )
NEW met1 ( 1911600 1335145 ) ( 1965360 1335145 )
NEW met2 ( 2950800 1333110 ) ( 2950800 1333665 )
NEW met3 ( 2950800 1333110 ) ( 2953200 1333110 )
NEW met2 ( 2953200 1333110 ) ( 2953200 1333665 )
NEW met2 ( 3051600 1333665 ) ( 3051600 1335145 )
NEW met1 ( 3051600 1335145 ) ( 3060240 1335145 )
NEW met2 ( 3060240 1333665 ) ( 3060240 1335145 )
NEW met1 ( 2953200 1333665 ) ( 3051600 1333665 )
NEW met1 ( 3060240 1333665 ) ( 3148080 1333665 )
NEW met2 ( 2404080 1331445 ) ( 2404080 1333665 )
NEW met1 ( 2404080 1331445 ) ( 2448720 1331445 )
NEW met2 ( 2448720 1331445 ) ( 2448720 1333665 )
NEW met1 ( 1965360 1333665 ) ( 2404080 1333665 )
NEW met1 ( 2448720 1333665 ) ( 2950800 1333665 )
NEW met1 ( 1911600 1335145 ) M1M2_PR
NEW met1 ( 3148080 1333665 ) M1M2_PR
NEW met1 ( 1965360 1335145 ) M1M2_PR
NEW met1 ( 1965360 1333665 ) M1M2_PR
NEW met1 ( 2950800 1333665 ) M1M2_PR
NEW met2 ( 2950800 1333110 ) via2_FR
NEW met2 ( 2953200 1333110 ) via2_FR
NEW met1 ( 2953200 1333665 ) M1M2_PR
NEW met1 ( 3051600 1333665 ) M1M2_PR
NEW met1 ( 3051600 1335145 ) M1M2_PR
NEW met1 ( 3060240 1335145 ) M1M2_PR
NEW met1 ( 3060240 1333665 ) M1M2_PR
NEW met1 ( 2404080 1333665 ) M1M2_PR
NEW met1 ( 2404080 1331445 ) M1M2_PR
NEW met1 ( 2448720 1331445 ) M1M2_PR
NEW met1 ( 2448720 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[123\] ( mprj la_oen[123] ) ( mgmt_buffers la_oen_core[123] )
+ ROUTED met2 ( 1913520 1263550 0 ) ( 1913520 1334775 )
NEW met2 ( 2094960 1333295 ) ( 2094960 1334405 )
NEW met2 ( 2851440 1333295 ) ( 2851440 1334405 )
NEW met1 ( 1965360 1334405 ) ( 1965360 1334775 )
NEW met1 ( 1913520 1334775 ) ( 1965360 1334775 )
NEW met1 ( 1965360 1334405 ) ( 2094960 1334405 )
NEW met2 ( 3052560 1334405 ) ( 3052560 1335515 )
NEW met1 ( 3052560 1335515 ) ( 3109680 1335515 )
NEW met2 ( 3109680 1334405 ) ( 3109680 1335515 )
NEW met1 ( 2851440 1334405 ) ( 3052560 1334405 )
NEW met2 ( 2304240 1333295 ) ( 2304240 1334405 )
NEW met1 ( 2094960 1333295 ) ( 2304240 1333295 )
NEW met2 ( 2807760 1333295 ) ( 2807760 1336070 )
NEW met1 ( 2807760 1333295 ) ( 2851440 1333295 )
NEW met2 ( 3110640 1334405 ) ( 3110640 1338845 )
NEW met1 ( 3110640 1338845 ) ( 3165840 1338845 )
NEW met2 ( 3165840 1338845 ) ( 3165840 1377510 0 )
NEW met2 ( 3109680 1334405 ) ( 3110640 1334405 )
NEW met1 ( 2491920 1334405 ) ( 2491920 1334775 )
NEW met2 ( 2491920 1334590 ) ( 2491920 1334775 )
NEW met2 ( 2491920 1334590 ) ( 2492400 1334590 )
NEW met2 ( 2492400 1331445 ) ( 2492400 1334590 )
NEW met2 ( 2426160 1331075 ) ( 2426160 1334405 )
NEW met1 ( 2426160 1331075 ) ( 2476560 1331075 )
NEW met2 ( 2476560 1331075 ) ( 2476560 1334405 )
NEW met1 ( 2304240 1334405 ) ( 2426160 1334405 )
NEW met1 ( 2476560 1334405 ) ( 2491920 1334405 )
NEW met2 ( 2677200 1331445 ) ( 2677200 1333295 )
NEW met2 ( 2677200 1333295 ) ( 2677680 1333295 )
NEW met1 ( 2492400 1331445 ) ( 2677200 1331445 )
NEW met2 ( 2692560 1333295 ) ( 2692560 1336070 )
NEW met1 ( 2677680 1333295 ) ( 2692560 1333295 )
NEW met3 ( 2692560 1336070 ) ( 2807760 1336070 )
NEW met1 ( 1913520 1334775 ) M1M2_PR
NEW met1 ( 2094960 1334405 ) M1M2_PR
NEW met1 ( 2094960 1333295 ) M1M2_PR
NEW met1 ( 2851440 1333295 ) M1M2_PR
NEW met1 ( 2851440 1334405 ) M1M2_PR
NEW met1 ( 3052560 1334405 ) M1M2_PR
NEW met1 ( 3052560 1335515 ) M1M2_PR
NEW met1 ( 3109680 1335515 ) M1M2_PR
NEW met1 ( 2304240 1333295 ) M1M2_PR
NEW met1 ( 2304240 1334405 ) M1M2_PR
NEW met2 ( 2807760 1336070 ) via2_FR
NEW met1 ( 2807760 1333295 ) M1M2_PR
NEW met1 ( 3110640 1338845 ) M1M2_PR
NEW met1 ( 3165840 1338845 ) M1M2_PR
NEW met1 ( 2491920 1334775 ) M1M2_PR
NEW met1 ( 2492400 1331445 ) M1M2_PR
NEW met1 ( 2426160 1334405 ) M1M2_PR
NEW met1 ( 2426160 1331075 ) M1M2_PR
NEW met1 ( 2476560 1331075 ) M1M2_PR
NEW met1 ( 2476560 1334405 ) M1M2_PR
NEW met1 ( 2677200 1331445 ) M1M2_PR
NEW met1 ( 2677680 1333295 ) M1M2_PR
NEW met1 ( 2692560 1333295 ) M1M2_PR
NEW met2 ( 2692560 1336070 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[124\] ( mprj la_oen[124] ) ( mgmt_buffers la_oen_core[124] )
+ ROUTED met2 ( 3183600 1332925 ) ( 3183600 1377510 0 )
NEW met2 ( 1915440 1263550 0 ) ( 1915440 1280015 )
NEW met2 ( 1915440 1280015 ) ( 1916400 1280015 )
NEW met2 ( 1916400 1280015 ) ( 1916400 1286305 )
NEW met2 ( 1915440 1286305 ) ( 1916400 1286305 )
NEW met1 ( 1915440 1311835 ) ( 1988400 1311835 )
NEW met2 ( 1988400 1311835 ) ( 1988400 1332925 )
NEW met2 ( 1915440 1286305 ) ( 1915440 1311835 )
NEW met2 ( 2679120 1332925 ) ( 2680080 1332925 )
NEW met1 ( 1988400 1332925 ) ( 2679120 1332925 )
NEW met1 ( 2680080 1332925 ) ( 3183600 1332925 )
NEW met1 ( 3183600 1332925 ) M1M2_PR
NEW met1 ( 1915440 1311835 ) M1M2_PR
NEW met1 ( 1988400 1311835 ) M1M2_PR
NEW met1 ( 1988400 1332925 ) M1M2_PR
NEW met1 ( 2679120 1332925 ) M1M2_PR
NEW met1 ( 2680080 1332925 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[125\] ( mprj la_oen[125] ) ( mgmt_buffers la_oen_core[125] )
+ ROUTED met2 ( 3201360 1332555 ) ( 3201360 1377510 0 )
NEW met2 ( 1917360 1263550 0 ) ( 1917360 1274650 )
NEW met2 ( 1917360 1274650 ) ( 1917840 1274650 )
NEW met1 ( 1917840 1331815 ) ( 1967280 1331815 )
NEW met2 ( 1967280 1331815 ) ( 1967280 1332555 )
NEW met2 ( 1917840 1274650 ) ( 1917840 1331815 )
NEW met2 ( 2678640 1331075 ) ( 2678640 1332555 )
NEW met1 ( 2678640 1331075 ) ( 2728560 1331075 )
NEW met2 ( 2728560 1331075 ) ( 2728560 1332555 )
NEW met1 ( 1967280 1332555 ) ( 2678640 1332555 )
NEW met1 ( 2728560 1332555 ) ( 3201360 1332555 )
NEW met1 ( 3201360 1332555 ) M1M2_PR
NEW met1 ( 1917840 1331815 ) M1M2_PR
NEW met1 ( 1967280 1331815 ) M1M2_PR
NEW met1 ( 1967280 1332555 ) M1M2_PR
NEW met1 ( 2678640 1332555 ) M1M2_PR
NEW met1 ( 2678640 1331075 ) M1M2_PR
NEW met1 ( 2728560 1331075 ) M1M2_PR
NEW met1 ( 2728560 1332555 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[126\] ( mprj la_oen[126] ) ( mgmt_buffers la_oen_core[126] )
+ ROUTED met2 ( 3219600 1332185 ) ( 3219600 1377510 0 )
NEW met2 ( 1919040 1263550 0 ) ( 1919280 1263550 )
NEW met2 ( 1919280 1263550 ) ( 1919280 1332185 )
NEW met1 ( 1919280 1332185 ) ( 3219600 1332185 )
NEW met1 ( 3219600 1332185 ) M1M2_PR
NEW met1 ( 1919280 1332185 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[127\] ( mprj la_oen[127] ) ( mgmt_buffers la_oen_core[127] )
+ ROUTED met2 ( 1994160 1328855 ) ( 1994160 1331815 )
NEW met2 ( 3237360 1331815 ) ( 3237360 1377510 0 )
NEW met2 ( 1920960 1263550 0 ) ( 1920960 1264290 )
NEW met2 ( 1920960 1264290 ) ( 1921200 1264290 )
NEW met2 ( 1921200 1264290 ) ( 1921200 1328855 )
NEW met1 ( 1921200 1328855 ) ( 1994160 1328855 )
NEW met1 ( 1994160 1331815 ) ( 3237360 1331815 )
NEW met1 ( 1994160 1328855 ) M1M2_PR
NEW met1 ( 1994160 1331815 ) M1M2_PR
NEW met1 ( 3237360 1331815 ) M1M2_PR
NEW met1 ( 1921200 1328855 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[12\] ( mprj la_oen[12] ) ( mgmt_buffers la_oen_core[12] )
+ ROUTED met2 ( 1185360 1316090 ) ( 1185360 1377510 0 )
NEW met2 ( 1922640 1263550 ) ( 1922640 1316090 )
NEW met2 ( 1922640 1263550 ) ( 1922880 1263550 0 )
NEW met3 ( 1185360 1316090 ) ( 1922640 1316090 )
NEW met2 ( 1185360 1316090 ) via2_FR
NEW met2 ( 1922640 1316090 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[13\] ( mprj la_oen[13] ) ( mgmt_buffers la_oen_core[13] )
+ ROUTED met2 ( 1203600 1338105 ) ( 1203600 1377510 0 )
NEW met2 ( 1891920 1297035 ) ( 1891920 1338105 )
NEW met1 ( 1891920 1297035 ) ( 1896720 1297035 )
NEW met1 ( 1896720 1296665 ) ( 1896720 1297035 )
NEW met1 ( 1896720 1296665 ) ( 1924560 1296665 )
NEW met1 ( 1203600 1338105 ) ( 1891920 1338105 )
NEW met2 ( 1924560 1263550 0 ) ( 1924560 1296665 )
NEW met1 ( 1203600 1338105 ) M1M2_PR
NEW met1 ( 1891920 1338105 ) M1M2_PR
NEW met1 ( 1891920 1297035 ) M1M2_PR
NEW met1 ( 1924560 1296665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[14\] ( mprj la_oen[14] ) ( mgmt_buffers la_oen_core[14] )
+ ROUTED met2 ( 1221360 1338475 ) ( 1221360 1377510 0 )
NEW met2 ( 1926480 1263550 0 ) ( 1926480 1297035 )
NEW met1 ( 1918320 1297035 ) ( 1926480 1297035 )
NEW met2 ( 1918320 1297035 ) ( 1918320 1333665 )
NEW met1 ( 1913040 1333665 ) ( 1918320 1333665 )
NEW met2 ( 1913040 1333665 ) ( 1913040 1334775 )
NEW met1 ( 1892880 1334775 ) ( 1913040 1334775 )
NEW met2 ( 1892880 1334775 ) ( 1892880 1338475 )
NEW met1 ( 1221360 1338475 ) ( 1892880 1338475 )
NEW met1 ( 1221360 1338475 ) M1M2_PR
NEW met1 ( 1926480 1297035 ) M1M2_PR
NEW met1 ( 1918320 1297035 ) M1M2_PR
NEW met1 ( 1918320 1333665 ) M1M2_PR
NEW met1 ( 1913040 1333665 ) M1M2_PR
NEW met1 ( 1913040 1334775 ) M1M2_PR
NEW met1 ( 1892880 1334775 ) M1M2_PR
NEW met1 ( 1892880 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[15\] ( mprj la_oen[15] ) ( mgmt_buffers la_oen_core[15] )
+ ROUTED met2 ( 1239120 1338845 ) ( 1239120 1377510 0 )
NEW met2 ( 1928400 1263550 0 ) ( 1928400 1327190 )
NEW met3 ( 1911120 1327190 ) ( 1928400 1327190 )
NEW met2 ( 1911120 1327190 ) ( 1911120 1335145 )
NEW met1 ( 1909680 1335145 ) ( 1911120 1335145 )
NEW met1 ( 1909680 1335145 ) ( 1909680 1335515 )
NEW met1 ( 1862640 1335515 ) ( 1909680 1335515 )
NEW met2 ( 1862640 1335515 ) ( 1862640 1338845 )
NEW met1 ( 1239120 1338845 ) ( 1862640 1338845 )
NEW met1 ( 1239120 1338845 ) M1M2_PR
NEW met2 ( 1928400 1327190 ) via2_FR
NEW met2 ( 1911120 1327190 ) via2_FR
NEW met1 ( 1911120 1335145 ) M1M2_PR
NEW met1 ( 1862640 1335515 ) M1M2_PR
NEW met1 ( 1862640 1338845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[16\] ( mprj la_oen[16] ) ( mgmt_buffers la_oen_core[16] )
+ ROUTED met2 ( 1256880 1324785 ) ( 1256880 1377510 0 )
NEW met2 ( 1930080 1263550 0 ) ( 1930320 1263550 )
NEW met2 ( 1930320 1263550 ) ( 1930320 1324785 )
NEW met1 ( 1256880 1324785 ) ( 1930320 1324785 )
NEW met1 ( 1256880 1324785 ) M1M2_PR
NEW met1 ( 1930320 1324785 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[17\] ( mprj la_oen[17] ) ( mgmt_buffers la_oen_core[17] )
+ ROUTED met2 ( 1274640 1331445 ) ( 1274640 1377510 0 )
NEW met2 ( 1932000 1263550 0 ) ( 1932000 1264290 )
NEW met2 ( 1931760 1264290 ) ( 1932000 1264290 )
NEW met2 ( 1874160 1331445 ) ( 1874160 1332555 )
NEW met1 ( 1274640 1331445 ) ( 1874160 1331445 )
NEW met2 ( 1917360 1332555 ) ( 1917840 1332555 )
NEW met2 ( 1917840 1332555 ) ( 1917840 1334035 )
NEW met2 ( 1917840 1334035 ) ( 1918800 1334035 )
NEW met2 ( 1918800 1333665 ) ( 1918800 1334035 )
NEW met1 ( 1918800 1333665 ) ( 1931760 1333665 )
NEW met1 ( 1874160 1332555 ) ( 1917360 1332555 )
NEW met2 ( 1931760 1264290 ) ( 1931760 1333665 )
NEW met1 ( 1274640 1331445 ) M1M2_PR
NEW met1 ( 1874160 1332555 ) M1M2_PR
NEW met1 ( 1874160 1331445 ) M1M2_PR
NEW met1 ( 1917360 1332555 ) M1M2_PR
NEW met1 ( 1918800 1333665 ) M1M2_PR
NEW met1 ( 1931760 1333665 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[18\] ( mprj la_oen[18] ) ( mgmt_buffers la_oen_core[18] )
+ ROUTED met2 ( 1292880 1331075 ) ( 1292880 1377510 0 )
NEW met2 ( 1933680 1263550 ) ( 1933920 1263550 0 )
NEW met2 ( 1933680 1263550 ) ( 1933680 1333295 )
NEW met1 ( 1892880 1333295 ) ( 1933680 1333295 )
NEW met2 ( 1892880 1331075 ) ( 1892880 1333295 )
NEW met1 ( 1292880 1331075 ) ( 1892880 1331075 )
NEW met1 ( 1292880 1331075 ) M1M2_PR
NEW met1 ( 1933680 1333295 ) M1M2_PR
NEW met1 ( 1892880 1333295 ) M1M2_PR
NEW met1 ( 1892880 1331075 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[19\] ( mprj la_oen[19] ) ( mgmt_buffers la_oen_core[19] )
+ ROUTED met2 ( 1310640 1330705 ) ( 1310640 1377510 0 )
NEW met2 ( 1935600 1263550 0 ) ( 1935600 1295370 )
NEW met2 ( 1934160 1295370 ) ( 1935600 1295370 )
NEW met1 ( 1894800 1329595 ) ( 1894800 1331075 )
NEW met1 ( 1893840 1331075 ) ( 1894800 1331075 )
NEW met1 ( 1893840 1330705 ) ( 1893840 1331075 )
NEW met1 ( 1310640 1330705 ) ( 1893840 1330705 )
NEW met2 ( 1915440 1329595 ) ( 1915920 1329595 )
NEW met2 ( 1915920 1317755 ) ( 1915920 1329595 )
NEW met1 ( 1915920 1317755 ) ( 1934160 1317755 )
NEW met1 ( 1894800 1329595 ) ( 1915440 1329595 )
NEW met2 ( 1934160 1295370 ) ( 1934160 1317755 )
NEW met1 ( 1310640 1330705 ) M1M2_PR
NEW met1 ( 1915440 1329595 ) M1M2_PR
NEW met1 ( 1915920 1317755 ) M1M2_PR
NEW met1 ( 1934160 1317755 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[1\] ( mprj la_oen[1] ) ( mgmt_buffers la_oen_core[1] )
+ ROUTED met2 ( 989520 1323490 ) ( 989520 1377510 0 )
NEW met2 ( 1937520 1263550 0 ) ( 1937520 1323490 )
NEW met3 ( 989520 1323490 ) ( 1937520 1323490 )
NEW met2 ( 989520 1323490 ) via2_FR
NEW met2 ( 1937520 1323490 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[20\] ( mprj la_oen[20] ) ( mgmt_buffers la_oen_core[20] )
+ ROUTED met1 ( 1339440 1328115 ) ( 1339440 1329225 )
NEW met1 ( 1339440 1328115 ) ( 1439760 1328115 )
NEW met2 ( 1439760 1328115 ) ( 1439760 1330335 )
NEW met2 ( 1328400 1329225 ) ( 1328400 1377510 0 )
NEW met1 ( 1328400 1329225 ) ( 1339440 1329225 )
NEW met2 ( 1939440 1263550 0 ) ( 1939440 1334405 )
NEW met1 ( 1895760 1334405 ) ( 1939440 1334405 )
NEW met1 ( 1895760 1334035 ) ( 1895760 1334405 )
NEW met1 ( 1893840 1334035 ) ( 1895760 1334035 )
NEW met2 ( 1893840 1330335 ) ( 1893840 1334035 )
NEW met1 ( 1439760 1330335 ) ( 1893840 1330335 )
NEW met1 ( 1439760 1328115 ) M1M2_PR
NEW met1 ( 1439760 1330335 ) M1M2_PR
NEW met1 ( 1328400 1329225 ) M1M2_PR
NEW met1 ( 1939440 1334405 ) M1M2_PR
NEW met1 ( 1893840 1334035 ) M1M2_PR
NEW met1 ( 1893840 1330335 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[21\] ( mprj la_oen[21] ) ( mgmt_buffers la_oen_core[21] )
+ ROUTED met2 ( 1346160 1301105 ) ( 1346160 1377510 0 )
NEW met2 ( 1941120 1263550 0 ) ( 1941360 1263550 )
NEW met2 ( 1941360 1263550 ) ( 1941360 1301105 )
NEW met1 ( 1346160 1301105 ) ( 1941360 1301105 )
NEW met1 ( 1346160 1301105 ) M1M2_PR
NEW met1 ( 1941360 1301105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[22\] ( mprj la_oen[22] ) ( mgmt_buffers la_oen_core[22] )
+ ROUTED met2 ( 1363920 1329965 ) ( 1363920 1377510 0 )
NEW met2 ( 1742640 1329965 ) ( 1742640 1334775 )
NEW met1 ( 1742640 1334775 ) ( 1842960 1334775 )
NEW met2 ( 1842960 1329965 ) ( 1842960 1334775 )
NEW met1 ( 1363920 1329965 ) ( 1742640 1329965 )
NEW met2 ( 1943040 1263550 0 ) ( 1943040 1264290 )
NEW met2 ( 1942800 1264290 ) ( 1943040 1264290 )
NEW met2 ( 1890960 1329965 ) ( 1890960 1332185 )
NEW met1 ( 1842960 1329965 ) ( 1890960 1329965 )
NEW met2 ( 1916880 1330705 ) ( 1916880 1332185 )
NEW met1 ( 1916880 1330705 ) ( 1942800 1330705 )
NEW met1 ( 1890960 1332185 ) ( 1916880 1332185 )
NEW met2 ( 1942800 1264290 ) ( 1942800 1330705 )
NEW met1 ( 1363920 1329965 ) M1M2_PR
NEW met1 ( 1742640 1329965 ) M1M2_PR
NEW met1 ( 1742640 1334775 ) M1M2_PR
NEW met1 ( 1842960 1334775 ) M1M2_PR
NEW met1 ( 1842960 1329965 ) M1M2_PR
NEW met1 ( 1890960 1332185 ) M1M2_PR
NEW met1 ( 1890960 1329965 ) M1M2_PR
NEW met1 ( 1916880 1332185 ) M1M2_PR
NEW met1 ( 1916880 1330705 ) M1M2_PR
NEW met1 ( 1942800 1330705 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[23\] ( mprj la_oen[23] ) ( mgmt_buffers la_oen_core[23] )
+ ROUTED met2 ( 1381680 1343285 ) ( 1381680 1377510 0 )
NEW met2 ( 1944240 1279090 ) ( 1944240 1326450 )
NEW met2 ( 1944240 1279090 ) ( 1944720 1279090 )
NEW met2 ( 1944720 1263550 ) ( 1944720 1279090 )
NEW met2 ( 1944720 1263550 ) ( 1944960 1263550 0 )
NEW met1 ( 1381680 1343285 ) ( 1943760 1343285 )
NEW met2 ( 1943760 1326450 ) ( 1943760 1343285 )
NEW met2 ( 1943760 1326450 ) ( 1944240 1326450 )
NEW met1 ( 1381680 1343285 ) M1M2_PR
NEW met1 ( 1943760 1343285 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[24\] ( mprj la_oen[24] ) ( mgmt_buffers la_oen_core[24] )
+ ROUTED met2 ( 1399920 1328855 ) ( 1399920 1377510 0 )
NEW met2 ( 1946640 1263550 0 ) ( 1946640 1293150 )
NEW met2 ( 1945680 1293150 ) ( 1946640 1293150 )
NEW met2 ( 1945680 1293150 ) ( 1945680 1325895 )
NEW met2 ( 1893360 1325895 ) ( 1893360 1328855 )
NEW met1 ( 1399920 1328855 ) ( 1893360 1328855 )
NEW met1 ( 1893360 1325895 ) ( 1945680 1325895 )
NEW met1 ( 1399920 1328855 ) M1M2_PR
NEW met1 ( 1945680 1325895 ) M1M2_PR
NEW met1 ( 1893360 1328855 ) M1M2_PR
NEW met1 ( 1893360 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[25\] ( mprj la_oen[25] ) ( mgmt_buffers la_oen_core[25] )
+ ROUTED met2 ( 1417200 1342175 ) ( 1417200 1377510 0 )
NEW met2 ( 1948560 1263550 0 ) ( 1948560 1342545 )
NEW met2 ( 1440240 1341065 ) ( 1440240 1342175 )
NEW met1 ( 1440240 1341065 ) ( 1502640 1341065 )
NEW met1 ( 1502640 1341065 ) ( 1502640 1342175 )
NEW met1 ( 1417200 1342175 ) ( 1440240 1342175 )
NEW met1 ( 1893840 1342545 ) ( 1893840 1342915 )
NEW met1 ( 1893840 1342545 ) ( 1948560 1342545 )
NEW met2 ( 1553520 1342175 ) ( 1553520 1342915 )
NEW met1 ( 1502640 1342175 ) ( 1553520 1342175 )
NEW met1 ( 1553520 1342915 ) ( 1893840 1342915 )
NEW met1 ( 1417200 1342175 ) M1M2_PR
NEW met1 ( 1948560 1342545 ) M1M2_PR
NEW met1 ( 1440240 1342175 ) M1M2_PR
NEW met1 ( 1440240 1341065 ) M1M2_PR
NEW met1 ( 1553520 1342175 ) M1M2_PR
NEW met1 ( 1553520 1342915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[26\] ( mprj la_oen[26] ) ( mgmt_buffers la_oen_core[26] )
+ ROUTED met2 ( 1435440 1327375 ) ( 1435440 1377510 0 )
NEW met2 ( 1950480 1263550 0 ) ( 1950480 1296665 )
NEW met1 ( 1948080 1296665 ) ( 1950480 1296665 )
NEW met2 ( 1948080 1296665 ) ( 1948080 1327005 )
NEW met2 ( 1876080 1327375 ) ( 1877040 1327375 )
NEW met2 ( 1877040 1327005 ) ( 1877040 1327375 )
NEW met1 ( 1435440 1327375 ) ( 1876080 1327375 )
NEW met1 ( 1877040 1327005 ) ( 1948080 1327005 )
NEW met1 ( 1435440 1327375 ) M1M2_PR
NEW met1 ( 1950480 1296665 ) M1M2_PR
NEW met1 ( 1948080 1296665 ) M1M2_PR
NEW met1 ( 1948080 1327005 ) M1M2_PR
NEW met1 ( 1876080 1327375 ) M1M2_PR
NEW met1 ( 1877040 1327005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[27\] ( mprj la_oen[27] ) ( mgmt_buffers la_oen_core[27] )
+ ROUTED met2 ( 1952160 1263550 0 ) ( 1952160 1265030 )
NEW met2 ( 1951920 1265030 ) ( 1952160 1265030 )
NEW met2 ( 1951920 1265030 ) ( 1951920 1342175 )
NEW met1 ( 1496880 1342175 ) ( 1496880 1342545 )
NEW met1 ( 1453200 1342175 ) ( 1496880 1342175 )
NEW met2 ( 1453200 1342175 ) ( 1453200 1377510 0 )
NEW met1 ( 1893360 1342175 ) ( 1893360 1342545 )
NEW met1 ( 1893360 1342175 ) ( 1951920 1342175 )
NEW met2 ( 1540080 1342545 ) ( 1540080 1342730 )
NEW met2 ( 1540080 1342730 ) ( 1541040 1342730 )
NEW met2 ( 1541040 1342545 ) ( 1541040 1342730 )
NEW met1 ( 1496880 1342545 ) ( 1540080 1342545 )
NEW met1 ( 1541040 1342545 ) ( 1893360 1342545 )
NEW met1 ( 1951920 1342175 ) M1M2_PR
NEW met1 ( 1453200 1342175 ) M1M2_PR
NEW met1 ( 1540080 1342545 ) M1M2_PR
NEW met1 ( 1541040 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[28\] ( mprj la_oen[28] ) ( mgmt_buffers la_oen_core[28] )
+ ROUTED met2 ( 1954080 1263550 0 ) ( 1954080 1264290 )
NEW met2 ( 1953840 1264290 ) ( 1954080 1264290 )
NEW met2 ( 1953840 1264290 ) ( 1953840 1326265 )
NEW met2 ( 1470960 1326265 ) ( 1470960 1377510 0 )
NEW met1 ( 1470960 1326265 ) ( 1953840 1326265 )
NEW met1 ( 1953840 1326265 ) M1M2_PR
NEW met1 ( 1470960 1326265 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[29\] ( mprj la_oen[29] ) ( mgmt_buffers la_oen_core[29] )
+ ROUTED met2 ( 1955760 1263550 ) ( 1956000 1263550 0 )
NEW met2 ( 1955760 1263550 ) ( 1955760 1361045 )
NEW met2 ( 1489200 1361045 ) ( 1489200 1377510 0 )
NEW met1 ( 1489200 1361045 ) ( 1955760 1361045 )
NEW met1 ( 1955760 1361045 ) M1M2_PR
NEW met1 ( 1489200 1361045 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[2\] ( mprj la_oen[2] ) ( mgmt_buffers la_oen_core[2] )
+ ROUTED met2 ( 1006800 1332185 ) ( 1006800 1377510 0 )
NEW met2 ( 1890480 1325895 ) ( 1890480 1332185 )
NEW met1 ( 1890480 1325895 ) ( 1892880 1325895 )
NEW met2 ( 1892880 1324970 ) ( 1892880 1325895 )
NEW met2 ( 1892880 1324970 ) ( 1894320 1324970 )
NEW met2 ( 1894320 1284825 ) ( 1894320 1324970 )
NEW met1 ( 1006800 1332185 ) ( 1890480 1332185 )
NEW met2 ( 1957680 1263550 0 ) ( 1957680 1275205 )
NEW met1 ( 1943760 1275205 ) ( 1957680 1275205 )
NEW met2 ( 1943760 1275205 ) ( 1943760 1284825 )
NEW met1 ( 1894320 1284825 ) ( 1943760 1284825 )
NEW met1 ( 1006800 1332185 ) M1M2_PR
NEW met1 ( 1890480 1332185 ) M1M2_PR
NEW met1 ( 1890480 1325895 ) M1M2_PR
NEW met1 ( 1892880 1325895 ) M1M2_PR
NEW met1 ( 1894320 1284825 ) M1M2_PR
NEW met1 ( 1957680 1275205 ) M1M2_PR
NEW met1 ( 1943760 1275205 ) M1M2_PR
NEW met1 ( 1943760 1284825 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[30\] ( mprj la_oen[30] ) ( mgmt_buffers la_oen_core[30] )
+ ROUTED met2 ( 1959600 1263550 0 ) ( 1959600 1325895 )
NEW met1 ( 1946160 1325895 ) ( 1959600 1325895 )
NEW met1 ( 1946160 1325525 ) ( 1946160 1325895 )
NEW met2 ( 1506480 1325895 ) ( 1506480 1377510 0 )
NEW met1 ( 1875120 1325525 ) ( 1875120 1325895 )
NEW met1 ( 1506480 1325895 ) ( 1875120 1325895 )
NEW met1 ( 1875120 1325525 ) ( 1946160 1325525 )
NEW met1 ( 1959600 1325895 ) M1M2_PR
NEW met1 ( 1506480 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[31\] ( mprj la_oen[31] ) ( mgmt_buffers la_oen_core[31] )
+ ROUTED met1 ( 1554000 1341435 ) ( 1554000 1342175 )
NEW met2 ( 1961520 1263550 0 ) ( 1961520 1330705 )
NEW met1 ( 1945200 1330705 ) ( 1961520 1330705 )
NEW met2 ( 1945200 1330705 ) ( 1945200 1341805 )
NEW met2 ( 1524720 1341435 ) ( 1524720 1377510 0 )
NEW met1 ( 1524720 1341435 ) ( 1554000 1341435 )
NEW met1 ( 1892880 1341805 ) ( 1892880 1342175 )
NEW met1 ( 1554000 1342175 ) ( 1892880 1342175 )
NEW met1 ( 1892880 1341805 ) ( 1945200 1341805 )
NEW met1 ( 1945200 1341805 ) M1M2_PR
NEW met1 ( 1961520 1330705 ) M1M2_PR
NEW met1 ( 1945200 1330705 ) M1M2_PR
NEW met1 ( 1524720 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[32\] ( mprj la_oen[32] ) ( mgmt_buffers la_oen_core[32] )
+ ROUTED met2 ( 1542480 1361415 ) ( 1542480 1377510 0 )
NEW met2 ( 1963200 1263550 0 ) ( 1963440 1263550 )
NEW met2 ( 1963440 1263550 ) ( 1963440 1361415 )
NEW met1 ( 1542480 1361415 ) ( 1963440 1361415 )
NEW met1 ( 1542480 1361415 ) M1M2_PR
NEW met1 ( 1963440 1361415 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[33\] ( mprj la_oen[33] ) ( mgmt_buffers la_oen_core[33] )
+ ROUTED met2 ( 1560240 1311835 ) ( 1560240 1377510 0 )
NEW met2 ( 1965120 1263550 0 ) ( 1965120 1264845 )
NEW met1 ( 1942320 1264845 ) ( 1965120 1264845 )
NEW met2 ( 1834320 1284455 ) ( 1834320 1311835 )
NEW met1 ( 1560240 1311835 ) ( 1834320 1311835 )
NEW met2 ( 1892400 1284455 ) ( 1892400 1286490 )
NEW met3 ( 1892400 1286490 ) ( 1942320 1286490 )
NEW met1 ( 1834320 1284455 ) ( 1892400 1284455 )
NEW met2 ( 1942320 1264845 ) ( 1942320 1286490 )
NEW met1 ( 1560240 1311835 ) M1M2_PR
NEW met1 ( 1965120 1264845 ) M1M2_PR
NEW met1 ( 1942320 1264845 ) M1M2_PR
NEW met1 ( 1834320 1311835 ) M1M2_PR
NEW met1 ( 1834320 1284455 ) M1M2_PR
NEW met1 ( 1892400 1284455 ) M1M2_PR
NEW met2 ( 1892400 1286490 ) via2_FR
NEW met2 ( 1942320 1286490 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[34\] ( mprj la_oen[34] ) ( mgmt_buffers la_oen_core[34] )
+ ROUTED met2 ( 1578480 1341805 ) ( 1578480 1377510 0 )
NEW met2 ( 1966800 1263550 ) ( 1967040 1263550 0 )
NEW met2 ( 1966800 1263550 ) ( 1966800 1341435 )
NEW met1 ( 1891440 1341435 ) ( 1891440 1341805 )
NEW met1 ( 1578480 1341805 ) ( 1891440 1341805 )
NEW met1 ( 1891440 1341435 ) ( 1966800 1341435 )
NEW met1 ( 1578480 1341805 ) M1M2_PR
NEW met1 ( 1966800 1341435 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[35\] ( mprj la_oen[35] ) ( mgmt_buffers la_oen_core[35] )
+ ROUTED met2 ( 1595760 1316275 ) ( 1595760 1377510 0 )
NEW met2 ( 1968720 1263550 0 ) ( 1968720 1285935 )
NEW met2 ( 1665840 1285935 ) ( 1665840 1316275 )
NEW met1 ( 1595760 1316275 ) ( 1665840 1316275 )
NEW met1 ( 1665840 1285935 ) ( 1968720 1285935 )
NEW met1 ( 1595760 1316275 ) M1M2_PR
NEW met1 ( 1968720 1285935 ) M1M2_PR
NEW met1 ( 1665840 1316275 ) M1M2_PR
NEW met1 ( 1665840 1285935 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[36\] ( mprj la_oen[36] ) ( mgmt_buffers la_oen_core[36] )
+ ROUTED met2 ( 1970640 1263550 0 ) ( 1970640 1299070 )
NEW met2 ( 1969680 1299070 ) ( 1970640 1299070 )
NEW met2 ( 1969680 1299070 ) ( 1969680 1343655 )
NEW met2 ( 1742160 1339955 ) ( 1742160 1341435 )
NEW met2 ( 1890960 1341435 ) ( 1890960 1343655 )
NEW met1 ( 1742160 1341435 ) ( 1890960 1341435 )
NEW met1 ( 1890960 1343655 ) ( 1969680 1343655 )
NEW met2 ( 1698960 1339770 ) ( 1698960 1339955 )
NEW met3 ( 1613520 1339770 ) ( 1698960 1339770 )
NEW met2 ( 1613520 1339770 ) ( 1613520 1377510 0 )
NEW met1 ( 1698960 1339955 ) ( 1742160 1339955 )
NEW met1 ( 1969680 1343655 ) M1M2_PR
NEW met1 ( 1742160 1339955 ) M1M2_PR
NEW met1 ( 1742160 1341435 ) M1M2_PR
NEW met1 ( 1890960 1341435 ) M1M2_PR
NEW met1 ( 1890960 1343655 ) M1M2_PR
NEW met1 ( 1698960 1339955 ) M1M2_PR
NEW met2 ( 1698960 1339770 ) via2_FR
NEW met2 ( 1613520 1339770 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[37\] ( mprj la_oen[37] ) ( mgmt_buffers la_oen_core[37] )
+ ROUTED met1 ( 1631760 1339215 ) ( 1640400 1339215 )
NEW met2 ( 1631760 1339215 ) ( 1631760 1377510 0 )
NEW met2 ( 1640400 1315165 ) ( 1640400 1339215 )
NEW met2 ( 1972560 1263550 0 ) ( 1972560 1286305 )
NEW met2 ( 1667760 1286305 ) ( 1667760 1315165 )
NEW met1 ( 1640400 1315165 ) ( 1667760 1315165 )
NEW met1 ( 1667760 1286305 ) ( 1972560 1286305 )
NEW met1 ( 1640400 1339215 ) M1M2_PR
NEW met1 ( 1631760 1339215 ) M1M2_PR
NEW met1 ( 1640400 1315165 ) M1M2_PR
NEW met1 ( 1972560 1286305 ) M1M2_PR
NEW met1 ( 1667760 1315165 ) M1M2_PR
NEW met1 ( 1667760 1286305 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[38\] ( mprj la_oen[38] ) ( mgmt_buffers la_oen_core[38] )
+ ROUTED met2 ( 1974240 1263550 0 ) ( 1974480 1263550 )
NEW met2 ( 1649520 1325525 ) ( 1649520 1377510 0 )
NEW met2 ( 1874640 1325525 ) ( 1874640 1331445 )
NEW met1 ( 1649520 1325525 ) ( 1874640 1325525 )
NEW met1 ( 1874640 1331445 ) ( 1974480 1331445 )
NEW met2 ( 1974480 1263550 ) ( 1974480 1331445 )
NEW met1 ( 1649520 1325525 ) M1M2_PR
NEW met1 ( 1874640 1325525 ) M1M2_PR
NEW met1 ( 1874640 1331445 ) M1M2_PR
NEW met1 ( 1974480 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[39\] ( mprj la_oen[39] ) ( mgmt_buffers la_oen_core[39] )
+ ROUTED met2 ( 1976160 1263550 0 ) ( 1976160 1264290 )
NEW met2 ( 1975440 1264290 ) ( 1976160 1264290 )
NEW met2 ( 1975440 1264290 ) ( 1975440 1339955 )
NEW met1 ( 1710960 1340695 ) ( 1710960 1341065 )
NEW met2 ( 1894800 1339955 ) ( 1894800 1341065 )
NEW met1 ( 1710960 1341065 ) ( 1894800 1341065 )
NEW met1 ( 1894800 1339955 ) ( 1975440 1339955 )
NEW met2 ( 1667280 1340695 ) ( 1667280 1377510 0 )
NEW met1 ( 1667280 1340695 ) ( 1710960 1340695 )
NEW met1 ( 1975440 1339955 ) M1M2_PR
NEW met1 ( 1894800 1341065 ) M1M2_PR
NEW met1 ( 1894800 1339955 ) M1M2_PR
NEW met1 ( 1667280 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[3\] ( mprj la_oen[3] ) ( mgmt_buffers la_oen_core[3] )
+ ROUTED met2 ( 1025040 1336995 ) ( 1025040 1377510 0 )
NEW met2 ( 1977840 1263550 ) ( 1978080 1263550 0 )
NEW met1 ( 1025040 1336995 ) ( 1977840 1336995 )
NEW met2 ( 1977840 1263550 ) ( 1977840 1336995 )
NEW met1 ( 1025040 1336995 ) M1M2_PR
NEW met1 ( 1977840 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[40\] ( mprj la_oen[40] ) ( mgmt_buffers la_oen_core[40] )
+ ROUTED met2 ( 1698960 1321085 ) ( 1698960 1335885 )
NEW met1 ( 1685040 1335885 ) ( 1698960 1335885 )
NEW met2 ( 1685040 1335885 ) ( 1685040 1377510 0 )
NEW met2 ( 1843440 1284825 ) ( 1843440 1321085 )
NEW met1 ( 1698960 1321085 ) ( 1843440 1321085 )
NEW met1 ( 1893360 1284085 ) ( 1893360 1284825 )
NEW met1 ( 1893360 1284085 ) ( 1979760 1284085 )
NEW met1 ( 1843440 1284825 ) ( 1893360 1284825 )
NEW met2 ( 1979760 1263550 0 ) ( 1979760 1284085 )
NEW met1 ( 1698960 1321085 ) M1M2_PR
NEW met1 ( 1698960 1335885 ) M1M2_PR
NEW met1 ( 1685040 1335885 ) M1M2_PR
NEW met1 ( 1843440 1321085 ) M1M2_PR
NEW met1 ( 1843440 1284825 ) M1M2_PR
NEW met1 ( 1979760 1284085 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[41\] ( mprj la_oen[41] ) ( mgmt_buffers la_oen_core[41] )
+ ROUTED met2 ( 1800240 1285565 ) ( 1800240 1320345 )
NEW met2 ( 1981680 1263550 0 ) ( 1981680 1285565 )
NEW met2 ( 1702800 1320345 ) ( 1702800 1377510 0 )
NEW met1 ( 1702800 1320345 ) ( 1800240 1320345 )
NEW met1 ( 1800240 1285565 ) ( 1981680 1285565 )
NEW met1 ( 1800240 1320345 ) M1M2_PR
NEW met1 ( 1800240 1285565 ) M1M2_PR
NEW met1 ( 1981680 1285565 ) M1M2_PR
NEW met1 ( 1702800 1320345 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[42\] ( mprj la_oen[42] ) ( mgmt_buffers la_oen_core[42] )
+ ROUTED met1 ( 1742640 1339585 ) ( 1742640 1340325 )
NEW met1 ( 1742640 1339585 ) ( 1842960 1339585 )
NEW met2 ( 1842960 1339585 ) ( 1842960 1340695 )
NEW met2 ( 1983600 1263550 0 ) ( 1983600 1329595 )
NEW met2 ( 1741200 1340325 ) ( 1741200 1340510 )
NEW met3 ( 1722960 1340510 ) ( 1741200 1340510 )
NEW met2 ( 1722960 1340510 ) ( 1722960 1377510 )
NEW met2 ( 1721040 1377510 0 ) ( 1722960 1377510 )
NEW met1 ( 1741200 1340325 ) ( 1742640 1340325 )
NEW met2 ( 1883760 1339215 ) ( 1883760 1340695 )
NEW met1 ( 1883760 1339215 ) ( 1935120 1339215 )
NEW met1 ( 1842960 1340695 ) ( 1883760 1340695 )
NEW met2 ( 1935120 1329595 ) ( 1935120 1339215 )
NEW met1 ( 1935120 1329595 ) ( 1983600 1329595 )
NEW met1 ( 1842960 1339585 ) M1M2_PR
NEW met1 ( 1842960 1340695 ) M1M2_PR
NEW met1 ( 1983600 1329595 ) M1M2_PR
NEW met1 ( 1741200 1340325 ) M1M2_PR
NEW met2 ( 1741200 1340510 ) via2_FR
NEW met2 ( 1722960 1340510 ) via2_FR
NEW met1 ( 1883760 1340695 ) M1M2_PR
NEW met1 ( 1883760 1339215 ) M1M2_PR
NEW met1 ( 1935120 1339215 ) M1M2_PR
NEW met1 ( 1935120 1329595 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[43\] ( mprj la_oen[43] ) ( mgmt_buffers la_oen_core[43] )
+ ROUTED met2 ( 1738800 1319975 ) ( 1738800 1377510 0 )
NEW met2 ( 1985280 1263550 0 ) ( 1985520 1263550 )
NEW met2 ( 1985520 1263550 ) ( 1985520 1285750 )
NEW met3 ( 1915920 1285750 ) ( 1985520 1285750 )
NEW met2 ( 1915920 1283715 ) ( 1915920 1285750 )
NEW met2 ( 1842480 1283715 ) ( 1842480 1319975 )
NEW met1 ( 1738800 1319975 ) ( 1842480 1319975 )
NEW met1 ( 1842480 1283715 ) ( 1915920 1283715 )
NEW met1 ( 1738800 1319975 ) M1M2_PR
NEW met2 ( 1985520 1285750 ) via2_FR
NEW met2 ( 1915920 1285750 ) via2_FR
NEW met1 ( 1915920 1283715 ) M1M2_PR
NEW met1 ( 1842480 1319975 ) M1M2_PR
NEW met1 ( 1842480 1283715 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[44\] ( mprj la_oen[44] ) ( mgmt_buffers la_oen_core[44] )
+ ROUTED met2 ( 1756560 1336255 ) ( 1756560 1377510 0 )
NEW met2 ( 1987200 1263550 0 ) ( 1987200 1265030 )
NEW met2 ( 1987200 1265030 ) ( 1987920 1265030 )
NEW met2 ( 1987920 1265030 ) ( 1987920 1338105 )
NEW met2 ( 1893840 1336255 ) ( 1893840 1338105 )
NEW met1 ( 1756560 1336255 ) ( 1893840 1336255 )
NEW met1 ( 1893840 1338105 ) ( 1987920 1338105 )
NEW met1 ( 1756560 1336255 ) M1M2_PR
NEW met1 ( 1987920 1338105 ) M1M2_PR
NEW met1 ( 1893840 1336255 ) M1M2_PR
NEW met1 ( 1893840 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[45\] ( mprj la_oen[45] ) ( mgmt_buffers la_oen_core[45] )
+ ROUTED met2 ( 1774320 1336625 ) ( 1774320 1377510 0 )
NEW met2 ( 1988880 1263550 ) ( 1989120 1263550 0 )
NEW met2 ( 1988880 1263550 ) ( 1988880 1338845 )
NEW met2 ( 1863120 1336625 ) ( 1863120 1338845 )
NEW met1 ( 1774320 1336625 ) ( 1863120 1336625 )
NEW met1 ( 1863120 1338845 ) ( 1988880 1338845 )
NEW met1 ( 1774320 1336625 ) M1M2_PR
NEW met1 ( 1988880 1338845 ) M1M2_PR
NEW met1 ( 1863120 1336625 ) M1M2_PR
NEW met1 ( 1863120 1338845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[46\] ( mprj la_oen[46] ) ( mgmt_buffers la_oen_core[46] )
+ ROUTED met2 ( 1792080 1340325 ) ( 1792080 1377510 0 )
NEW met1 ( 1884240 1340325 ) ( 1884240 1340695 )
NEW met1 ( 1792080 1340325 ) ( 1884240 1340325 )
NEW met2 ( 1901040 1340510 ) ( 1901040 1340695 )
NEW met3 ( 1901040 1340510 ) ( 1990800 1340510 )
NEW met1 ( 1884240 1340695 ) ( 1901040 1340695 )
NEW met2 ( 1990800 1263550 0 ) ( 1990800 1340510 )
NEW met1 ( 1792080 1340325 ) M1M2_PR
NEW met1 ( 1901040 1340695 ) M1M2_PR
NEW met2 ( 1901040 1340510 ) via2_FR
NEW met2 ( 1990800 1340510 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[47\] ( mprj la_oen[47] ) ( mgmt_buffers la_oen_core[47] )
+ ROUTED met2 ( 1810320 1334405 ) ( 1810320 1377510 0 )
NEW met2 ( 1992720 1263550 0 ) ( 1992720 1298330 )
NEW met2 ( 1992240 1298330 ) ( 1992720 1298330 )
NEW met2 ( 1894320 1334405 ) ( 1894320 1336625 )
NEW met1 ( 1810320 1334405 ) ( 1894320 1334405 )
NEW met1 ( 1953840 1336255 ) ( 1953840 1336625 )
NEW met1 ( 1953840 1336255 ) ( 1992240 1336255 )
NEW met1 ( 1894320 1336625 ) ( 1953840 1336625 )
NEW met2 ( 1992240 1298330 ) ( 1992240 1336255 )
NEW met1 ( 1810320 1334405 ) M1M2_PR
NEW met1 ( 1894320 1334405 ) M1M2_PR
NEW met1 ( 1894320 1336625 ) M1M2_PR
NEW met1 ( 1992240 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[48\] ( mprj la_oen[48] ) ( mgmt_buffers la_oen_core[48] )
+ ROUTED met2 ( 1828080 1339215 ) ( 1828080 1377510 0 )
NEW met2 ( 1994640 1263550 0 ) ( 1994640 1325895 )
NEW met1 ( 1973040 1325895 ) ( 1994640 1325895 )
NEW met2 ( 1973040 1325895 ) ( 1973040 1339585 )
NEW met1 ( 1872720 1339215 ) ( 1872720 1339585 )
NEW met1 ( 1828080 1339215 ) ( 1872720 1339215 )
NEW met1 ( 1872720 1339585 ) ( 1973040 1339585 )
NEW met1 ( 1828080 1339215 ) M1M2_PR
NEW met1 ( 1973040 1339585 ) M1M2_PR
NEW met1 ( 1994640 1325895 ) M1M2_PR
NEW met1 ( 1973040 1325895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[49\] ( mprj la_oen[49] ) ( mgmt_buffers la_oen_core[49] )
+ ROUTED met2 ( 1432080 1165315 ) ( 1432080 1313685 )
NEW met2 ( 1930800 1165315 ) ( 1930800 1197690 )
NEW met2 ( 1930800 1197690 ) ( 1931040 1197690 )
NEW met2 ( 1931040 1197690 ) ( 1931040 1199170 0 )
NEW met1 ( 1432080 1165315 ) ( 1930800 1165315 )
NEW met1 ( 1432080 1313685 ) ( 1845360 1313685 )
NEW met2 ( 1845360 1313685 ) ( 1845360 1377510 0 )
NEW met1 ( 1432080 1165315 ) M1M2_PR
NEW met1 ( 1432080 1313685 ) M1M2_PR
NEW met1 ( 1930800 1165315 ) M1M2_PR
NEW met1 ( 1845360 1313685 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[4\] ( mprj la_oen[4] ) ( mgmt_buffers la_oen_core[4] )
+ ROUTED met2 ( 1996320 1263550 0 ) ( 1996560 1263550 )
NEW met2 ( 1996560 1263550 ) ( 1996560 1264290 )
NEW met2 ( 1996560 1264290 ) ( 1997040 1264290 )
NEW met2 ( 1997040 1264290 ) ( 1997040 1338475 )
NEW met2 ( 1042800 1337365 ) ( 1042800 1377510 0 )
NEW met2 ( 1900080 1337365 ) ( 1900080 1338475 )
NEW met1 ( 1042800 1337365 ) ( 1900080 1337365 )
NEW met1 ( 1900080 1338475 ) ( 1997040 1338475 )
NEW met1 ( 1997040 1338475 ) M1M2_PR
NEW met1 ( 1042800 1337365 ) M1M2_PR
NEW met1 ( 1900080 1337365 ) M1M2_PR
NEW met1 ( 1900080 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[50\] ( mprj la_oen[50] ) ( mgmt_buffers la_oen_core[50] )
+ ROUTED met2 ( 1415760 1224330 ) ( 1416240 1224330 )
NEW met2 ( 1416240 1165685 ) ( 1416240 1224330 )
NEW met3 ( 1415520 1244310 ) ( 1415760 1244310 )
NEW met4 ( 1415520 1244310 ) ( 1415520 1266510 )
NEW met3 ( 1414320 1266510 ) ( 1415520 1266510 )
NEW met2 ( 1414320 1266510 ) ( 1414320 1335145 )
NEW met2 ( 1415760 1224330 ) ( 1415760 1244310 )
NEW met2 ( 1932720 1165685 ) ( 1932720 1197690 )
NEW met2 ( 1932720 1197690 ) ( 1932960 1197690 )
NEW met2 ( 1932960 1197690 ) ( 1932960 1199170 0 )
NEW met1 ( 1416240 1165685 ) ( 1932720 1165685 )
NEW met1 ( 1414320 1335145 ) ( 1863600 1335145 )
NEW met2 ( 1863600 1335145 ) ( 1863600 1377510 0 )
NEW met1 ( 1416240 1165685 ) M1M2_PR
NEW met2 ( 1415760 1244310 ) via2_FR
NEW met3 ( 1415520 1244310 ) M3M4_PR_M
NEW met3 ( 1415520 1266510 ) M3M4_PR_M
NEW met2 ( 1414320 1266510 ) via2_FR
NEW met1 ( 1414320 1335145 ) M1M2_PR
NEW met1 ( 1932720 1165685 ) M1M2_PR
NEW met1 ( 1863600 1335145 ) M1M2_PR
NEW met3 ( 1415760 1244310 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- la_oen_user\[51\] ( mprj la_oen[51] ) ( mgmt_buffers la_oen_core[51] )
+ ROUTED met2 ( 1998240 1263550 0 ) ( 1998240 1264290 )
NEW met2 ( 1998000 1264290 ) ( 1998240 1264290 )
NEW met1 ( 1893360 1339955 ) ( 1893360 1340325 )
NEW met1 ( 1886160 1339955 ) ( 1893360 1339955 )
NEW met2 ( 1886160 1339955 ) ( 1886160 1343655 )
NEW met1 ( 1881360 1343655 ) ( 1886160 1343655 )
NEW met2 ( 1881360 1343655 ) ( 1881360 1377510 0 )
NEW met1 ( 1916400 1340325 ) ( 1916400 1340695 )
NEW met1 ( 1916400 1340695 ) ( 1998000 1340695 )
NEW met1 ( 1893360 1340325 ) ( 1916400 1340325 )
NEW met2 ( 1998000 1264290 ) ( 1998000 1340695 )
NEW met1 ( 1886160 1339955 ) M1M2_PR
NEW met1 ( 1886160 1343655 ) M1M2_PR
NEW met1 ( 1881360 1343655 ) M1M2_PR
NEW met1 ( 1998000 1340695 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[52\] ( mprj la_oen[52] ) ( mgmt_buffers la_oen_core[52] )
+ ROUTED met2 ( 1792560 1339955 ) ( 1792560 1344025 )
NEW met2 ( 1431120 1166795 ) ( 1431120 1341805 )
NEW met2 ( 1502160 1341805 ) ( 1502160 1344025 )
NEW met1 ( 1431120 1341805 ) ( 1502160 1341805 )
NEW met1 ( 1502160 1344025 ) ( 1792560 1344025 )
NEW met2 ( 1934640 1168645 ) ( 1934640 1199170 0 )
NEW met1 ( 1884720 1339955 ) ( 1884720 1340325 )
NEW met1 ( 1884720 1340325 ) ( 1892400 1340325 )
NEW met2 ( 1892400 1340325 ) ( 1892400 1353645 )
NEW met1 ( 1892400 1353645 ) ( 1897680 1353645 )
NEW met2 ( 1897680 1353645 ) ( 1897680 1377510 )
NEW met2 ( 1897680 1377510 ) ( 1899120 1377510 0 )
NEW met1 ( 1792560 1339955 ) ( 1884720 1339955 )
NEW met2 ( 1842960 1166795 ) ( 1843440 1166795 )
NEW met1 ( 1843440 1166795 ) ( 1862160 1166795 )
NEW met1 ( 1862160 1166795 ) ( 1862160 1167165 )
NEW met1 ( 1862160 1167165 ) ( 1884720 1167165 )
NEW met2 ( 1884720 1167165 ) ( 1884720 1168645 )
NEW met1 ( 1431120 1166795 ) ( 1842960 1166795 )
NEW met1 ( 1884720 1168645 ) ( 1934640 1168645 )
NEW met1 ( 1431120 1166795 ) M1M2_PR
NEW met1 ( 1431120 1341805 ) M1M2_PR
NEW met1 ( 1792560 1344025 ) M1M2_PR
NEW met1 ( 1792560 1339955 ) M1M2_PR
NEW met1 ( 1502160 1341805 ) M1M2_PR
NEW met1 ( 1502160 1344025 ) M1M2_PR
NEW met1 ( 1934640 1168645 ) M1M2_PR
NEW met1 ( 1892400 1340325 ) M1M2_PR
NEW met1 ( 1892400 1353645 ) M1M2_PR
NEW met1 ( 1897680 1353645 ) M1M2_PR
NEW met1 ( 1842960 1166795 ) M1M2_PR
NEW met1 ( 1843440 1166795 ) M1M2_PR
NEW met1 ( 1884720 1167165 ) M1M2_PR
NEW met1 ( 1884720 1168645 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[53\] ( mprj la_oen[53] ) ( mgmt_buffers la_oen_core[53] )
+ ROUTED met2 ( 1999920 1263550 ) ( 2000160 1263550 0 )
NEW met2 ( 1999920 1263550 ) ( 1999920 1264290 )
NEW met2 ( 1999440 1264290 ) ( 1999920 1264290 )
NEW met2 ( 1999440 1264290 ) ( 1999440 1340325 )
NEW met2 ( 1916880 1340325 ) ( 1916880 1377510 0 )
NEW met1 ( 1916880 1340325 ) ( 1999440 1340325 )
NEW met1 ( 1999440 1340325 ) M1M2_PR
NEW met1 ( 1916880 1340325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[54\] ( mprj la_oen[54] ) ( mgmt_buffers la_oen_core[54] )
+ ROUTED met2 ( 1434000 1191585 ) ( 1434000 1327005 )
NEW met2 ( 1936560 1191585 ) ( 1936560 1199170 0 )
NEW met1 ( 1876560 1327005 ) ( 1876560 1327375 )
NEW met1 ( 1876560 1327375 ) ( 1934640 1327375 )
NEW met1 ( 1434000 1327005 ) ( 1876560 1327005 )
NEW met2 ( 1934640 1327375 ) ( 1934640 1377510 0 )
NEW met1 ( 1434000 1191585 ) ( 1936560 1191585 )
NEW met1 ( 1434000 1191585 ) M1M2_PR
NEW met1 ( 1434000 1327005 ) M1M2_PR
NEW met1 ( 1936560 1191585 ) M1M2_PR
NEW met1 ( 1934640 1327375 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[55\] ( mprj la_oen[55] ) ( mgmt_buffers la_oen_core[55] )
+ ROUTED met1 ( 1952880 1342545 ) ( 2001840 1342545 )
NEW met2 ( 1952880 1342545 ) ( 1952880 1377510 0 )
NEW met2 ( 2001840 1263550 0 ) ( 2001840 1342545 )
NEW met1 ( 2001840 1342545 ) M1M2_PR
NEW met1 ( 1952880 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[56\] ( mprj la_oen[56] ) ( mgmt_buffers la_oen_core[56] )
+ ROUTED met2 ( 1415280 1223590 ) ( 1415760 1223590 )
NEW met2 ( 1415760 1166425 ) ( 1415760 1223590 )
NEW met2 ( 1415280 1245050 ) ( 1415760 1245050 )
NEW met2 ( 1415760 1245050 ) ( 1415760 1254115 )
NEW met1 ( 1413840 1254115 ) ( 1415760 1254115 )
NEW met2 ( 1413840 1254115 ) ( 1413840 1329225 )
NEW met2 ( 1415280 1223590 ) ( 1415280 1245050 )
NEW met2 ( 1970640 1329225 ) ( 1970640 1377510 0 )
NEW met2 ( 1861680 1166425 ) ( 1861680 1168275 )
NEW met1 ( 1861680 1168275 ) ( 1865040 1168275 )
NEW met1 ( 1865040 1168275 ) ( 1865040 1168645 )
NEW met1 ( 1865040 1168645 ) ( 1866480 1168645 )
NEW met1 ( 1866480 1168645 ) ( 1866480 1169015 )
NEW met1 ( 1866480 1169015 ) ( 1938480 1169015 )
NEW met2 ( 1938480 1169015 ) ( 1938480 1199170 0 )
NEW met1 ( 1415760 1166425 ) ( 1861680 1166425 )
NEW met1 ( 1413840 1329225 ) ( 1970640 1329225 )
NEW met1 ( 1415760 1166425 ) M1M2_PR
NEW met1 ( 1415760 1254115 ) M1M2_PR
NEW met1 ( 1413840 1254115 ) M1M2_PR
NEW met1 ( 1413840 1329225 ) M1M2_PR
NEW met1 ( 1970640 1329225 ) M1M2_PR
NEW met1 ( 1861680 1166425 ) M1M2_PR
NEW met1 ( 1861680 1168275 ) M1M2_PR
NEW met1 ( 1938480 1169015 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[57\] ( mprj la_oen[57] ) ( mgmt_buffers la_oen_core[57] )
+ ROUTED met1 ( 1988400 1344395 ) ( 2003760 1344395 )
NEW met2 ( 1988400 1344395 ) ( 1988400 1377510 0 )
NEW met2 ( 2003760 1263550 0 ) ( 2003760 1344395 )
NEW met1 ( 2003760 1344395 ) M1M2_PR
NEW met1 ( 1988400 1344395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[58\] ( mprj la_oen[58] ) ( mgmt_buffers la_oen_core[58] )
+ ROUTED met2 ( 2042160 1169570 ) ( 2042160 1171605 )
NEW met2 ( 2006160 1342730 ) ( 2006160 1377510 0 )
NEW met3 ( 2238240 1342730 ) ( 2238240 1345690 )
NEW met2 ( 1940400 1171605 ) ( 1940400 1199170 0 )
NEW met1 ( 1940400 1171605 ) ( 2042160 1171605 )
NEW met2 ( 2047440 1166425 ) ( 2047440 1169570 )
NEW met1 ( 2047440 1166425 ) ( 2059440 1166425 )
NEW met2 ( 2059440 1151995 ) ( 2059440 1166425 )
NEW met1 ( 2059440 1151995 ) ( 2140560 1151995 )
NEW met3 ( 2042160 1169570 ) ( 2047440 1169570 )
NEW met3 ( 2006160 1342730 ) ( 2238240 1342730 )
NEW met3 ( 2238240 1345690 ) ( 2262240 1345690 )
NEW met2 ( 2140560 1132570 ) ( 2140560 1151995 )
NEW met3 ( 2140560 1132570 ) ( 2262240 1132570 )
NEW met4 ( 2262240 1132570 ) ( 2262240 1345690 )
NEW met2 ( 2042160 1169570 ) via2_FR
NEW met1 ( 2042160 1171605 ) M1M2_PR
NEW met2 ( 2006160 1342730 ) via2_FR
NEW met1 ( 1940400 1171605 ) M1M2_PR
NEW met2 ( 2047440 1169570 ) via2_FR
NEW met1 ( 2047440 1166425 ) M1M2_PR
NEW met1 ( 2059440 1166425 ) M1M2_PR
NEW met1 ( 2059440 1151995 ) M1M2_PR
NEW met1 ( 2140560 1151995 ) M1M2_PR
NEW met3 ( 2262240 1345690 ) M3M4_PR_M
NEW met2 ( 2140560 1132570 ) via2_FR
NEW met3 ( 2262240 1132570 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_user\[59\] ( mprj la_oen[59] ) ( mgmt_buffers la_oen_core[59] )
+ ROUTED met2 ( 2005680 1263550 0 ) ( 2005680 1286305 )
NEW met1 ( 2005680 1286305 ) ( 2023920 1286305 )
NEW met2 ( 2023920 1286305 ) ( 2023920 1377510 0 )
NEW met1 ( 2005680 1286305 ) M1M2_PR
NEW met1 ( 2023920 1286305 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[5\] ( mprj la_oen[5] ) ( mgmt_buffers la_oen_core[5] )
+ ROUTED met1 ( 1061040 1344395 ) ( 1064880 1344395 )
NEW met2 ( 1061040 1344395 ) ( 1061040 1377510 0 )
NEW met2 ( 1941840 1193990 ) ( 1941840 1197690 )
NEW met2 ( 1941840 1197690 ) ( 1942080 1197690 )
NEW met2 ( 1942080 1197690 ) ( 1942080 1199170 0 )
NEW met3 ( 1064880 1193990 ) ( 1941840 1193990 )
NEW met2 ( 1064880 1193990 ) ( 1064880 1344395 )
NEW met2 ( 1064880 1193990 ) via2_FR
NEW met1 ( 1064880 1344395 ) M1M2_PR
NEW met1 ( 1061040 1344395 ) M1M2_PR
NEW met2 ( 1941840 1193990 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[60\] ( mprj la_oen[60] ) ( mgmt_buffers la_oen_core[60] )
+ ROUTED met1 ( 2007600 1344395 ) ( 2041680 1344395 )
NEW met2 ( 2041680 1344395 ) ( 2041680 1377510 0 )
NEW met2 ( 2007360 1263550 0 ) ( 2007600 1263550 )
NEW met2 ( 2007600 1263550 ) ( 2007600 1344395 )
NEW met1 ( 2007600 1344395 ) M1M2_PR
NEW met1 ( 2041680 1344395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[61\] ( mprj la_oen[61] ) ( mgmt_buffers la_oen_core[61] )
+ ROUTED met2 ( 1944000 1198430 ) ( 1944000 1199170 0 )
NEW met2 ( 1417680 1166055 ) ( 1417680 1360675 )
NEW met2 ( 1943760 1166055 ) ( 1943760 1198430 )
NEW met1 ( 1417680 1166055 ) ( 1943760 1166055 )
NEW met2 ( 1943760 1198430 ) ( 1944000 1198430 )
NEW met2 ( 2059920 1360675 ) ( 2059920 1377510 0 )
NEW met1 ( 1417680 1360675 ) ( 2059920 1360675 )
NEW met1 ( 1417680 1166055 ) M1M2_PR
NEW met1 ( 1417680 1360675 ) M1M2_PR
NEW met1 ( 1943760 1166055 ) M1M2_PR
NEW met1 ( 2059920 1360675 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[62\] ( mprj la_oen[62] ) ( mgmt_buffers la_oen_core[62] )
+ ROUTED met2 ( 2009280 1263550 0 ) ( 2009280 1265030 )
NEW met2 ( 2009280 1265030 ) ( 2009520 1265030 )
NEW met2 ( 2009520 1265030 ) ( 2009520 1339585 )
NEW met2 ( 2077680 1339585 ) ( 2077680 1377510 0 )
NEW met1 ( 2009520 1339585 ) ( 2077680 1339585 )
NEW met1 ( 2009520 1339585 ) M1M2_PR
NEW met1 ( 2077680 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[63\] ( mprj la_oen[63] ) ( mgmt_buffers la_oen_core[63] )
+ ROUTED met3 ( 2237280 1344210 ) ( 2237280 1346430 )
NEW met2 ( 2165520 1136270 ) ( 2165520 1147185 )
NEW met2 ( 2063280 1177710 ) ( 2063280 1178265 )
NEW met2 ( 2063280 1177710 ) ( 2064240 1177710 )
NEW met2 ( 2064240 1177710 ) ( 2064240 1179375 )
NEW met2 ( 2064240 1179375 ) ( 2065680 1179375 )
NEW met2 ( 2065680 1179375 ) ( 2065680 1179745 )
NEW met1 ( 2065680 1179745 ) ( 2092560 1179745 )
NEW met2 ( 2092560 1171235 ) ( 2092560 1179745 )
NEW met1 ( 2092560 1171235 ) ( 2095440 1171235 )
NEW met1 ( 2095440 1171235 ) ( 2095440 1171605 )
NEW met1 ( 2095440 1171605 ) ( 2140560 1171605 )
NEW met2 ( 2140560 1156250 ) ( 2140560 1171605 )
NEW met2 ( 2140560 1156250 ) ( 2141040 1156250 )
NEW met2 ( 2141040 1147185 ) ( 2141040 1156250 )
NEW met1 ( 2141040 1147185 ) ( 2165520 1147185 )
NEW met2 ( 2096880 1344210 ) ( 2096880 1377510 )
NEW met2 ( 2095440 1377510 0 ) ( 2096880 1377510 )
NEW met3 ( 2096880 1344210 ) ( 2237280 1344210 )
NEW met3 ( 2237280 1346430 ) ( 2261280 1346430 )
NEW met3 ( 2165520 1136270 ) ( 2261280 1136270 )
NEW met4 ( 2261280 1136270 ) ( 2261280 1346430 )
NEW met1 ( 1945680 1179005 ) ( 1995120 1179005 )
NEW met1 ( 1995120 1178265 ) ( 1995120 1179005 )
NEW met2 ( 1945680 1179005 ) ( 1945680 1199170 0 )
NEW met1 ( 1995120 1178265 ) ( 2063280 1178265 )
NEW met1 ( 2165520 1147185 ) M1M2_PR
NEW met2 ( 2165520 1136270 ) via2_FR
NEW met1 ( 2063280 1178265 ) M1M2_PR
NEW met1 ( 2065680 1179745 ) M1M2_PR
NEW met1 ( 2092560 1179745 ) M1M2_PR
NEW met1 ( 2092560 1171235 ) M1M2_PR
NEW met1 ( 2140560 1171605 ) M1M2_PR
NEW met1 ( 2141040 1147185 ) M1M2_PR
NEW met2 ( 2096880 1344210 ) via2_FR
NEW met3 ( 2261280 1346430 ) M3M4_PR_M
NEW met3 ( 2261280 1136270 ) M3M4_PR_M
NEW met1 ( 1945680 1179005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[64\] ( mprj la_oen[64] ) ( mgmt_buffers la_oen_core[64] )
+ ROUTED met2 ( 2010960 1263550 ) ( 2011200 1263550 0 )
NEW met2 ( 2010960 1263550 ) ( 2010960 1336995 )
NEW met1 ( 2010960 1336995 ) ( 2113200 1336995 )
NEW met2 ( 2113200 1336995 ) ( 2113200 1377510 0 )
NEW met1 ( 2010960 1336995 ) M1M2_PR
NEW met1 ( 2113200 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[65\] ( mprj la_oen[65] ) ( mgmt_buffers la_oen_core[65] )
+ ROUTED met4 ( 2003040 1184370 ) ( 2003040 1192510 )
NEW met3 ( 1947600 1184370 ) ( 2003040 1184370 )
NEW met2 ( 1947600 1184370 ) ( 1947600 1199170 0 )
NEW met4 ( 2236320 1192510 ) ( 2236320 1344950 )
NEW met3 ( 2003040 1192510 ) ( 2236320 1192510 )
NEW met2 ( 2130960 1344950 ) ( 2130960 1377510 0 )
NEW met3 ( 2130960 1344950 ) ( 2236320 1344950 )
NEW met3 ( 2003040 1192510 ) M3M4_PR_M
NEW met3 ( 2003040 1184370 ) M3M4_PR_M
NEW met2 ( 1947600 1184370 ) via2_FR
NEW met3 ( 2236320 1192510 ) M3M4_PR_M
NEW met3 ( 2236320 1344950 ) M3M4_PR_M
NEW met2 ( 2130960 1344950 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[66\] ( mprj la_oen[66] ) ( mgmt_buffers la_oen_core[66] )
+ ROUTED met2 ( 2012880 1263550 0 ) ( 2012880 1338845 )
NEW met2 ( 2149200 1338845 ) ( 2149200 1377510 0 )
NEW met1 ( 2012880 1338845 ) ( 2149200 1338845 )
NEW met1 ( 2012880 1338845 ) M1M2_PR
NEW met1 ( 2149200 1338845 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[67\] ( mprj la_oen[67] ) ( mgmt_buffers la_oen_core[67] )
+ ROUTED met2 ( 1949520 1195470 ) ( 1949520 1199170 0 )
NEW met2 ( 2166480 1348650 ) ( 2166480 1377510 0 )
NEW met3 ( 1949520 1195470 ) ( 2251680 1195470 )
NEW met3 ( 2166480 1348650 ) ( 2248800 1348650 )
NEW met4 ( 2248800 1274650 ) ( 2251680 1274650 )
NEW met4 ( 2248800 1274650 ) ( 2248800 1348650 )
NEW met4 ( 2251680 1195470 ) ( 2251680 1274650 )
NEW met2 ( 1949520 1195470 ) via2_FR
NEW met2 ( 2166480 1348650 ) via2_FR
NEW met3 ( 2251680 1195470 ) M3M4_PR_M
NEW met3 ( 2248800 1348650 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_user\[68\] ( mprj la_oen[68] ) ( mgmt_buffers la_oen_core[68] )
+ ROUTED met2 ( 2014800 1263550 0 ) ( 2014800 1338475 )
NEW met2 ( 2184720 1338475 ) ( 2184720 1377510 0 )
NEW met1 ( 2014800 1338475 ) ( 2184720 1338475 )
NEW met1 ( 2014800 1338475 ) M1M2_PR
NEW met1 ( 2184720 1338475 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[69\] ( mprj la_oen[69] ) ( mgmt_buffers la_oen_core[69] )
+ ROUTED met2 ( 1951440 1147370 ) ( 1951920 1147370 )
NEW met2 ( 1951440 1147370 ) ( 1951440 1199170 0 )
NEW met2 ( 2202480 1341990 ) ( 2202480 1377510 0 )
NEW met3 ( 1951920 1119250 ) ( 1952160 1119250 )
NEW met3 ( 1952160 1117030 ) ( 1952160 1119250 )
NEW met3 ( 1952160 1117030 ) ( 2001120 1117030 )
NEW met4 ( 2001120 1117030 ) ( 2001120 1119990 )
NEW met2 ( 1951920 1119250 ) ( 1951920 1147370 )
NEW met3 ( 2202480 1341990 ) ( 2246880 1341990 )
NEW met4 ( 2246880 1260405 ) ( 2247840 1260405 )
NEW met4 ( 2246880 1260405 ) ( 2246880 1341990 )
NEW met4 ( 2247840 1119250 ) ( 2247840 1260405 )
NEW met3 ( 2152800 1119250 ) ( 2152800 1119990 )
NEW met3 ( 2001120 1119990 ) ( 2152800 1119990 )
NEW met3 ( 2152800 1119250 ) ( 2247840 1119250 )
NEW met2 ( 2202480 1341990 ) via2_FR
NEW met2 ( 1951920 1119250 ) via2_FR
NEW met3 ( 2001120 1117030 ) M3M4_PR_M
NEW met3 ( 2001120 1119990 ) M3M4_PR_M
NEW met3 ( 2246880 1341990 ) M3M4_PR_M
NEW met3 ( 2247840 1119250 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_user\[6\] ( mprj la_oen[6] ) ( mgmt_buffers la_oen_core[6] )
+ ROUTED met2 ( 2016720 1263550 0 ) ( 2016720 1337735 )
NEW met2 ( 1078800 1337735 ) ( 1078800 1377510 0 )
NEW met1 ( 1892400 1337735 ) ( 1892400 1338105 )
NEW met1 ( 1892400 1338105 ) ( 1893360 1338105 )
NEW met1 ( 1893360 1337735 ) ( 1893360 1338105 )
NEW met1 ( 1078800 1337735 ) ( 1892400 1337735 )
NEW met1 ( 1893360 1337735 ) ( 2016720 1337735 )
NEW met1 ( 2016720 1337735 ) M1M2_PR
NEW met1 ( 1078800 1337735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[70\] ( mprj la_oen[70] ) ( mgmt_buffers la_oen_core[70] )
+ ROUTED met2 ( 1953360 1194730 ) ( 1953360 1197690 )
NEW met2 ( 1953120 1197690 ) ( 1953360 1197690 )
NEW met2 ( 1953120 1197690 ) ( 1953120 1199170 0 )
NEW met3 ( 2211360 1353090 ) ( 2220240 1353090 )
NEW met2 ( 2220240 1353090 ) ( 2220240 1377510 0 )
NEW met4 ( 2211360 1194730 ) ( 2211360 1353090 )
NEW met3 ( 1953360 1194730 ) ( 2211360 1194730 )
NEW met2 ( 1953360 1194730 ) via2_FR
NEW met3 ( 2211360 1194730 ) M3M4_PR_M
NEW met3 ( 2211360 1353090 ) M3M4_PR_M
NEW met2 ( 2220240 1353090 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[71\] ( mprj la_oen[71] ) ( mgmt_buffers la_oen_core[71] )
+ ROUTED met2 ( 2018400 1263550 0 ) ( 2018640 1263550 )
NEW met2 ( 2018640 1263550 ) ( 2018640 1301105 )
NEW met2 ( 2238480 1301105 ) ( 2238480 1377510 0 )
NEW met1 ( 2018640 1301105 ) ( 2238480 1301105 )
NEW met1 ( 2018640 1301105 ) M1M2_PR
NEW met1 ( 2238480 1301105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[72\] ( mprj la_oen[72] ) ( mgmt_buffers la_oen_core[72] )
+ ROUTED met2 ( 1955040 1197690 ) ( 1955280 1197690 )
NEW met2 ( 1955040 1197690 ) ( 1955040 1199170 0 )
NEW met2 ( 1955280 1125910 ) ( 1955280 1197690 )
NEW met3 ( 2247840 1352350 ) ( 2255760 1352350 )
NEW met2 ( 2255760 1352350 ) ( 2255760 1377510 0 )
NEW met3 ( 1955280 1125910 ) ( 2248800 1125910 )
NEW met4 ( 2247840 1263735 ) ( 2248800 1263735 )
NEW met4 ( 2247840 1263735 ) ( 2247840 1352350 )
NEW met4 ( 2248800 1125910 ) ( 2248800 1263735 )
NEW met2 ( 1955280 1125910 ) via2_FR
NEW met3 ( 2247840 1352350 ) M3M4_PR_M
NEW met2 ( 2255760 1352350 ) via2_FR
NEW met3 ( 2248800 1125910 ) M3M4_PR_M
+ USE SIGNAL ;
- la_oen_user\[73\] ( mprj la_oen[73] ) ( mgmt_buffers la_oen_core[73] )
+ ROUTED met2 ( 2020320 1263550 0 ) ( 2020320 1264290 )
NEW met2 ( 2020320 1264290 ) ( 2020560 1264290 )
NEW met2 ( 2020560 1264290 ) ( 2020560 1338105 )
NEW met1 ( 2020560 1338105 ) ( 2273520 1338105 )
NEW met2 ( 2273520 1338105 ) ( 2273520 1377510 0 )
NEW met1 ( 2020560 1338105 ) M1M2_PR
NEW met1 ( 2273520 1338105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[74\] ( mprj la_oen[74] ) ( mgmt_buffers la_oen_core[74] )
+ ROUTED met2 ( 2289840 1377510 ) ( 2291760 1377510 0 )
NEW met2 ( 2289840 1195655 ) ( 2289840 1377510 )
NEW met2 ( 1956720 1195655 ) ( 1956720 1199170 0 )
NEW met1 ( 1956720 1195655 ) ( 2289840 1195655 )
NEW met1 ( 2289840 1195655 ) M1M2_PR
NEW met1 ( 1956720 1195655 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[75\] ( mprj la_oen[75] ) ( mgmt_buffers la_oen_core[75] )
+ ROUTED met2 ( 2022000 1263550 ) ( 2022240 1263550 0 )
NEW met2 ( 2022000 1263550 ) ( 2022000 1337735 )
NEW met1 ( 2022000 1337735 ) ( 2309520 1337735 )
NEW met2 ( 2309520 1337735 ) ( 2309520 1377510 0 )
NEW met1 ( 2022000 1337735 ) M1M2_PR
NEW met1 ( 2309520 1337735 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[76\] ( mprj la_oen[76] ) ( mgmt_buffers la_oen_core[76] )
+ ROUTED met3 ( 2002080 1191770 ) ( 2002080 1192510 )
NEW met3 ( 1963440 1192510 ) ( 2002080 1192510 )
NEW met2 ( 1963440 1192510 ) ( 1963440 1195285 )
NEW met1 ( 1958640 1195285 ) ( 1963440 1195285 )
NEW met2 ( 1958640 1195285 ) ( 1958640 1199170 0 )
NEW met4 ( 2228640 1191770 ) ( 2228640 1347170 )
NEW met3 ( 2002080 1191770 ) ( 2228640 1191770 )
NEW met2 ( 2327760 1347170 ) ( 2327760 1377510 0 )
NEW met3 ( 2228640 1347170 ) ( 2327760 1347170 )
NEW met2 ( 1963440 1192510 ) via2_FR
NEW met1 ( 1963440 1195285 ) M1M2_PR
NEW met1 ( 1958640 1195285 ) M1M2_PR
NEW met3 ( 2228640 1191770 ) M3M4_PR_M
NEW met3 ( 2228640 1347170 ) M3M4_PR_M
NEW met2 ( 2327760 1347170 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[77\] ( mprj la_oen[77] ) ( mgmt_buffers la_oen_core[77] )
+ ROUTED met2 ( 2023920 1263550 0 ) ( 2023920 1274650 )
NEW met2 ( 2023920 1274650 ) ( 2024400 1274650 )
NEW met2 ( 2024400 1274650 ) ( 2024400 1337365 )
NEW met1 ( 2024400 1337365 ) ( 2345040 1337365 )
NEW met2 ( 2345040 1337365 ) ( 2345040 1377510 0 )
NEW met1 ( 2024400 1337365 ) M1M2_PR
NEW met1 ( 2345040 1337365 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[78\] ( mprj la_oen[78] ) ( mgmt_buffers la_oen_core[78] )
+ ROUTED met2 ( 1960560 1193990 ) ( 1960560 1199170 0 )
NEW met3 ( 2210400 1350130 ) ( 2216160 1350130 )
NEW met3 ( 2216160 1350130 ) ( 2216160 1350870 )
NEW met2 ( 2362800 1350870 ) ( 2362800 1377510 0 )
NEW met4 ( 2210400 1193990 ) ( 2210400 1350130 )
NEW met3 ( 1960560 1193990 ) ( 2210400 1193990 )
NEW met3 ( 2216160 1350870 ) ( 2362800 1350870 )
NEW met2 ( 1960560 1193990 ) via2_FR
NEW met3 ( 2210400 1193990 ) M3M4_PR_M
NEW met3 ( 2210400 1350130 ) M3M4_PR_M
NEW met2 ( 2362800 1350870 ) via2_FR
+ USE SIGNAL ;
- la_oen_user\[79\] ( mprj la_oen[79] ) ( mgmt_buffers la_oen_core[79] )
+ ROUTED met2 ( 2025840 1263550 0 ) ( 2025840 1331075 )
NEW met1 ( 2203440 1340325 ) ( 2203440 1340695 )
NEW met2 ( 2203440 1339955 ) ( 2203440 1340695 )
NEW met1 ( 2203440 1339955 ) ( 2303760 1339955 )
NEW met1 ( 2303760 1339955 ) ( 2303760 1340695 )
NEW met2 ( 2152560 1331075 ) ( 2152560 1340325 )
NEW met1 ( 2025840 1331075 ) ( 2152560 1331075 )
NEW met1 ( 2152560 1340325 ) ( 2203440 1340325 )
NEW met1 ( 2317680 1340695 ) ( 2317680 1341065 )
NEW met1 ( 2317680 1341065 ) ( 2381040 1341065 )
NEW met2 ( 2381040 1341065 ) ( 2381040 1377510 0 )
NEW met1 ( 2303760 1340695 ) ( 2317680 1340695 )
NEW met1 ( 2025840 1331075 ) M1M2_PR
NEW met1 ( 2203440 1340695 ) M1M2_PR
NEW met1 ( 2203440 1339955 ) M1M2_PR
NEW met1 ( 2152560 1331075 ) M1M2_PR
NEW met1 ( 2152560 1340325 ) M1M2_PR
NEW met1 ( 2381040 1341065 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[7\] ( mprj la_oen[7] ) ( mgmt_buffers la_oen_core[7] )
+ ROUTED met2 ( 1962480 1192510 ) ( 1962480 1199170 0 )
NEW met1 ( 1096080 1344395 ) ( 1107600 1344395 )
NEW met2 ( 1096080 1344395 ) ( 1096080 1377510 0 )
NEW met3 ( 1107600 1192510 ) ( 1962480 1192510 )
NEW met2 ( 1107600 1192510 ) ( 1107600 1344395 )
NEW met2 ( 1962480 1192510 ) via2_FR
NEW met2 ( 1107600 1192510 ) via2_FR
NEW met1 ( 1107600 1344395 ) M1M2_PR
NEW met1 ( 1096080 1344395 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[80\] ( mprj la_oen[80] ) ( mgmt_buffers la_oen_core[80] )
+ ROUTED met2 ( 2398800 1341435 ) ( 2398800 1377510 0 )
NEW met2 ( 2027760 1263550 0 ) ( 2027760 1299995 )
NEW met1 ( 2124720 1341435 ) ( 2398800 1341435 )
NEW met1 ( 2027760 1299995 ) ( 2124720 1299995 )
NEW met2 ( 2124720 1299995 ) ( 2124720 1341435 )
NEW met1 ( 2398800 1341435 ) M1M2_PR
NEW met1 ( 2027760 1299995 ) M1M2_PR
NEW met1 ( 2124720 1341435 ) M1M2_PR
NEW met1 ( 2124720 1299995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[81\] ( mprj la_oen[81] ) ( mgmt_buffers la_oen_core[81] )
+ ROUTED met2 ( 1963920 1197690 ) ( 1964160 1197690 )
NEW met2 ( 1964160 1197690 ) ( 1964160 1199170 0 )
NEW met2 ( 2415120 1377510 ) ( 2416560 1377510 0 )
NEW met2 ( 1963920 1120730 ) ( 1963920 1197690 )
NEW met1 ( 2405040 1288895 ) ( 2415120 1288895 )
NEW met2 ( 2405040 1120730 ) ( 2405040 1288895 )
NEW met2 ( 2415120 1288895 ) ( 2415120 1377510 )
NEW met3 ( 1963920 1120730 ) ( 2405040 1120730 )
NEW met2 ( 1963920 1120730 ) via2_FR
NEW met2 ( 2405040 1120730 ) via2_FR
NEW met1 ( 2405040 1288895 ) M1M2_PR
NEW met1 ( 2415120 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[82\] ( mprj la_oen[82] ) ( mgmt_buffers la_oen_core[82] )
+ ROUTED met2 ( 2434320 1341805 ) ( 2434320 1377510 0 )
NEW met2 ( 2029440 1263550 0 ) ( 2029680 1263550 )
NEW met2 ( 2029680 1263550 ) ( 2029680 1326265 )
NEW met1 ( 2116560 1341805 ) ( 2434320 1341805 )
NEW met1 ( 2029680 1326265 ) ( 2116560 1326265 )
NEW met2 ( 2116560 1326265 ) ( 2116560 1341805 )
NEW met1 ( 2434320 1341805 ) M1M2_PR
NEW met1 ( 2029680 1326265 ) M1M2_PR
NEW met1 ( 2116560 1341805 ) M1M2_PR
NEW met1 ( 2116560 1326265 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[83\] ( mprj la_oen[83] ) ( mgmt_buffers la_oen_core[83] )
+ ROUTED met2 ( 1966080 1197690 ) ( 1966320 1197690 )
NEW met2 ( 1966080 1197690 ) ( 1966080 1199170 0 )
NEW met2 ( 1966320 1126650 ) ( 1966320 1197690 )
NEW met2 ( 2448240 1188070 ) ( 2448720 1188070 )
NEW met2 ( 2448240 1377510 ) ( 2452080 1377510 0 )
NEW met2 ( 2131440 1126650 ) ( 2131440 1126835 )
NEW met3 ( 1966320 1126650 ) ( 2131440 1126650 )
NEW met1 ( 2131440 1126835 ) ( 2448720 1126835 )
NEW met2 ( 2448720 1126835 ) ( 2448720 1188070 )
NEW met2 ( 2448240 1188070 ) ( 2448240 1377510 )
NEW met2 ( 1966320 1126650 ) via2_FR
NEW met2 ( 2131440 1126650 ) via2_FR
NEW met1 ( 2131440 1126835 ) M1M2_PR
NEW met1 ( 2448720 1126835 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[84\] ( mprj la_oen[84] ) ( mgmt_buffers la_oen_core[84] )
+ ROUTED met2 ( 2031360 1263550 0 ) ( 2031360 1264290 )
NEW met2 ( 2031360 1264290 ) ( 2031600 1264290 )
NEW met2 ( 2031600 1264290 ) ( 2031600 1298885 )
NEW met2 ( 2470320 1342175 ) ( 2470320 1377510 0 )
NEW met1 ( 2128560 1342175 ) ( 2470320 1342175 )
NEW met1 ( 2031600 1298885 ) ( 2128560 1298885 )
NEW met2 ( 2128560 1298885 ) ( 2128560 1342175 )
NEW met1 ( 2031600 1298885 ) M1M2_PR
NEW met1 ( 2128560 1342175 ) M1M2_PR
NEW met1 ( 2470320 1342175 ) M1M2_PR
NEW met1 ( 2128560 1298885 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[85\] ( mprj la_oen[85] ) ( mgmt_buffers la_oen_core[85] )
+ ROUTED met2 ( 2030640 1171050 ) ( 2030640 1179005 )
NEW met3 ( 2001360 1171050 ) ( 2030640 1171050 )
NEW met2 ( 2001360 1171050 ) ( 2001360 1171975 )
NEW met1 ( 1967760 1171975 ) ( 2001360 1171975 )
NEW met2 ( 1967760 1171975 ) ( 1967760 1199170 0 )
NEW met2 ( 2486640 1377510 ) ( 2488080 1377510 0 )
NEW met1 ( 2477040 1288895 ) ( 2486640 1288895 )
NEW met2 ( 2477040 1170310 ) ( 2477040 1288895 )
NEW met2 ( 2486640 1288895 ) ( 2486640 1377510 )
NEW met2 ( 2178000 1170310 ) ( 2178000 1179005 )
NEW met1 ( 2030640 1179005 ) ( 2178000 1179005 )
NEW met3 ( 2178000 1170310 ) ( 2477040 1170310 )
NEW met1 ( 2030640 1179005 ) M1M2_PR
NEW met2 ( 2030640 1171050 ) via2_FR
NEW met2 ( 2001360 1171050 ) via2_FR
NEW met1 ( 2001360 1171975 ) M1M2_PR
NEW met1 ( 1967760 1171975 ) M1M2_PR
NEW met2 ( 2477040 1170310 ) via2_FR
NEW met1 ( 2477040 1288895 ) M1M2_PR
NEW met1 ( 2486640 1288895 ) M1M2_PR
NEW met2 ( 2178000 1170310 ) via2_FR
NEW met1 ( 2178000 1179005 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[86\] ( mprj la_oen[86] ) ( mgmt_buffers la_oen_core[86] )
+ ROUTED met2 ( 2033040 1263550 ) ( 2033280 1263550 0 )
NEW met2 ( 2033040 1263550 ) ( 2033040 1342545 )
NEW met2 ( 2505840 1342545 ) ( 2505840 1377510 0 )
NEW met1 ( 2033040 1342545 ) ( 2505840 1342545 )
NEW met1 ( 2033040 1342545 ) M1M2_PR
NEW met1 ( 2505840 1342545 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[87\] ( mprj la_oen[87] ) ( mgmt_buffers la_oen_core[87] )
+ ROUTED met2 ( 1969680 1190105 ) ( 1969680 1199170 0 )
NEW met2 ( 2520240 1377510 ) ( 2523600 1377510 0 )
NEW met2 ( 2520240 1190105 ) ( 2520240 1377510 )
NEW met1 ( 1969680 1190105 ) ( 2520240 1190105 )
NEW met1 ( 1969680 1190105 ) M1M2_PR
NEW met1 ( 2520240 1190105 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[88\] ( mprj la_oen[88] ) ( mgmt_buffers la_oen_core[88] )
+ ROUTED met2 ( 2034960 1263550 0 ) ( 2034960 1286305 )
NEW met1 ( 2034960 1286305 ) ( 2043600 1286305 )
NEW met2 ( 2043600 1286305 ) ( 2043600 1342915 )
NEW met2 ( 2541360 1342915 ) ( 2541360 1377510 0 )
NEW met1 ( 2043600 1342915 ) ( 2541360 1342915 )
NEW met1 ( 2043600 1342915 ) M1M2_PR
NEW met1 ( 2034960 1286305 ) M1M2_PR
NEW met1 ( 2043600 1286305 ) M1M2_PR
NEW met1 ( 2541360 1342915 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[89\] ( mprj la_oen[89] ) ( mgmt_buffers la_oen_core[89] )
+ ROUTED met2 ( 1971600 1147370 ) ( 1972080 1147370 )
NEW met2 ( 1971600 1147370 ) ( 1971600 1199170 0 )
NEW met2 ( 2558160 1377510 ) ( 2559600 1377510 0 )
NEW met2 ( 1972080 1122210 ) ( 1972080 1147370 )
NEW met1 ( 2549040 1288895 ) ( 2558160 1288895 )
NEW met2 ( 2549040 1122210 ) ( 2549040 1288895 )
NEW met2 ( 2558160 1288895 ) ( 2558160 1377510 )
NEW met3 ( 1972080 1122210 ) ( 2549040 1122210 )
NEW met2 ( 2549040 1122210 ) via2_FR
NEW met2 ( 1972080 1122210 ) via2_FR
NEW met1 ( 2549040 1288895 ) M1M2_PR
NEW met1 ( 2558160 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[8\] ( mprj la_oen[8] ) ( mgmt_buffers la_oen_core[8] )
+ ROUTED met2 ( 1949520 1341805 ) ( 1949520 1344395 )
NEW met1 ( 1949520 1341805 ) ( 1994160 1341805 )
NEW met2 ( 2036880 1263550 0 ) ( 2036880 1336255 )
NEW met1 ( 1994160 1336255 ) ( 2036880 1336255 )
NEW met2 ( 1994160 1336255 ) ( 1994160 1341805 )
NEW met1 ( 1137360 1344025 ) ( 1137360 1344395 )
NEW met1 ( 1114320 1344025 ) ( 1137360 1344025 )
NEW met2 ( 1114320 1344025 ) ( 1114320 1377510 0 )
NEW met1 ( 1137360 1344395 ) ( 1949520 1344395 )
NEW met1 ( 1949520 1344395 ) M1M2_PR
NEW met1 ( 1949520 1341805 ) M1M2_PR
NEW met1 ( 1994160 1341805 ) M1M2_PR
NEW met1 ( 2036880 1336255 ) M1M2_PR
NEW met1 ( 1994160 1336255 ) M1M2_PR
NEW met1 ( 1114320 1344025 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[90\] ( mprj la_oen[90] ) ( mgmt_buffers la_oen_core[90] )
+ ROUTED met2 ( 2034960 1157915 ) ( 2034960 1159210 )
NEW met1 ( 2033520 1157915 ) ( 2034960 1157915 )
NEW met1 ( 2033520 1157915 ) ( 2033520 1158285 )
NEW met1 ( 1973520 1158285 ) ( 2033520 1158285 )
NEW met2 ( 1973520 1158285 ) ( 1973520 1199170 0 )
NEW met2 ( 2575920 1377510 ) ( 2577360 1377510 0 )
NEW met2 ( 2145840 1128130 ) ( 2148240 1128130 )
NEW met2 ( 2148240 1128130 ) ( 2148240 1128315 )
NEW met1 ( 2148240 1128315 ) ( 2187120 1128315 )
NEW met1 ( 2187120 1128315 ) ( 2187120 1129055 )
NEW met2 ( 2145840 1128130 ) ( 2145840 1154770 )
NEW met1 ( 2563440 1288525 ) ( 2575920 1288525 )
NEW met2 ( 2563440 1128685 ) ( 2563440 1288525 )
NEW met2 ( 2575920 1288525 ) ( 2575920 1377510 )
NEW met2 ( 2140080 1155325 ) ( 2140080 1159210 )
NEW met1 ( 2140080 1155325 ) ( 2144880 1155325 )
NEW met2 ( 2144880 1154770 ) ( 2144880 1155325 )
NEW met3 ( 2034960 1159210 ) ( 2140080 1159210 )
NEW met2 ( 2144880 1154770 ) ( 2145840 1154770 )
NEW met1 ( 2286480 1128685 ) ( 2286480 1129055 )
NEW met1 ( 2187120 1129055 ) ( 2286480 1129055 )
NEW met1 ( 2286480 1128685 ) ( 2563440 1128685 )
NEW met1 ( 2563440 1128685 ) M1M2_PR
NEW met2 ( 2034960 1159210 ) via2_FR
NEW met1 ( 2034960 1157915 ) M1M2_PR
NEW met1 ( 1973520 1158285 ) M1M2_PR
NEW met1 ( 2148240 1128315 ) M1M2_PR
NEW met1 ( 2563440 1288525 ) M1M2_PR
NEW met1 ( 2575920 1288525 ) M1M2_PR
NEW met2 ( 2140080 1159210 ) via2_FR
NEW met1 ( 2140080 1155325 ) M1M2_PR
NEW met1 ( 2144880 1155325 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[91\] ( mprj la_oen[91] ) ( mgmt_buffers la_oen_core[91] )
+ ROUTED met2 ( 2594640 1342175 ) ( 2594640 1377510 0 )
NEW met2 ( 2038800 1263550 0 ) ( 2038800 1343285 )
NEW met2 ( 2045040 1341065 ) ( 2045040 1343285 )
NEW met1 ( 2045040 1341065 ) ( 2145360 1341065 )
NEW met2 ( 2145360 1341065 ) ( 2145360 1343285 )
NEW met1 ( 2038800 1343285 ) ( 2045040 1343285 )
NEW met2 ( 2296560 1343285 ) ( 2296560 1344395 )
NEW met1 ( 2145360 1343285 ) ( 2296560 1343285 )
NEW met2 ( 2498640 1342175 ) ( 2498640 1345135 )
NEW met1 ( 2498640 1342175 ) ( 2594640 1342175 )
NEW met2 ( 2354160 1344395 ) ( 2354160 1345135 )
NEW met1 ( 2296560 1344395 ) ( 2354160 1344395 )
NEW met1 ( 2354160 1345135 ) ( 2498640 1345135 )
NEW met1 ( 2038800 1343285 ) M1M2_PR
NEW met1 ( 2594640 1342175 ) M1M2_PR
NEW met1 ( 2045040 1343285 ) M1M2_PR
NEW met1 ( 2045040 1341065 ) M1M2_PR
NEW met1 ( 2145360 1341065 ) M1M2_PR
NEW met1 ( 2145360 1343285 ) M1M2_PR
NEW met1 ( 2296560 1343285 ) M1M2_PR
NEW met1 ( 2296560 1344395 ) M1M2_PR
NEW met1 ( 2498640 1345135 ) M1M2_PR
NEW met1 ( 2498640 1342175 ) M1M2_PR
NEW met1 ( 2354160 1344395 ) M1M2_PR
NEW met1 ( 2354160 1345135 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[92\] ( mprj la_oen[92] ) ( mgmt_buffers la_oen_core[92] )
+ ROUTED met2 ( 1974960 1197690 ) ( 1975200 1197690 )
NEW met2 ( 1975200 1197690 ) ( 1975200 1199170 0 )
NEW met2 ( 1974960 1123690 ) ( 1974960 1197690 )
NEW met2 ( 2131920 1123690 ) ( 2131920 1127945 )
NEW met3 ( 1974960 1123690 ) ( 2131920 1123690 )
NEW met1 ( 2131920 1127945 ) ( 2607120 1127945 )
NEW met1 ( 2611440 1372885 ) ( 2612880 1372885 )
NEW met2 ( 2612880 1372885 ) ( 2612880 1377510 0 )
NEW met1 ( 2607120 1339585 ) ( 2611440 1339585 )
NEW met2 ( 2607120 1127945 ) ( 2607120 1339585 )
NEW met2 ( 2611440 1339585 ) ( 2611440 1372885 )
NEW met1 ( 2607120 1127945 ) M1M2_PR
NEW met2 ( 1974960 1123690 ) via2_FR
NEW met2 ( 2131920 1123690 ) via2_FR
NEW met1 ( 2131920 1127945 ) M1M2_PR
NEW met1 ( 2611440 1372885 ) M1M2_PR
NEW met1 ( 2612880 1372885 ) M1M2_PR
NEW met1 ( 2607120 1339585 ) M1M2_PR
NEW met1 ( 2611440 1339585 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[93\] ( mprj la_oen[93] ) ( mgmt_buffers la_oen_core[93] )
+ ROUTED met2 ( 2630640 1345875 ) ( 2630640 1377510 0 )
NEW met2 ( 2040480 1263550 0 ) ( 2040720 1263550 )
NEW met2 ( 2040720 1263550 ) ( 2040720 1331445 )
NEW met1 ( 2138640 1345875 ) ( 2630640 1345875 )
NEW met1 ( 2040720 1331445 ) ( 2138640 1331445 )
NEW met2 ( 2138640 1331445 ) ( 2138640 1345875 )
NEW met1 ( 2630640 1345875 ) M1M2_PR
NEW met1 ( 2040720 1331445 ) M1M2_PR
NEW met1 ( 2138640 1345875 ) M1M2_PR
NEW met1 ( 2138640 1331445 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[94\] ( mprj la_oen[94] ) ( mgmt_buffers la_oen_core[94] )
+ ROUTED met2 ( 2016720 1140710 ) ( 2016720 1142375 )
NEW met3 ( 1977360 1140710 ) ( 2016720 1140710 )
NEW met2 ( 1977360 1140710 ) ( 1977360 1197690 )
NEW met2 ( 1977120 1197690 ) ( 1977360 1197690 )
NEW met2 ( 1977120 1197690 ) ( 1977120 1199170 0 )
NEW met2 ( 2647440 1377510 ) ( 2648880 1377510 0 )
NEW met1 ( 2174640 1136085 ) ( 2214960 1136085 )
NEW met1 ( 2214960 1136085 ) ( 2214960 1136825 )
NEW met2 ( 2174640 1136085 ) ( 2174640 1142375 )
NEW met1 ( 2635920 1288525 ) ( 2647440 1288525 )
NEW met2 ( 2635920 1136825 ) ( 2635920 1288525 )
NEW met2 ( 2647440 1288525 ) ( 2647440 1377510 )
NEW met2 ( 2056560 1142190 ) ( 2056560 1142375 )
NEW met2 ( 2056560 1142190 ) ( 2057520 1142190 )
NEW met2 ( 2057520 1142190 ) ( 2057520 1142375 )
NEW met1 ( 2016720 1142375 ) ( 2056560 1142375 )
NEW met1 ( 2057520 1142375 ) ( 2174640 1142375 )
NEW met1 ( 2214960 1136825 ) ( 2635920 1136825 )
NEW met1 ( 2635920 1136825 ) M1M2_PR
NEW met1 ( 2016720 1142375 ) M1M2_PR
NEW met2 ( 2016720 1140710 ) via2_FR
NEW met2 ( 1977360 1140710 ) via2_FR
NEW met1 ( 2174640 1142375 ) M1M2_PR
NEW met1 ( 2174640 1136085 ) M1M2_PR
NEW met1 ( 2635920 1288525 ) M1M2_PR
NEW met1 ( 2647440 1288525 ) M1M2_PR
NEW met1 ( 2056560 1142375 ) M1M2_PR
NEW met1 ( 2057520 1142375 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[95\] ( mprj la_oen[95] ) ( mgmt_buffers la_oen_core[95] )
+ ROUTED met2 ( 2042400 1263550 0 ) ( 2042400 1264290 )
NEW met2 ( 2042400 1264290 ) ( 2043120 1264290 )
NEW met2 ( 2043120 1264290 ) ( 2043120 1341805 )
NEW met2 ( 2094960 1341805 ) ( 2094960 1344765 )
NEW met1 ( 2094960 1344765 ) ( 2145360 1344765 )
NEW met2 ( 2145360 1344765 ) ( 2145360 1345505 )
NEW met1 ( 2043120 1341805 ) ( 2094960 1341805 )
NEW met2 ( 2448720 1344395 ) ( 2448720 1345505 )
NEW met2 ( 2666160 1344395 ) ( 2666160 1377510 0 )
NEW met1 ( 2448720 1344395 ) ( 2666160 1344395 )
NEW met2 ( 2346960 1345505 ) ( 2346960 1345690 )
NEW met3 ( 2346960 1345690 ) ( 2404560 1345690 )
NEW met2 ( 2404560 1345505 ) ( 2404560 1345690 )
NEW met1 ( 2145360 1345505 ) ( 2346960 1345505 )
NEW met1 ( 2404560 1345505 ) ( 2448720 1345505 )
NEW met1 ( 2043120 1341805 ) M1M2_PR
NEW met1 ( 2094960 1341805 ) M1M2_PR
NEW met1 ( 2094960 1344765 ) M1M2_PR
NEW met1 ( 2145360 1344765 ) M1M2_PR
NEW met1 ( 2145360 1345505 ) M1M2_PR
NEW met1 ( 2448720 1345505 ) M1M2_PR
NEW met1 ( 2448720 1344395 ) M1M2_PR
NEW met1 ( 2666160 1344395 ) M1M2_PR
NEW met1 ( 2346960 1345505 ) M1M2_PR
NEW met2 ( 2346960 1345690 ) via2_FR
NEW met2 ( 2404560 1345690 ) via2_FR
NEW met1 ( 2404560 1345505 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[96\] ( mprj la_oen[96] ) ( mgmt_buffers la_oen_core[96] )
+ ROUTED met2 ( 2027280 1179375 ) ( 2027280 1180670 )
NEW met1 ( 1978800 1179375 ) ( 2027280 1179375 )
NEW met2 ( 1978800 1179375 ) ( 1978800 1199170 0 )
NEW met2 ( 2207760 1171050 ) ( 2207760 1179375 )
NEW met2 ( 2058960 1179375 ) ( 2058960 1180670 )
NEW met3 ( 2027280 1180670 ) ( 2058960 1180670 )
NEW met3 ( 2207760 1171050 ) ( 2678640 1171050 )
NEW met2 ( 2678640 1377510 ) ( 2683920 1377510 0 )
NEW met2 ( 2102640 1179190 ) ( 2102640 1179375 )
NEW met2 ( 2102640 1179190 ) ( 2103120 1179190 )
NEW met2 ( 2103120 1179190 ) ( 2103120 1179375 )
NEW met1 ( 2058960 1179375 ) ( 2102640 1179375 )
NEW met1 ( 2103120 1179375 ) ( 2207760 1179375 )
NEW met1 ( 2678640 1317755 ) ( 2681040 1317755 )
NEW met2 ( 2681040 1317755 ) ( 2681040 1336255 )
NEW met1 ( 2678640 1336255 ) ( 2681040 1336255 )
NEW met2 ( 2678640 1171050 ) ( 2678640 1317755 )
NEW met2 ( 2678640 1336255 ) ( 2678640 1377510 )
NEW met2 ( 2678640 1171050 ) via2_FR
NEW met2 ( 2027280 1180670 ) via2_FR
NEW met1 ( 2027280 1179375 ) M1M2_PR
NEW met1 ( 1978800 1179375 ) M1M2_PR
NEW met2 ( 2207760 1171050 ) via2_FR
NEW met1 ( 2207760 1179375 ) M1M2_PR
NEW met1 ( 2058960 1179375 ) M1M2_PR
NEW met2 ( 2058960 1180670 ) via2_FR
NEW met1 ( 2102640 1179375 ) M1M2_PR
NEW met1 ( 2103120 1179375 ) M1M2_PR
NEW met1 ( 2678640 1317755 ) M1M2_PR
NEW met1 ( 2681040 1317755 ) M1M2_PR
NEW met1 ( 2681040 1336255 ) M1M2_PR
NEW met1 ( 2678640 1336255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[97\] ( mprj la_oen[97] ) ( mgmt_buffers la_oen_core[97] )
+ ROUTED met2 ( 2044080 1263550 ) ( 2044320 1263550 0 )
NEW met2 ( 2044080 1263550 ) ( 2044080 1299255 )
NEW met2 ( 2702160 1353275 ) ( 2702160 1377510 0 )
NEW met1 ( 2139600 1353275 ) ( 2702160 1353275 )
NEW met1 ( 2044080 1299255 ) ( 2139600 1299255 )
NEW met2 ( 2139600 1299255 ) ( 2139600 1353275 )
NEW met1 ( 2044080 1299255 ) M1M2_PR
NEW met1 ( 2139600 1353275 ) M1M2_PR
NEW met1 ( 2702160 1353275 ) M1M2_PR
NEW met1 ( 2139600 1299255 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[98\] ( mprj la_oen[98] ) ( mgmt_buffers la_oen_core[98] )
+ ROUTED met2 ( 1980720 1198245 ) ( 1980720 1199170 0 )
NEW met1 ( 1980720 1198245 ) ( 2708400 1198245 )
NEW met2 ( 2718480 1377510 ) ( 2719920 1377510 0 )
NEW met1 ( 2708400 1288895 ) ( 2718480 1288895 )
NEW met2 ( 2708400 1198245 ) ( 2708400 1288895 )
NEW met2 ( 2718480 1288895 ) ( 2718480 1377510 )
NEW met1 ( 2708400 1198245 ) M1M2_PR
NEW met1 ( 1980720 1198245 ) M1M2_PR
NEW met1 ( 2708400 1288895 ) M1M2_PR
NEW met1 ( 2718480 1288895 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[99\] ( mprj la_oen[99] ) ( mgmt_buffers la_oen_core[99] )
+ ROUTED met1 ( 2187120 1336625 ) ( 2187120 1336995 )
NEW met2 ( 2046000 1263550 0 ) ( 2046000 1286305 )
NEW met1 ( 2046000 1286305 ) ( 2118480 1286305 )
NEW met2 ( 2118480 1286305 ) ( 2118480 1336625 )
NEW met1 ( 2118480 1336625 ) ( 2187120 1336625 )
NEW met1 ( 2187120 1336995 ) ( 2737680 1336995 )
NEW met2 ( 2737680 1336995 ) ( 2737680 1377510 0 )
NEW met1 ( 2046000 1286305 ) M1M2_PR
NEW met1 ( 2118480 1286305 ) M1M2_PR
NEW met1 ( 2118480 1336625 ) M1M2_PR
NEW met1 ( 2737680 1336995 ) M1M2_PR
+ USE SIGNAL ;
- la_oen_user\[9\] ( mprj la_oen[9] ) ( mgmt_buffers la_oen_core[9] )
+ ROUTED met2 ( 1982640 1191770 ) ( 1982640 1199170 0 )
NEW met1 ( 1132080 1343285 ) ( 1137360 1343285 )
NEW met2 ( 1132080 1343285 ) ( 1132080 1377510 0 )
NEW met3 ( 1137360 1191770 ) ( 1982640 1191770 )
NEW met2 ( 1137360 1191770 ) ( 1137360 1343285 )
NEW met2 ( 1982640 1191770 ) via2_FR
NEW met2 ( 1137360 1191770 ) via2_FR
NEW met1 ( 1137360 1343285 ) M1M2_PR
NEW met1 ( 1132080 1343285 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[0\] ( user_id_value mask_rev[0] ) ( soc mask_rev[0] )
+ ROUTED met2 ( 3169200 271950 0 ) ( 3170160 271950 )
NEW met2 ( 3170160 271950 ) ( 3170160 461575 )
NEW met2 ( 3303600 439930 0 ) ( 3303600 461575 )
NEW met1 ( 3170160 461575 ) ( 3303600 461575 )
NEW met1 ( 3170160 461575 ) M1M2_PR
NEW met1 ( 3303600 461575 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[10\] ( user_id_value mask_rev[10] ) ( soc mask_rev[10] )
+ ROUTED met2 ( 3167520 270470 0 ) ( 3168720 270470 )
NEW met2 ( 3168720 270470 ) ( 3168720 270655 )
NEW met1 ( 3168720 270655 ) ( 3181200 270655 )
NEW met2 ( 3181200 270655 ) ( 3181200 383135 )
NEW met2 ( 3303600 383135 ) ( 3303600 405150 0 )
NEW met1 ( 3181200 383135 ) ( 3303600 383135 )
NEW met1 ( 3168720 270655 ) M1M2_PR
NEW met1 ( 3181200 270655 ) M1M2_PR
NEW met1 ( 3181200 383135 ) M1M2_PR
NEW met1 ( 3303600 383135 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[11\] ( user_id_value mask_rev[11] ) ( soc mask_rev[11] )
+ ROUTED met2 ( 3207600 274170 ) ( 3207600 388685 )
NEW met3 ( 3172320 274170 0 ) ( 3207600 274170 )
NEW met2 ( 3287760 388685 ) ( 3287760 405150 )
NEW met2 ( 3287760 405150 ) ( 3288960 405150 0 )
NEW met1 ( 3207600 388685 ) ( 3287760 388685 )
NEW met1 ( 3207600 388685 ) M1M2_PR
NEW met2 ( 3207600 274170 ) via2_FR
NEW met1 ( 3287760 388685 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[12\] ( user_id_value mask_rev[12] ) ( soc mask_rev[12] )
+ ROUTED met2 ( 3165600 270470 0 ) ( 3166800 270470 )
NEW met2 ( 3166800 270285 ) ( 3166800 270470 )
NEW met1 ( 3166800 270285 ) ( 3180240 270285 )
NEW met2 ( 3180240 270285 ) ( 3180240 383505 )
NEW met2 ( 3284880 383505 ) ( 3284880 405150 )
NEW met2 ( 3284880 405150 ) ( 3286080 405150 0 )
NEW met1 ( 3180240 383505 ) ( 3284880 383505 )
NEW met1 ( 3166800 270285 ) M1M2_PR
NEW met1 ( 3180240 270285 ) M1M2_PR
NEW met1 ( 3180240 383505 ) M1M2_PR
NEW met1 ( 3284880 383505 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[13\] ( user_id_value mask_rev[13] ) ( soc mask_rev[13] )
+ ROUTED met2 ( 3203760 277130 ) ( 3203760 461205 )
NEW met3 ( 3172320 277130 0 ) ( 3203760 277130 )
NEW met2 ( 3308880 439930 ) ( 3310080 439930 0 )
NEW met2 ( 3308880 439930 ) ( 3308880 461205 )
NEW met1 ( 3203760 461205 ) ( 3308880 461205 )
NEW met1 ( 3203760 461205 ) M1M2_PR
NEW met2 ( 3203760 277130 ) via2_FR
NEW met1 ( 3308880 461205 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[14\] ( user_id_value mask_rev[14] ) ( soc mask_rev[14] )
+ ROUTED met2 ( 3163920 270470 0 ) ( 3164880 270470 )
NEW met3 ( 3164880 270470 ) ( 3182160 270470 )
NEW met2 ( 3182160 270470 ) ( 3182160 381655 )
NEW met2 ( 3312720 381655 ) ( 3312720 405150 0 )
NEW met1 ( 3182160 381655 ) ( 3312720 381655 )
NEW met2 ( 3164880 270470 ) via2_FR
NEW met2 ( 3182160 270470 ) via2_FR
NEW met1 ( 3182160 381655 ) M1M2_PR
NEW met1 ( 3312720 381655 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[15\] ( user_id_value mask_rev[15] ) ( soc mask_rev[15] )
+ ROUTED met2 ( 3203280 403485 ) ( 3203280 405335 )
NEW met2 ( 3162000 269730 0 ) ( 3162960 269730 )
NEW met2 ( 3162960 269730 ) ( 3162960 269915 )
NEW met1 ( 3162960 269915 ) ( 3179280 269915 )
NEW met1 ( 3179280 403485 ) ( 3203280 403485 )
NEW met2 ( 3179280 269915 ) ( 3179280 403485 )
NEW met2 ( 3316560 405335 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316560 410330 )
NEW met3 ( 3316320 410330 ) ( 3316320 413290 0 )
NEW met1 ( 3203280 405335 ) ( 3316560 405335 )
NEW met1 ( 3203280 403485 ) M1M2_PR
NEW met1 ( 3203280 405335 ) M1M2_PR
NEW met1 ( 3162960 269915 ) M1M2_PR
NEW met1 ( 3179280 269915 ) M1M2_PR
NEW met1 ( 3179280 403485 ) M1M2_PR
NEW met1 ( 3316560 405335 ) M1M2_PR
NEW met2 ( 3316560 410330 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[16\] ( user_id_value mask_rev[16] ) ( soc mask_rev[16] )
+ ROUTED met2 ( 3208560 281570 ) ( 3208560 383875 )
NEW met3 ( 3172320 279720 0 ) ( 3172320 281570 )
NEW met3 ( 3172320 281570 ) ( 3208560 281570 )
NEW met2 ( 3294480 383875 ) ( 3294480 405150 0 )
NEW met1 ( 3208560 383875 ) ( 3294480 383875 )
NEW met1 ( 3208560 383875 ) M1M2_PR
NEW met2 ( 3208560 281570 ) via2_FR
NEW met1 ( 3294480 383875 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[17\] ( user_id_value mask_rev[17] ) ( soc mask_rev[17] )
+ ROUTED met2 ( 3160080 271210 0 ) ( 3161520 271210 )
NEW met2 ( 3161520 271025 ) ( 3161520 271210 )
NEW met1 ( 3161520 271025 ) ( 3174960 271025 )
NEW met2 ( 3174960 271025 ) ( 3174960 462685 )
NEW met2 ( 3291600 439930 0 ) ( 3291600 462685 )
NEW met1 ( 3174960 462685 ) ( 3291600 462685 )
NEW met1 ( 3161520 271025 ) M1M2_PR
NEW met1 ( 3174960 271025 ) M1M2_PR
NEW met1 ( 3174960 462685 ) M1M2_PR
NEW met1 ( 3291600 462685 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[18\] ( user_id_value mask_rev[18] ) ( soc mask_rev[18] )
+ ROUTED met2 ( 3205680 282310 ) ( 3205680 418655 )
NEW met3 ( 3172320 282310 0 ) ( 3205680 282310 )
NEW met2 ( 3268080 418655 ) ( 3268080 431050 )
NEW met3 ( 3268080 431050 ) ( 3283680 431050 0 )
NEW met1 ( 3205680 418655 ) ( 3268080 418655 )
NEW met1 ( 3205680 418655 ) M1M2_PR
NEW met2 ( 3205680 282310 ) via2_FR
NEW met1 ( 3268080 418655 ) M1M2_PR
NEW met2 ( 3268080 431050 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[19\] ( user_id_value mask_rev[19] ) ( soc mask_rev[19] )
+ ROUTED met2 ( 3158160 269730 0 ) ( 3159600 269730 )
NEW met2 ( 3159600 269545 ) ( 3159600 269730 )
NEW met1 ( 3159600 269545 ) ( 3177360 269545 )
NEW met2 ( 3177360 269545 ) ( 3177360 462315 )
NEW met2 ( 3296880 439930 ) ( 3298080 439930 0 )
NEW met2 ( 3296880 439930 ) ( 3296880 462315 )
NEW met1 ( 3177360 462315 ) ( 3296880 462315 )
NEW met1 ( 3159600 269545 ) M1M2_PR
NEW met1 ( 3177360 269545 ) M1M2_PR
NEW met1 ( 3177360 462315 ) M1M2_PR
NEW met1 ( 3296880 462315 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[1\] ( user_id_value mask_rev[1] ) ( soc mask_rev[1] )
+ ROUTED met2 ( 3156480 268990 0 ) ( 3157680 268990 )
NEW met2 ( 3157680 268065 ) ( 3157680 268990 )
NEW met1 ( 3157680 268065 ) ( 3178320 268065 )
NEW met2 ( 3178320 268065 ) ( 3178320 418285 )
NEW met2 ( 3268560 418285 ) ( 3268560 421430 )
NEW met3 ( 3268560 421430 ) ( 3283680 421430 0 )
NEW met1 ( 3178320 418285 ) ( 3268560 418285 )
NEW met1 ( 3157680 268065 ) M1M2_PR
NEW met1 ( 3178320 268065 ) M1M2_PR
NEW met1 ( 3178320 418285 ) M1M2_PR
NEW met1 ( 3268560 418285 ) M1M2_PR
NEW met2 ( 3268560 421430 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[20\] ( user_id_value mask_rev[20] ) ( soc mask_rev[20] )
+ ROUTED met2 ( 3206640 285270 ) ( 3206640 404595 )
NEW met3 ( 3172320 285270 0 ) ( 3206640 285270 )
NEW met2 ( 3317520 404595 ) ( 3317520 414030 )
NEW met3 ( 3317280 414030 ) ( 3317520 414030 )
NEW met3 ( 3317280 414030 ) ( 3317280 416990 0 )
NEW met1 ( 3206640 404595 ) ( 3317520 404595 )
NEW met1 ( 3206640 404595 ) M1M2_PR
NEW met2 ( 3206640 285270 ) via2_FR
NEW met1 ( 3317520 404595 ) M1M2_PR
NEW met2 ( 3317520 414030 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[21\] ( user_id_value mask_rev[21] ) ( soc mask_rev[21] )
+ ROUTED met1 ( 3205680 403855 ) ( 3205680 404225 )
NEW met2 ( 3154560 268990 0 ) ( 3155760 268990 )
NEW met2 ( 3155760 267695 ) ( 3155760 268990 )
NEW met1 ( 3155760 267695 ) ( 3179760 267695 )
NEW met1 ( 3179760 403855 ) ( 3205680 403855 )
NEW met2 ( 3179760 267695 ) ( 3179760 403855 )
NEW met2 ( 3312240 404225 ) ( 3312240 405890 )
NEW met3 ( 3312240 405890 ) ( 3315360 405890 )
NEW met3 ( 3315360 405890 ) ( 3315360 408850 0 )
NEW met1 ( 3205680 404225 ) ( 3312240 404225 )
NEW met1 ( 3155760 267695 ) M1M2_PR
NEW met1 ( 3179760 267695 ) M1M2_PR
NEW met1 ( 3179760 403855 ) M1M2_PR
NEW met1 ( 3312240 404225 ) M1M2_PR
NEW met2 ( 3312240 405890 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[22\] ( user_id_value mask_rev[22] ) ( soc mask_rev[22] )
+ ROUTED met2 ( 3204720 287490 ) ( 3204720 432345 )
NEW met3 ( 3172320 287490 ) ( 3172320 287860 0 )
NEW met3 ( 3172320 287490 ) ( 3204720 287490 )
NEW met2 ( 3263280 432345 ) ( 3263280 434750 )
NEW met3 ( 3263280 434750 ) ( 3283680 434750 0 )
NEW met1 ( 3204720 432345 ) ( 3263280 432345 )
NEW met1 ( 3204720 432345 ) M1M2_PR
NEW met2 ( 3204720 287490 ) via2_FR
NEW met1 ( 3263280 432345 ) M1M2_PR
NEW met2 ( 3263280 434750 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[23\] ( user_id_value mask_rev[23] ) ( soc mask_rev[23] )
+ ROUTED met1 ( 3200880 383875 ) ( 3200880 384245 )
NEW met2 ( 3152880 268990 0 ) ( 3153360 268990 )
NEW met3 ( 3153360 268990 ) ( 3181680 268990 )
NEW met1 ( 3181680 383875 ) ( 3200880 383875 )
NEW met2 ( 3181680 268990 ) ( 3181680 383875 )
NEW met2 ( 3291600 384245 ) ( 3291600 405150 0 )
NEW met1 ( 3200880 384245 ) ( 3291600 384245 )
NEW met2 ( 3153360 268990 ) via2_FR
NEW met2 ( 3181680 268990 ) via2_FR
NEW met1 ( 3181680 383875 ) M1M2_PR
NEW met1 ( 3291600 384245 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[24\] ( user_id_value mask_rev[24] ) ( soc mask_rev[24] )
+ ROUTED met2 ( 3150960 268990 0 ) ( 3151920 268990 )
NEW met2 ( 3151920 268805 ) ( 3151920 268990 )
NEW met1 ( 3151920 268805 ) ( 3176400 268805 )
NEW met2 ( 3176400 268805 ) ( 3176400 464165 )
NEW met2 ( 3312720 439930 0 ) ( 3312720 464165 )
NEW met1 ( 3176400 464165 ) ( 3312720 464165 )
NEW met1 ( 3151920 268805 ) M1M2_PR
NEW met1 ( 3176400 268805 ) M1M2_PR
NEW met1 ( 3176400 464165 ) M1M2_PR
NEW met1 ( 3312720 464165 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[25\] ( user_id_value mask_rev[25] ) ( soc mask_rev[25] )
+ ROUTED met2 ( 3206160 290450 ) ( 3206160 403855 )
NEW met3 ( 3172320 290450 0 ) ( 3206160 290450 )
NEW met2 ( 3268560 403855 ) ( 3268560 413290 )
NEW met3 ( 3268560 413290 ) ( 3283680 413290 0 )
NEW met1 ( 3206160 403855 ) ( 3268560 403855 )
NEW met1 ( 3206160 403855 ) M1M2_PR
NEW met2 ( 3206160 290450 ) via2_FR
NEW met1 ( 3268560 403855 ) M1M2_PR
NEW met2 ( 3268560 413290 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[26\] ( user_id_value mask_rev[26] ) ( soc mask_rev[26] )
+ ROUTED met2 ( 3149040 268990 0 ) ( 3150480 268990 )
NEW met2 ( 3150480 268435 ) ( 3150480 268990 )
NEW met1 ( 3150480 268435 ) ( 3175920 268435 )
NEW met2 ( 3175920 268435 ) ( 3175920 461945 )
NEW met2 ( 3306000 439930 ) ( 3307200 439930 0 )
NEW met2 ( 3306000 439930 ) ( 3306000 461945 )
NEW met1 ( 3175920 461945 ) ( 3306000 461945 )
NEW met1 ( 3150480 268435 ) M1M2_PR
NEW met1 ( 3175920 268435 ) M1M2_PR
NEW met1 ( 3175920 461945 ) M1M2_PR
NEW met1 ( 3306000 461945 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[27\] ( user_id_value mask_rev[27] ) ( soc mask_rev[27] )
+ ROUTED met2 ( 3204240 293410 ) ( 3204240 460835 )
NEW met3 ( 3172320 293410 0 ) ( 3204240 293410 )
NEW met2 ( 3287760 439930 ) ( 3288960 439930 0 )
NEW met2 ( 3287760 439930 ) ( 3287760 460835 )
NEW met1 ( 3204240 460835 ) ( 3287760 460835 )
NEW met1 ( 3204240 460835 ) M1M2_PR
NEW met2 ( 3204240 293410 ) via2_FR
NEW met1 ( 3287760 460835 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[28\] ( user_id_value mask_rev[28] ) ( soc mask_rev[28] )
+ ROUTED met2 ( 3147120 271210 0 ) ( 3148080 271210 )
NEW met3 ( 3148080 271210 ) ( 3175440 271210 )
NEW met2 ( 3175440 271210 ) ( 3175440 463795 )
NEW met2 ( 3315600 439930 0 ) ( 3315600 463795 )
NEW met1 ( 3175440 463795 ) ( 3315600 463795 )
NEW met2 ( 3148080 271210 ) via2_FR
NEW met2 ( 3175440 271210 ) via2_FR
NEW met1 ( 3175440 463795 ) M1M2_PR
NEW met1 ( 3315600 463795 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[29\] ( user_id_value mask_rev[29] ) ( soc mask_rev[29] )
+ ROUTED met2 ( 3145440 268990 0 ) ( 3146640 268990 )
NEW met2 ( 3146640 268990 ) ( 3146640 269175 )
NEW met1 ( 3146640 269175 ) ( 3174000 269175 )
NEW met2 ( 3174000 269175 ) ( 3174000 382395 )
NEW met2 ( 3308880 382395 ) ( 3308880 405150 )
NEW met2 ( 3308880 405150 ) ( 3310080 405150 0 )
NEW met1 ( 3174000 382395 ) ( 3308880 382395 )
NEW met1 ( 3146640 269175 ) M1M2_PR
NEW met1 ( 3174000 269175 ) M1M2_PR
NEW met1 ( 3174000 382395 ) M1M2_PR
NEW met1 ( 3308880 382395 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[2\] ( user_id_value mask_rev[2] ) ( soc mask_rev[2] )
+ ROUTED met2 ( 3207120 297850 ) ( 3207120 403485 )
NEW met3 ( 3172320 296000 0 ) ( 3172320 297850 )
NEW met3 ( 3172320 297850 ) ( 3207120 297850 )
NEW met2 ( 3268080 403485 ) ( 3268080 416990 )
NEW met3 ( 3268080 416990 ) ( 3283680 416990 0 )
NEW met1 ( 3207120 403485 ) ( 3268080 403485 )
NEW met1 ( 3207120 403485 ) M1M2_PR
NEW met2 ( 3207120 297850 ) via2_FR
NEW met1 ( 3268080 403485 ) M1M2_PR
NEW met2 ( 3268080 416990 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[30\] ( user_id_value mask_rev[30] ) ( soc mask_rev[30] )
+ ROUTED met2 ( 3144720 241425 ) ( 3144720 268990 )
NEW met2 ( 3143520 268990 0 ) ( 3144720 268990 )
NEW met1 ( 3144720 241425 ) ( 3317040 241425 )
NEW met3 ( 3317040 428090 ) ( 3317280 428090 )
NEW met3 ( 3317280 428090 ) ( 3317280 431050 0 )
NEW met2 ( 3317040 241425 ) ( 3317040 428090 )
NEW met1 ( 3144720 241425 ) M1M2_PR
NEW met1 ( 3317040 241425 ) M1M2_PR
NEW met2 ( 3317040 428090 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[31\] ( user_id_value mask_rev[31] ) ( soc mask_rev[31] )
+ ROUTED met2 ( 3208080 298590 ) ( 3208080 384615 )
NEW met3 ( 3172320 298590 0 ) ( 3208080 298590 )
NEW met1 ( 3208080 384615 ) ( 3298320 384615 )
NEW met2 ( 3298080 404225 ) ( 3298320 404225 )
NEW met2 ( 3298080 404225 ) ( 3298080 405150 0 )
NEW met2 ( 3298320 384615 ) ( 3298320 404225 )
NEW met1 ( 3208080 384615 ) M1M2_PR
NEW met2 ( 3208080 298590 ) via2_FR
NEW met1 ( 3298320 384615 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[3\] ( user_id_value mask_rev[3] ) ( soc mask_rev[3] )
+ ROUTED met2 ( 3141840 269730 0 ) ( 3142800 269730 )
NEW met3 ( 3142800 269730 ) ( 3174480 269730 )
NEW met2 ( 3174480 269730 ) ( 3174480 382025 )
NEW met2 ( 3300720 382025 ) ( 3300720 405150 0 )
NEW met1 ( 3174480 382025 ) ( 3300720 382025 )
NEW met2 ( 3142800 269730 ) via2_FR
NEW met2 ( 3174480 269730 ) via2_FR
NEW met1 ( 3174480 382025 ) M1M2_PR
NEW met1 ( 3300720 382025 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[4\] ( user_id_value mask_rev[4] ) ( soc mask_rev[4] )
+ ROUTED met2 ( 3139920 238465 ) ( 3139920 268990 0 )
NEW met1 ( 3139920 238465 ) ( 3316080 238465 )
NEW met2 ( 3316080 419950 ) ( 3316560 419950 )
NEW met3 ( 3316320 419950 ) ( 3316560 419950 )
NEW met3 ( 3316320 419950 ) ( 3316320 422910 0 )
NEW met2 ( 3316080 238465 ) ( 3316080 419950 )
NEW met1 ( 3139920 238465 ) M1M2_PR
NEW met1 ( 3316080 238465 ) M1M2_PR
NEW met2 ( 3316560 419950 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[5\] ( user_id_value mask_rev[5] ) ( soc mask_rev[5] )
+ ROUTED met2 ( 3200880 301550 ) ( 3200880 302105 )
NEW met3 ( 3172320 301550 0 ) ( 3200880 301550 )
NEW met1 ( 3200880 302105 ) ( 3318000 302105 )
NEW met3 ( 3318000 423650 ) ( 3318240 423650 )
NEW met3 ( 3318240 423650 ) ( 3318240 426610 0 )
NEW met2 ( 3318000 302105 ) ( 3318000 423650 )
NEW met2 ( 3200880 301550 ) via2_FR
NEW met1 ( 3200880 302105 ) M1M2_PR
NEW met1 ( 3318000 302105 ) M1M2_PR
NEW met2 ( 3318000 423650 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[6\] ( user_id_value mask_rev[6] ) ( soc mask_rev[6] )
+ ROUTED met2 ( 3138000 268990 0 ) ( 3138960 268990 )
NEW met2 ( 3138960 267325 ) ( 3138960 268990 )
NEW met1 ( 3138960 267325 ) ( 3178800 267325 )
NEW met2 ( 3178800 267325 ) ( 3178800 417915 )
NEW met2 ( 3267600 417915 ) ( 3267600 426610 )
NEW met3 ( 3267600 426610 ) ( 3283680 426610 0 )
NEW met1 ( 3178800 417915 ) ( 3267600 417915 )
NEW met1 ( 3138960 267325 ) M1M2_PR
NEW met1 ( 3178800 267325 ) M1M2_PR
NEW met1 ( 3178800 417915 ) M1M2_PR
NEW met1 ( 3267600 417915 ) M1M2_PR
NEW met2 ( 3267600 426610 ) via2_FR
+ USE SIGNAL ;
- mask_rev\[7\] ( user_id_value mask_rev[7] ) ( soc mask_rev[7] )
+ ROUTED met2 ( 3205200 306730 ) ( 3205200 463425 )
NEW met3 ( 3172320 304140 0 ) ( 3172320 306730 )
NEW met3 ( 3172320 306730 ) ( 3205200 306730 )
NEW met2 ( 3299280 439930 ) ( 3300720 439930 0 )
NEW met2 ( 3299280 439930 ) ( 3299280 463425 )
NEW met1 ( 3205200 463425 ) ( 3299280 463425 )
NEW met1 ( 3205200 463425 ) M1M2_PR
NEW met2 ( 3205200 306730 ) via2_FR
NEW met1 ( 3299280 463425 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[8\] ( user_id_value mask_rev[8] ) ( soc mask_rev[8] )
+ ROUTED met2 ( 3136080 244755 ) ( 3136080 268990 0 )
NEW met1 ( 3136080 244755 ) ( 3180720 244755 )
NEW met2 ( 3180720 244755 ) ( 3180720 382765 )
NEW met2 ( 3306000 382765 ) ( 3306000 405150 )
NEW met2 ( 3306000 405150 ) ( 3307200 405150 0 )
NEW met1 ( 3180720 382765 ) ( 3306000 382765 )
NEW met1 ( 3136080 244755 ) M1M2_PR
NEW met1 ( 3180720 244755 ) M1M2_PR
NEW met1 ( 3180720 382765 ) M1M2_PR
NEW met1 ( 3306000 382765 ) M1M2_PR
+ USE SIGNAL ;
- mask_rev\[9\] ( user_id_value mask_rev[9] ) ( soc mask_rev[9] )
+ ROUTED met2 ( 3134400 271210 0 ) ( 3135600 271210 )
NEW met3 ( 3135600 271210 ) ( 3135840 271210 )
NEW met3 ( 3135840 271210 ) ( 3135840 271950 )
NEW met3 ( 3135840 271950 ) ( 3176880 271950 )
NEW met2 ( 3176880 271950 ) ( 3176880 463055 )
NEW met2 ( 3294480 439930 0 ) ( 3294480 463055 )
NEW met1 ( 3176880 463055 ) ( 3294480 463055 )
NEW met2 ( 3135600 271210 ) via2_FR
NEW met2 ( 3176880 271950 ) via2_FR
NEW met1 ( 3176880 463055 ) M1M2_PR
NEW met1 ( 3294480 463055 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[0\] ( storage mgmt_addr[0] ) ( soc mgmt_addr[0] )
+ ROUTED met2 ( 802800 263255 ) ( 802800 303215 )
NEW met2 ( 734640 303215 ) ( 734640 305990 )
NEW met3 ( 704160 305990 ) ( 734640 305990 )
NEW met3 ( 704160 305990 ) ( 704160 308950 0 )
NEW met1 ( 734640 303215 ) ( 802800 303215 )
NEW met2 ( 1049520 263255 ) ( 1049520 268990 0 )
NEW met1 ( 802800 263255 ) ( 1049520 263255 )
NEW met1 ( 802800 263255 ) M1M2_PR
NEW met1 ( 802800 303215 ) M1M2_PR
NEW met1 ( 734640 303215 ) M1M2_PR
NEW met2 ( 734640 305990 ) via2_FR
NEW met1 ( 1049520 263255 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[1\] ( storage mgmt_addr[1] ) ( soc mgmt_addr[1] )
+ ROUTED met1 ( 820560 302105 ) ( 820560 302845 )
NEW met2 ( 735120 302845 ) ( 735120 311170 )
NEW met3 ( 704160 311170 ) ( 735120 311170 )
NEW met3 ( 704160 311170 ) ( 704160 314130 0 )
NEW met1 ( 735120 302845 ) ( 820560 302845 )
NEW met2 ( 994800 297850 ) ( 994800 302105 )
NEW met3 ( 994800 297850 ) ( 1022880 297850 )
NEW met3 ( 1022880 296000 0 ) ( 1022880 297850 )
NEW met1 ( 820560 302105 ) ( 994800 302105 )
NEW met1 ( 735120 302845 ) M1M2_PR
NEW met2 ( 735120 311170 ) via2_FR
NEW met1 ( 994800 302105 ) M1M2_PR
NEW met2 ( 994800 297850 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[2\] ( storage mgmt_addr[2] ) ( soc mgmt_addr[2] )
+ ROUTED met2 ( 802320 266585 ) ( 802320 320605 )
NEW met2 ( 734640 320605 ) ( 734640 320790 )
NEW met3 ( 704160 320790 0 ) ( 734640 320790 )
NEW met1 ( 734640 320605 ) ( 802320 320605 )
NEW met2 ( 1051440 266585 ) ( 1051440 268990 0 )
NEW met1 ( 802320 266585 ) ( 1051440 266585 )
NEW met1 ( 802320 266585 ) M1M2_PR
NEW met1 ( 802320 320605 ) M1M2_PR
NEW met1 ( 734640 320605 ) M1M2_PR
NEW met2 ( 734640 320790 ) via2_FR
NEW met1 ( 1051440 266585 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[3\] ( storage mgmt_addr[3] ) ( soc mgmt_addr[3] )
+ ROUTED met2 ( 821520 301735 ) ( 821520 316905 )
NEW met3 ( 704160 323750 ) ( 704160 326670 0 )
NEW met3 ( 704160 323750 ) ( 719760 323750 )
NEW met2 ( 719760 316905 ) ( 719760 323750 )
NEW met1 ( 719760 316905 ) ( 821520 316905 )
NEW met2 ( 994320 298590 ) ( 994320 301735 )
NEW met3 ( 994320 298590 ) ( 1022880 298590 0 )
NEW met1 ( 821520 301735 ) ( 994320 301735 )
NEW met1 ( 821520 316905 ) M1M2_PR
NEW met1 ( 821520 301735 ) M1M2_PR
NEW met2 ( 719760 323750 ) via2_FR
NEW met1 ( 719760 316905 ) M1M2_PR
NEW met1 ( 994320 301735 ) M1M2_PR
NEW met2 ( 994320 298590 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[4\] ( storage mgmt_addr[4] ) ( soc mgmt_addr[4] )
+ ROUTED met2 ( 801840 265845 ) ( 801840 333925 )
NEW met3 ( 703200 333000 0 ) ( 703200 334110 )
NEW met3 ( 703200 334110 ) ( 719760 334110 )
NEW met2 ( 719760 333925 ) ( 719760 334110 )
NEW met1 ( 719760 333925 ) ( 801840 333925 )
NEW met2 ( 1053360 265845 ) ( 1053360 268990 0 )
NEW met1 ( 801840 265845 ) ( 1053360 265845 )
NEW met1 ( 801840 265845 ) M1M2_PR
NEW met1 ( 801840 333925 ) M1M2_PR
NEW met2 ( 719760 334110 ) via2_FR
NEW met1 ( 719760 333925 ) M1M2_PR
NEW met1 ( 1053360 265845 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[5\] ( storage mgmt_addr[5] ) ( soc mgmt_addr[5] )
+ ROUTED met2 ( 801360 265105 ) ( 801360 334665 )
NEW met3 ( 704160 335590 ) ( 704160 338910 0 )
NEW met3 ( 704160 335590 ) ( 719760 335590 )
NEW met2 ( 719760 334665 ) ( 719760 335590 )
NEW met1 ( 719760 334665 ) ( 801360 334665 )
NEW met2 ( 1055280 265105 ) ( 1055280 268990 0 )
NEW met1 ( 801360 265105 ) ( 1055280 265105 )
NEW met1 ( 801360 265105 ) M1M2_PR
NEW met1 ( 801360 334665 ) M1M2_PR
NEW met2 ( 719760 335590 ) via2_FR
NEW met1 ( 719760 334665 ) M1M2_PR
NEW met1 ( 1055280 265105 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr\[6\] ( storage mgmt_addr[6] ) ( soc mgmt_addr[6] )
+ ROUTED met2 ( 835440 301365 ) ( 835440 331335 )
NEW met3 ( 704160 341510 ) ( 704160 344840 0 )
NEW met3 ( 704160 341510 ) ( 719280 341510 )
NEW met2 ( 719280 331335 ) ( 719280 341510 )
NEW met1 ( 719280 331335 ) ( 835440 331335 )
NEW met2 ( 993840 301365 ) ( 993840 301550 )
NEW met3 ( 993840 301550 ) ( 1022880 301550 0 )
NEW met1 ( 835440 301365 ) ( 993840 301365 )
NEW met1 ( 835440 331335 ) M1M2_PR
NEW met1 ( 835440 301365 ) M1M2_PR
NEW met2 ( 719280 341510 ) via2_FR
NEW met1 ( 719280 331335 ) M1M2_PR
NEW met1 ( 993840 301365 ) M1M2_PR
NEW met2 ( 993840 301550 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr\[7\] ( storage mgmt_addr[7] ) ( soc mgmt_addr[7] )
+ ROUTED met2 ( 799440 263070 ) ( 799920 263070 )
NEW met2 ( 799920 262885 ) ( 799920 263070 )
NEW met2 ( 799440 263070 ) ( 799440 350205 )
NEW met2 ( 734640 350205 ) ( 734640 350390 )
NEW met3 ( 704160 350390 0 ) ( 734640 350390 )
NEW met1 ( 734640 350205 ) ( 799440 350205 )
NEW met2 ( 1057200 262885 ) ( 1057200 268990 )
NEW met2 ( 1056960 268990 0 ) ( 1057200 268990 )
NEW met1 ( 799920 262885 ) ( 1057200 262885 )
NEW met1 ( 799920 262885 ) M1M2_PR
NEW met1 ( 799440 350205 ) M1M2_PR
NEW met1 ( 734640 350205 ) M1M2_PR
NEW met2 ( 734640 350390 ) via2_FR
NEW met1 ( 1057200 262885 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[0\] ( storage mgmt_addr_ro[0] ) ( soc mgmt_addr_ro[0] )
+ ROUTED met2 ( 735120 316535 ) ( 735120 356310 )
NEW met3 ( 704160 356310 0 ) ( 735120 356310 )
NEW met2 ( 995760 305990 ) ( 995760 316535 )
NEW met3 ( 995760 305990 ) ( 1022880 305990 )
NEW met3 ( 1022880 304140 0 ) ( 1022880 305990 )
NEW met1 ( 735120 316535 ) ( 995760 316535 )
NEW met1 ( 735120 316535 ) M1M2_PR
NEW met2 ( 735120 356310 ) via2_FR
NEW met1 ( 995760 316535 ) M1M2_PR
NEW met2 ( 995760 305990 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[1\] ( storage mgmt_addr_ro[1] ) ( soc mgmt_addr_ro[1] )
+ ROUTED met2 ( 800880 264365 ) ( 800880 360195 )
NEW met3 ( 704160 360750 ) ( 704160 362600 0 )
NEW met3 ( 704160 360750 ) ( 719760 360750 )
NEW met2 ( 719760 360195 ) ( 719760 360750 )
NEW met1 ( 719760 360195 ) ( 800880 360195 )
NEW met2 ( 1058640 264365 ) ( 1058640 267510 )
NEW met2 ( 1058640 267510 ) ( 1058880 267510 )
NEW met2 ( 1058880 267510 ) ( 1058880 268990 0 )
NEW met1 ( 800880 264365 ) ( 1058640 264365 )
NEW met1 ( 800880 264365 ) M1M2_PR
NEW met1 ( 800880 360195 ) M1M2_PR
NEW met2 ( 719760 360750 ) via2_FR
NEW met1 ( 719760 360195 ) M1M2_PR
NEW met1 ( 1058640 264365 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[2\] ( storage mgmt_addr_ro[2] ) ( soc mgmt_addr_ro[2] )
+ ROUTED met2 ( 799920 263625 ) ( 799920 368705 )
NEW met3 ( 704160 368890 0 ) ( 719760 368890 )
NEW met2 ( 719760 368705 ) ( 719760 368890 )
NEW met1 ( 719760 368705 ) ( 799920 368705 )
NEW met2 ( 1060560 263625 ) ( 1060560 268990 0 )
NEW met1 ( 799920 263625 ) ( 1060560 263625 )
NEW met1 ( 799920 263625 ) M1M2_PR
NEW met1 ( 799920 368705 ) M1M2_PR
NEW met2 ( 719760 368890 ) via2_FR
NEW met1 ( 719760 368705 ) M1M2_PR
NEW met1 ( 1060560 263625 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[3\] ( storage mgmt_addr_ro[3] ) ( soc mgmt_addr_ro[3] )
+ ROUTED met1 ( 734640 374625 ) ( 755760 374625 )
NEW met2 ( 734640 374625 ) ( 734640 374810 )
NEW met3 ( 704160 374810 0 ) ( 734640 374810 )
NEW met2 ( 755760 316165 ) ( 755760 374625 )
NEW met2 ( 995280 308210 ) ( 995280 316165 )
NEW met3 ( 995280 308210 ) ( 1022880 308210 )
NEW met3 ( 1022880 306730 0 ) ( 1022880 308210 )
NEW met1 ( 755760 316165 ) ( 995280 316165 )
NEW met1 ( 755760 374625 ) M1M2_PR
NEW met1 ( 734640 374625 ) M1M2_PR
NEW met2 ( 734640 374810 ) via2_FR
NEW met1 ( 755760 316165 ) M1M2_PR
NEW met1 ( 995280 316165 ) M1M2_PR
NEW met2 ( 995280 308210 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[4\] ( storage mgmt_addr_ro[4] ) ( soc mgmt_addr_ro[4] )
+ ROUTED met2 ( 798960 262330 ) ( 799920 262330 )
NEW met2 ( 799920 259925 ) ( 799920 262330 )
NEW met2 ( 798960 262330 ) ( 798960 376845 )
NEW met3 ( 704160 379250 ) ( 704160 381070 0 )
NEW met3 ( 704160 379250 ) ( 721200 379250 )
NEW met2 ( 721200 376845 ) ( 721200 379250 )
NEW met1 ( 721200 376845 ) ( 798960 376845 )
NEW met2 ( 1062480 259925 ) ( 1062480 268990 0 )
NEW met1 ( 799920 259925 ) ( 1062480 259925 )
NEW met1 ( 799920 259925 ) M1M2_PR
NEW met1 ( 798960 376845 ) M1M2_PR
NEW met2 ( 721200 379250 ) via2_FR
NEW met1 ( 721200 376845 ) M1M2_PR
NEW met1 ( 1062480 259925 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[5\] ( storage mgmt_addr_ro[5] ) ( soc mgmt_addr_ro[5] )
+ ROUTED met2 ( 777840 315795 ) ( 777840 386465 )
NEW met2 ( 721200 386465 ) ( 721200 386650 )
NEW met3 ( 704160 386650 0 ) ( 721200 386650 )
NEW met1 ( 721200 386465 ) ( 777840 386465 )
NEW met2 ( 994800 309690 ) ( 994800 315795 )
NEW met3 ( 994800 309690 ) ( 1022880 309690 0 )
NEW met1 ( 777840 315795 ) ( 994800 315795 )
NEW met1 ( 777840 386465 ) M1M2_PR
NEW met1 ( 777840 315795 ) M1M2_PR
NEW met1 ( 721200 386465 ) M1M2_PR
NEW met2 ( 721200 386650 ) via2_FR
NEW met1 ( 994800 315795 ) M1M2_PR
NEW met2 ( 994800 309690 ) via2_FR
+ USE SIGNAL ;
- mgmt_addr_ro\[6\] ( storage mgmt_addr_ro[6] ) ( soc mgmt_addr_ro[6] )
+ ROUTED met2 ( 817680 267325 ) ( 817680 389055 )
NEW met2 ( 721200 389055 ) ( 721200 392570 )
NEW met3 ( 704160 392570 0 ) ( 721200 392570 )
NEW met1 ( 721200 389055 ) ( 817680 389055 )
NEW met2 ( 1064400 267325 ) ( 1064400 268990 0 )
NEW met1 ( 817680 267325 ) ( 1064400 267325 )
NEW met1 ( 817680 267325 ) M1M2_PR
NEW met1 ( 817680 389055 ) M1M2_PR
NEW met1 ( 721200 389055 ) M1M2_PR
NEW met2 ( 721200 392570 ) via2_FR
NEW met1 ( 1064400 267325 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_addr_ro\[7\] ( storage mgmt_addr_ro[7] ) ( soc mgmt_addr_ro[7] )
+ ROUTED met2 ( 817200 264735 ) ( 817200 389425 )
NEW met2 ( 720720 389425 ) ( 720720 398490 )
NEW met3 ( 704160 398490 0 ) ( 720720 398490 )
NEW met1 ( 720720 389425 ) ( 817200 389425 )
NEW met2 ( 1066320 264735 ) ( 1066320 268990 0 )
NEW met1 ( 817200 264735 ) ( 1066320 264735 )
NEW met1 ( 817200 264735 ) M1M2_PR
NEW met1 ( 817200 389425 ) M1M2_PR
NEW met1 ( 720720 389425 ) M1M2_PR
NEW met2 ( 720720 398490 ) via2_FR
NEW met1 ( 1066320 264735 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena\[0\] ( storage mgmt_ena[0] ) ( soc mgmt_ena[0] )
+ ROUTED met2 ( 800400 315425 ) ( 800400 410885 )
NEW met2 ( 735120 410885 ) ( 735120 411070 )
NEW met3 ( 704160 411070 0 ) ( 735120 411070 )
NEW met1 ( 735120 410885 ) ( 800400 410885 )
NEW met2 ( 994320 314130 ) ( 994320 315425 )
NEW met3 ( 994320 314130 ) ( 1023840 314130 )
NEW met3 ( 1023840 312650 0 ) ( 1023840 314130 )
NEW met1 ( 800400 315425 ) ( 994320 315425 )
NEW met1 ( 800400 410885 ) M1M2_PR
NEW met1 ( 800400 315425 ) M1M2_PR
NEW met1 ( 735120 410885 ) M1M2_PR
NEW met2 ( 735120 411070 ) via2_FR
NEW met1 ( 994320 315425 ) M1M2_PR
NEW met2 ( 994320 314130 ) via2_FR
+ USE SIGNAL ;
- mgmt_ena\[1\] ( storage mgmt_ena[1] ) ( soc mgmt_ena[1] )
+ ROUTED met2 ( 813360 262515 ) ( 813360 403485 )
NEW met2 ( 720720 403485 ) ( 720720 416990 )
NEW met3 ( 704160 416990 0 ) ( 720720 416990 )
NEW met1 ( 720720 403485 ) ( 813360 403485 )
NEW met2 ( 1068240 262515 ) ( 1068240 268990 )
NEW met2 ( 1068000 268990 0 ) ( 1068240 268990 )
NEW met1 ( 813360 262515 ) ( 1068240 262515 )
NEW met1 ( 813360 262515 ) M1M2_PR
NEW met1 ( 813360 403485 ) M1M2_PR
NEW met1 ( 720720 403485 ) M1M2_PR
NEW met2 ( 720720 416990 ) via2_FR
NEW met1 ( 1068240 262515 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_ena_ro ( storage mgmt_ena_ro ) ( soc mgmt_ena_ro )
+ ROUTED met2 ( 818160 315055 ) ( 818160 419025 )
NEW met2 ( 734640 419025 ) ( 734640 419210 )
NEW met3 ( 704160 419210 ) ( 734640 419210 )
NEW met3 ( 704160 419210 ) ( 704160 422540 0 )
NEW met1 ( 734640 419025 ) ( 818160 419025 )
NEW met2 ( 993840 315055 ) ( 993840 315610 )
NEW met3 ( 993840 315610 ) ( 1022880 315610 )
NEW met3 ( 1022880 314870 0 ) ( 1022880 315610 )
NEW met1 ( 818160 315055 ) ( 993840 315055 )
NEW met1 ( 818160 419025 ) M1M2_PR
NEW met1 ( 818160 315055 ) M1M2_PR
NEW met1 ( 734640 419025 ) M1M2_PR
NEW met2 ( 734640 419210 ) via2_FR
NEW met1 ( 993840 315055 ) M1M2_PR
NEW met2 ( 993840 315610 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[0\] ( soc mgmt_in_data[0] ) ( gpio_control_bidir\[0\] mgmt_gpio_in )
+ ROUTED met2 ( 3210480 606245 ) ( 3210480 608650 )
NEW met3 ( 3172320 608650 0 ) ( 3210480 608650 )
NEW met2 ( 3373680 606245 ) ( 3373680 606430 )
NEW met3 ( 3373680 606430 ) ( 3373920 606430 )
NEW met3 ( 3373920 605320 0 ) ( 3373920 606430 )
NEW met1 ( 3210480 606245 ) ( 3373680 606245 )
NEW met1 ( 3210480 606245 ) M1M2_PR
NEW met2 ( 3210480 608650 ) via2_FR
NEW met1 ( 3373680 606245 ) M1M2_PR
NEW met2 ( 3373680 606430 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[10\] ( soc mgmt_out_data[10] ) ( soc mgmt_in_data[10] ) ( gpio_control_in\[10\] mgmt_gpio_out ) ( gpio_control_in\[10\] mgmt_gpio_in )
+ ROUTED met1 ( 3169200 1147925 ) ( 3249360 1147925 )
NEW met2 ( 3169200 1114810 0 ) ( 3170640 1114810 )
NEW met2 ( 3169200 1114810 0 ) ( 3169200 1147925 )
NEW met2 ( 3170640 260295 ) ( 3170640 1114810 )
NEW met2 ( 3249360 1147925 ) ( 3249360 3067485 )
NEW met3 ( 3398880 3070260 0 ) ( 3398880 3072110 )
NEW met3 ( 3398640 3072110 ) ( 3398880 3072110 )
NEW met2 ( 3398640 3072110 ) ( 3398640 3075070 )
NEW met3 ( 3398640 3075070 ) ( 3398880 3075070 )
NEW met3 ( 3398880 3075070 ) ( 3398880 3078030 0 )
NEW met2 ( 3398640 3067485 ) ( 3398640 3072110 )
NEW met1 ( 3249360 3067485 ) ( 3398640 3067485 )
NEW met2 ( 1026960 260295 ) ( 1026960 267510 )
NEW met2 ( 1026720 267510 ) ( 1026960 267510 )
NEW met2 ( 1026720 267510 ) ( 1026720 268990 0 )
NEW met1 ( 1026960 260295 ) ( 3170640 260295 )
NEW met1 ( 3249360 3067485 ) M1M2_PR
NEW met1 ( 3249360 1147925 ) M1M2_PR
NEW met1 ( 3170640 260295 ) M1M2_PR
NEW met1 ( 3169200 1147925 ) M1M2_PR
NEW met2 ( 3398640 3072110 ) via2_FR
NEW met2 ( 3398640 3075070 ) via2_FR
NEW met1 ( 3398640 3067485 ) M1M2_PR
NEW met1 ( 1026960 260295 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[11\] ( soc mgmt_out_data[11] ) ( soc mgmt_in_data[11] ) ( gpio_control_in\[11\] mgmt_gpio_out ) ( gpio_control_in\[11\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 3296330 ) ( 3399120 3296330 )
NEW met3 ( 3398880 3295590 0 ) ( 3398880 3296330 )
NEW met2 ( 3399120 3283565 ) ( 3399120 3296330 )
NEW met3 ( 3398880 3300030 ) ( 3399120 3300030 )
NEW met3 ( 3398880 3300030 ) ( 3398880 3302990 0 )
NEW met2 ( 3399120 3296330 ) ( 3399120 3300030 )
NEW met1 ( 3248880 3283565 ) ( 3399120 3283565 )
NEW met1 ( 3167760 1145335 ) ( 3248880 1145335 )
NEW met2 ( 1028400 260850 ) ( 1028400 268990 0 )
NEW met2 ( 3167520 1117770 0 ) ( 3167520 1119250 )
NEW met2 ( 3167520 1119250 ) ( 3167760 1119250 )
NEW met2 ( 3167760 1119250 ) ( 3167760 1119990 )
NEW met3 ( 3163680 1119990 ) ( 3167760 1119990 )
NEW met4 ( 3163680 260850 ) ( 3163680 1119990 )
NEW met2 ( 3167760 1119990 ) ( 3167760 1145335 )
NEW met2 ( 3248880 1145335 ) ( 3248880 3283565 )
NEW met3 ( 1028400 260850 ) ( 3163680 260850 )
NEW met1 ( 3248880 3283565 ) M1M2_PR
NEW met2 ( 3399120 3296330 ) via2_FR
NEW met1 ( 3399120 3283565 ) M1M2_PR
NEW met1 ( 3248880 1145335 ) M1M2_PR
NEW met2 ( 3399120 3300030 ) via2_FR
NEW met3 ( 3163680 260850 ) M3M4_PR_M
NEW met1 ( 3167760 1145335 ) M1M2_PR
NEW met2 ( 1028400 260850 ) via2_FR
NEW met2 ( 3167760 1119990 ) via2_FR
NEW met3 ( 3163680 1119990 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[12\] ( soc mgmt_out_data[12] ) ( soc mgmt_in_data[12] ) ( gpio_control_in\[12\] mgmt_gpio_out ) ( gpio_control_in\[12\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 3521290 0 ) ( 3398880 3522770 )
NEW met3 ( 3398880 3522770 ) ( 3399120 3522770 )
NEW met2 ( 3399120 3522770 ) ( 3399120 3525730 )
NEW met3 ( 3398880 3525730 ) ( 3399120 3525730 )
NEW met3 ( 3398880 3525730 ) ( 3398880 3528690 0 )
NEW met2 ( 3399120 3513705 ) ( 3399120 3522770 )
NEW met1 ( 3248400 3513705 ) ( 3399120 3513705 )
NEW met2 ( 1030320 259555 ) ( 1030320 268990 0 )
NEW met3 ( 3172320 1111850 0 ) ( 3205200 1111850 )
NEW met2 ( 3205200 1111850 ) ( 3205200 1112035 )
NEW met1 ( 3205200 1112035 ) ( 3248400 1112035 )
NEW met2 ( 3198480 259555 ) ( 3198480 1111850 )
NEW met2 ( 3248400 1112035 ) ( 3248400 3513705 )
NEW met1 ( 1030320 259555 ) ( 3198480 259555 )
NEW met1 ( 3198480 259555 ) M1M2_PR
NEW met1 ( 3248400 3513705 ) M1M2_PR
NEW met2 ( 3399120 3522770 ) via2_FR
NEW met2 ( 3399120 3525730 ) via2_FR
NEW met1 ( 3399120 3513705 ) M1M2_PR
NEW met1 ( 1030320 259555 ) M1M2_PR
NEW met2 ( 3205200 1111850 ) via2_FR
NEW met1 ( 3205200 1112035 ) M1M2_PR
NEW met1 ( 3248400 1112035 ) M1M2_PR
NEW met2 ( 3198480 1111850 ) via2_FR
NEW met3 ( 3198480 1111850 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[13\] ( soc mgmt_out_data[13] ) ( soc mgmt_in_data[13] ) ( gpio_control_in\[13\] mgmt_gpio_out ) ( gpio_control_in\[13\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 3746250 0 ) ( 3398880 3747730 )
NEW met3 ( 3398880 3747730 ) ( 3399120 3747730 )
NEW met2 ( 3399120 3747730 ) ( 3399120 3750690 )
NEW met3 ( 3398880 3750690 ) ( 3399120 3750690 )
NEW met3 ( 3398880 3750690 ) ( 3398880 3753650 0 )
NEW met2 ( 3399120 3744215 ) ( 3399120 3747730 )
NEW met1 ( 3165840 1147555 ) ( 3247920 1147555 )
NEW met1 ( 3247920 3744215 ) ( 3399120 3744215 )
NEW met2 ( 3165600 1117770 0 ) ( 3165600 1118510 )
NEW met2 ( 3165600 1118510 ) ( 3165840 1118510 )
NEW met2 ( 3165840 1118510 ) ( 3165840 1119250 )
NEW met3 ( 3165840 1119250 ) ( 3166560 1119250 )
NEW met2 ( 3165840 1119250 ) ( 3165840 1147555 )
NEW met4 ( 3166560 259370 ) ( 3166560 1119250 )
NEW met2 ( 3247920 1147555 ) ( 3247920 3744215 )
NEW met2 ( 1074960 259370 ) ( 1074960 270655 )
NEW met1 ( 1031760 270655 ) ( 1074960 270655 )
NEW met2 ( 1031760 270470 ) ( 1031760 270655 )
NEW met2 ( 1031760 270470 ) ( 1032240 270470 0 )
NEW met3 ( 1074960 259370 ) ( 3166560 259370 )
NEW met1 ( 3247920 1147555 ) M1M2_PR
NEW met1 ( 3247920 3744215 ) M1M2_PR
NEW met2 ( 3399120 3747730 ) via2_FR
NEW met2 ( 3399120 3750690 ) via2_FR
NEW met1 ( 3399120 3744215 ) M1M2_PR
NEW met3 ( 3166560 259370 ) M3M4_PR_M
NEW met1 ( 3165840 1147555 ) M1M2_PR
NEW met2 ( 3165840 1119250 ) via2_FR
NEW met3 ( 3166560 1119250 ) M3M4_PR_M
NEW met2 ( 1074960 259370 ) via2_FR
NEW met1 ( 1074960 270655 ) M1M2_PR
NEW met1 ( 1031760 270655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[14\] ( soc mgmt_out_data[14] ) ( soc mgmt_in_data[14] ) ( gpio_control_in\[14\] mgmt_gpio_out ) ( gpio_control_in\[14\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 4638320 0 ) ( 3398880 4640170 )
NEW met3 ( 3398880 4640170 ) ( 3399120 4640170 )
NEW met2 ( 3399120 4640170 ) ( 3399120 4643130 )
NEW met3 ( 3398880 4643130 ) ( 3399120 4643130 )
NEW met3 ( 3398880 4643130 ) ( 3398880 4645720 0 )
NEW met2 ( 3399120 4637025 ) ( 3399120 4640170 )
NEW met1 ( 3247440 4637025 ) ( 3399120 4637025 )
NEW met1 ( 3163920 1147185 ) ( 3247440 1147185 )
NEW met2 ( 1034160 260110 ) ( 1034160 268990 0 )
NEW met2 ( 3163920 1117770 0 ) ( 3163920 1119250 )
NEW met3 ( 3163920 1119250 ) ( 3164640 1119250 )
NEW met2 ( 3163920 1119250 ) ( 3163920 1147185 )
NEW met4 ( 3164640 260110 ) ( 3164640 1119250 )
NEW met2 ( 3247440 1147185 ) ( 3247440 4637025 )
NEW met3 ( 1034160 260110 ) ( 3164640 260110 )
NEW met1 ( 3247440 4637025 ) M1M2_PR
NEW met2 ( 3399120 4640170 ) via2_FR
NEW met2 ( 3399120 4643130 ) via2_FR
NEW met1 ( 3399120 4637025 ) M1M2_PR
NEW met1 ( 3247440 1147185 ) M1M2_PR
NEW met3 ( 3164640 260110 ) M3M4_PR_M
NEW met1 ( 3163920 1147185 ) M1M2_PR
NEW met2 ( 1034160 260110 ) via2_FR
NEW met2 ( 3163920 1119250 ) via2_FR
NEW met3 ( 3164640 1119250 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[15\] ( soc mgmt_out_data[15] ) ( soc mgmt_in_data[15] ) ( gpio_control_in\[15\] mgmt_gpio_out ) ( gpio_control_in\[15\] mgmt_gpio_in )
+ ROUTED met3 ( 2746080 5098970 0 ) ( 2748000 5098970 )
NEW met3 ( 2748000 5098970 ) ( 2748000 5099710 )
NEW met3 ( 2748000 5099710 ) ( 2753760 5099710 )
NEW met3 ( 2753760 5098970 0 ) ( 2753760 5099710 )
NEW met3 ( 2735760 5025710 ) ( 2746080 5025710 0 )
NEW met3 ( 2746080 5025710 0 ) ( 2746080 5098970 0 )
NEW met2 ( 2706960 1137935 ) ( 2706960 1144965 )
NEW met2 ( 2698320 1117770 0 ) ( 2698320 1137935 )
NEW met2 ( 2735760 4960775 ) ( 2735760 5025710 )
NEW met1 ( 2706960 1144965 ) ( 3254640 1144965 )
NEW met1 ( 2735760 4960775 ) ( 3254640 4960775 )
NEW met1 ( 2505360 1137935 ) ( 2706960 1137935 )
NEW met3 ( 2476320 1115550 ) ( 2476320 1120730 )
NEW met3 ( 2476320 1120730 ) ( 2505360 1120730 )
NEW met2 ( 2505360 1120730 ) ( 2505360 1137935 )
NEW met2 ( 3254640 1144965 ) ( 3254640 4960775 )
NEW met3 ( 1247520 1114810 ) ( 1247520 1115550 )
NEW met3 ( 1034400 1114810 ) ( 1247520 1114810 )
NEW met3 ( 2304480 1114810 ) ( 2304480 1116290 )
NEW met3 ( 2304480 1116290 ) ( 2404320 1116290 )
NEW met3 ( 2404320 1115550 ) ( 2404320 1116290 )
NEW met3 ( 2404320 1115550 ) ( 2476320 1115550 )
NEW met4 ( 2239200 1114810 ) ( 2239200 1117215 )
NEW met3 ( 2239200 1114810 ) ( 2304480 1114810 )
NEW met4 ( 2138400 1117030 ) ( 2138400 1117215 )
NEW met5 ( 2138400 1117215 ) ( 2239200 1117215 )
NEW met2 ( 1035840 268250 ) ( 1035840 268990 0 )
NEW met2 ( 1035600 268250 ) ( 1035840 268250 )
NEW met2 ( 1035600 268065 ) ( 1035600 268250 )
NEW met3 ( 1035600 268065 ) ( 1035600 268250 )
NEW met3 ( 1033440 268250 ) ( 1035600 268250 )
NEW met4 ( 1033440 268250 ) ( 1033440 281385 )
NEW met4 ( 1033440 281385 ) ( 1034400 281385 )
NEW met4 ( 1034400 281385 ) ( 1034400 1114810 )
NEW met3 ( 1267680 1115550 ) ( 1267680 1116290 )
NEW met3 ( 1247520 1115550 ) ( 1267680 1115550 )
NEW met3 ( 1469280 1116290 ) ( 1469280 1117770 )
NEW met3 ( 1267680 1116290 ) ( 1469280 1116290 )
NEW met4 ( 1512480 1115550 ) ( 1512480 1117770 )
NEW met3 ( 1469280 1117770 ) ( 1512480 1117770 )
NEW met3 ( 1598880 1115550 ) ( 1598880 1116290 )
NEW met3 ( 1512480 1115550 ) ( 1598880 1115550 )
NEW met3 ( 1800240 1116290 ) ( 1800240 1117770 )
NEW met3 ( 1800240 1117770 ) ( 1900320 1117770 )
NEW met4 ( 1900320 1115550 ) ( 1900320 1117770 )
NEW met3 ( 1598880 1116290 ) ( 1800240 1116290 )
NEW met3 ( 2016480 1115550 ) ( 2016480 1117030 )
NEW met3 ( 2016480 1117030 ) ( 2138400 1117030 )
NEW met4 ( 1901520 1115550 ) ( 1902240 1115550 )
NEW met4 ( 1902240 1113885 ) ( 1902240 1115550 )
NEW met5 ( 1902240 1113885 ) ( 2001120 1113885 )
NEW met4 ( 2001120 1113885 ) ( 2001120 1115550 )
NEW met3 ( 1900320 1115550 ) ( 1901520 1115550 )
NEW met3 ( 2001120 1115550 ) ( 2016480 1115550 )
NEW met1 ( 3254640 1144965 ) M1M2_PR
NEW met1 ( 3254640 4960775 ) M1M2_PR
NEW met2 ( 2735760 5025710 ) via2_FR
NEW met1 ( 2706960 1137935 ) M1M2_PR
NEW met1 ( 2706960 1144965 ) M1M2_PR
NEW met1 ( 2698320 1137935 ) M1M2_PR
NEW met1 ( 2735760 4960775 ) M1M2_PR
NEW met3 ( 1034400 1114810 ) M3M4_PR_M
NEW met1 ( 2505360 1137935 ) M1M2_PR
NEW met2 ( 2505360 1120730 ) via2_FR
NEW met3 ( 2239200 1114810 ) M3M4_PR_M
NEW met4 ( 2239200 1117215 ) via4_FR
NEW met3 ( 2138400 1117030 ) M3M4_PR_M
NEW met4 ( 2138400 1117215 ) via4_FR
NEW met2 ( 1035600 268065 ) via2_FR
NEW met3 ( 1033440 268250 ) M3M4_PR_M
NEW met3 ( 1512480 1117770 ) M3M4_PR_M
NEW met3 ( 1512480 1115550 ) M3M4_PR_M
NEW met3 ( 1900320 1117770 ) M3M4_PR_M
NEW met3 ( 1900320 1115550 ) M3M4_PR_M
NEW met3 ( 1901520 1115550 ) M3M4_PR_M
NEW met4 ( 1902240 1113885 ) via4_FR
NEW met4 ( 2001120 1113885 ) via4_FR
NEW met3 ( 2001120 1115550 ) M3M4_PR_M
NEW met1 ( 2698320 1137935 ) RECT ( -595 -70 0 70 )
+ USE SIGNAL ;
- mgmt_io_in\[16\] ( soc mgmt_out_data[16] ) ( soc mgmt_in_data[16] ) ( gpio_control_in\[16\] mgmt_gpio_out ) ( gpio_control_in\[16\] mgmt_gpio_in )
+ ROUTED met1 ( 3230640 4902685 ) ( 3246960 4902685 )
NEW met2 ( 2606160 4993890 ) ( 2606640 4993890 )
NEW met2 ( 2606640 4975945 ) ( 2606640 4993890 )
NEW met2 ( 2606160 4993890 ) ( 2606160 5024415 )
NEW met2 ( 3202320 4931545 ) ( 3202320 4953375 )
NEW met1 ( 3202320 4931545 ) ( 3230640 4931545 )
NEW met2 ( 3230640 4902685 ) ( 3230640 4931545 )
NEW met2 ( 2491920 5025710 ) ( 2493840 5025710 )
NEW met3 ( 2493840 5025710 ) ( 2496480 5025710 0 )
NEW met2 ( 2563440 5024415 ) ( 2563440 5027005 )
NEW met1 ( 2493840 5027005 ) ( 2563440 5027005 )
NEW met2 ( 2493840 5025710 ) ( 2493840 5027005 )
NEW met3 ( 2489280 5025710 0 ) ( 2491920 5025710 )
NEW met1 ( 2563440 5024415 ) ( 2606160 5024415 )
NEW met2 ( 3168720 4953375 ) ( 3168720 4975945 )
NEW met1 ( 2606640 4975945 ) ( 3168720 4975945 )
NEW met1 ( 3168720 4953375 ) ( 3202320 4953375 )
NEW met2 ( 2563920 1339215 ) ( 2563920 1375105 )
NEW met2 ( 3246960 1375105 ) ( 3246960 4902685 )
NEW met2 ( 1021680 299330 ) ( 1022160 299330 )
NEW met2 ( 1021680 268065 ) ( 1021680 299330 )
NEW met2 ( 1022160 299330 ) ( 1022160 1267805 )
NEW met2 ( 1583760 1267805 ) ( 1583760 1270210 )
NEW met3 ( 1583760 1270210 ) ( 1613040 1270210 )
NEW met2 ( 1613040 1268915 ) ( 1613040 1270210 )
NEW met1 ( 2476560 1151995 ) ( 2504880 1151995 )
NEW met1 ( 2542320 1339215 ) ( 2563920 1339215 )
NEW met1 ( 2563920 1375105 ) ( 3246960 1375105 )
NEW met2 ( 1037520 268065 ) ( 1037520 268990 )
NEW met2 ( 1037520 268990 ) ( 1037760 268990 0 )
NEW met1 ( 1021680 268065 ) ( 1037520 268065 )
NEW met1 ( 1022160 1267805 ) ( 1583760 1267805 )
NEW met2 ( 1691760 1267805 ) ( 1691760 1268915 )
NEW met1 ( 1613040 1268915 ) ( 1691760 1268915 )
NEW met2 ( 2505120 1117770 0 ) ( 2505120 1119250 )
NEW met2 ( 2504880 1119250 ) ( 2505120 1119250 )
NEW met2 ( 2504880 1119250 ) ( 2504880 1151995 )
NEW met1 ( 2476560 1280755 ) ( 2542320 1280755 )
NEW met1 ( 1691760 1267805 ) ( 2476560 1267805 )
NEW met2 ( 2476560 1151995 ) ( 2476560 1280755 )
NEW met2 ( 2542320 1280755 ) ( 2542320 1339215 )
NEW met1 ( 2606160 5024415 ) M1M2_PR
NEW met1 ( 3230640 4902685 ) M1M2_PR
NEW met1 ( 3246960 4902685 ) M1M2_PR
NEW met1 ( 2606640 4975945 ) M1M2_PR
NEW met1 ( 3202320 4953375 ) M1M2_PR
NEW met1 ( 3202320 4931545 ) M1M2_PR
NEW met1 ( 3230640 4931545 ) M1M2_PR
NEW met2 ( 2491920 5025710 ) via2_FR
NEW met2 ( 2493840 5025710 ) via2_FR
NEW met1 ( 2563440 5024415 ) M1M2_PR
NEW met1 ( 2563440 5027005 ) M1M2_PR
NEW met1 ( 2493840 5027005 ) M1M2_PR
NEW met1 ( 3168720 4975945 ) M1M2_PR
NEW met1 ( 3168720 4953375 ) M1M2_PR
NEW met1 ( 2563920 1339215 ) M1M2_PR
NEW met1 ( 2563920 1375105 ) M1M2_PR
NEW met1 ( 3246960 1375105 ) M1M2_PR
NEW met1 ( 1021680 268065 ) M1M2_PR
NEW met1 ( 1022160 1267805 ) M1M2_PR
NEW met1 ( 1583760 1267805 ) M1M2_PR
NEW met2 ( 1583760 1270210 ) via2_FR
NEW met2 ( 1613040 1270210 ) via2_FR
NEW met1 ( 1613040 1268915 ) M1M2_PR
NEW met1 ( 2476560 1151995 ) M1M2_PR
NEW met1 ( 2504880 1151995 ) M1M2_PR
NEW met1 ( 2542320 1339215 ) M1M2_PR
NEW met1 ( 1037520 268065 ) M1M2_PR
NEW met1 ( 1691760 1268915 ) M1M2_PR
NEW met1 ( 1691760 1267805 ) M1M2_PR
NEW met1 ( 2476560 1280755 ) M1M2_PR
NEW met1 ( 2542320 1280755 ) M1M2_PR
NEW met1 ( 2476560 1267805 ) M1M2_PR
NEW met2 ( 2476560 1267805 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[17\] ( soc mgmt_out_data[17] ) ( soc mgmt_in_data[17] ) ( gpio_control_in\[17\] mgmt_gpio_out ) ( gpio_control_in\[17\] mgmt_gpio_in )
+ ROUTED met2 ( 760560 1151255 ) ( 760560 1209345 )
NEW met1 ( 334320 1333295 ) ( 402960 1333295 )
NEW met2 ( 402960 1295370 ) ( 402960 1333295 )
NEW met2 ( 334320 1333295 ) ( 334320 1375475 )
NEW met2 ( 1794960 1117770 0 ) ( 1794960 1138305 )
NEW met2 ( 323760 1375475 ) ( 323760 4903055 )
NEW met1 ( 323760 1375475 ) ( 334320 1375475 )
NEW met1 ( 734160 1209345 ) ( 760560 1209345 )
NEW met1 ( 323760 4903055 ) ( 2051760 4903055 )
NEW met2 ( 404400 1264105 ) ( 404400 1295370 )
NEW met2 ( 402960 1295370 ) ( 404400 1295370 )
NEW met3 ( 1040880 1132570 ) ( 1041120 1132570 )
NEW met2 ( 1040880 1132570 ) ( 1040880 1151255 )
NEW met1 ( 760560 1151255 ) ( 1040880 1151255 )
NEW met2 ( 1210320 1136825 ) ( 1210320 1137935 )
NEW met3 ( 2104320 4978350 ) ( 2104320 4979090 0 )
NEW met3 ( 2104320 4978350 ) ( 2112480 4978350 )
NEW met3 ( 2112480 4978350 ) ( 2112480 4979090 )
NEW met3 ( 2111520 4979090 0 ) ( 2112480 4979090 )
NEW met3 ( 2051760 4978350 ) ( 2104320 4978350 )
NEW met2 ( 2051760 4903055 ) ( 2051760 4978350 )
NEW met1 ( 404400 1264105 ) ( 734160 1264105 )
NEW met2 ( 734160 1209345 ) ( 734160 1264105 )
NEW met2 ( 1109040 1137010 ) ( 1109040 1137565 )
NEW met2 ( 1109040 1137010 ) ( 1109520 1137010 )
NEW met2 ( 1109520 1137010 ) ( 1109520 1137935 )
NEW met1 ( 1040880 1137565 ) ( 1109040 1137565 )
NEW met1 ( 1109520 1137935 ) ( 1210320 1137935 )
NEW met1 ( 1281840 1136825 ) ( 1281840 1137195 )
NEW met1 ( 1210320 1136825 ) ( 1281840 1136825 )
NEW met1 ( 1718160 1137935 ) ( 1718160 1138305 )
NEW met1 ( 1718160 1138305 ) ( 1794960 1138305 )
NEW met2 ( 1039440 261590 ) ( 1039440 268990 0 )
NEW met3 ( 1039440 261590 ) ( 1041120 261590 )
NEW met4 ( 1041120 261590 ) ( 1041120 1132570 )
NEW met1 ( 1410480 1136825 ) ( 1410480 1137195 )
NEW met1 ( 1410480 1136825 ) ( 1418160 1136825 )
NEW met1 ( 1418160 1136825 ) ( 1418160 1137935 )
NEW met1 ( 1281840 1137195 ) ( 1410480 1137195 )
NEW met1 ( 1418160 1137935 ) ( 1718160 1137935 )
NEW met1 ( 2051760 4903055 ) M1M2_PR
NEW met1 ( 323760 4903055 ) M1M2_PR
NEW met1 ( 334320 1375475 ) M1M2_PR
NEW met1 ( 760560 1209345 ) M1M2_PR
NEW met1 ( 760560 1151255 ) M1M2_PR
NEW met1 ( 1794960 1138305 ) M1M2_PR
NEW met1 ( 334320 1333295 ) M1M2_PR
NEW met1 ( 402960 1333295 ) M1M2_PR
NEW met1 ( 323760 1375475 ) M1M2_PR
NEW met1 ( 734160 1209345 ) M1M2_PR
NEW met1 ( 404400 1264105 ) M1M2_PR
NEW met3 ( 1041120 1132570 ) M3M4_PR_M
NEW met2 ( 1040880 1132570 ) via2_FR
NEW met1 ( 1040880 1151255 ) M1M2_PR
NEW met1 ( 1040880 1137565 ) M1M2_PR
NEW met1 ( 1210320 1137935 ) M1M2_PR
NEW met1 ( 1210320 1136825 ) M1M2_PR
NEW met2 ( 2051760 4978350 ) via2_FR
NEW met1 ( 734160 1264105 ) M1M2_PR
NEW met1 ( 1109040 1137565 ) M1M2_PR
NEW met1 ( 1109520 1137935 ) M1M2_PR
NEW met2 ( 1039440 261590 ) via2_FR
NEW met3 ( 1041120 261590 ) M3M4_PR_M
NEW met3 ( 1041120 1132570 ) RECT ( 0 -150 380 150 )
NEW met2 ( 1040880 1137565 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[18\] ( soc mgmt_out_data[18] ) ( soc mgmt_in_data[18] ) ( gpio_control_in\[18\] mgmt_gpio_out ) ( gpio_control_in\[18\] mgmt_gpio_in )
+ ROUTED met1 ( 1584720 4932285 ) ( 1603920 4932285 )
NEW met2 ( 1584720 4903425 ) ( 1584720 4932285 )
NEW met2 ( 336240 1353275 ) ( 336240 1375845 )
NEW met1 ( 336240 1353275 ) ( 360720 1353275 )
NEW met2 ( 784560 1142930 ) ( 784560 1153105 )
NEW met1 ( 776880 1153105 ) ( 784560 1153105 )
NEW met2 ( 776880 1153105 ) ( 776880 1195655 )
NEW met1 ( 748080 1195655 ) ( 776880 1195655 )
NEW met2 ( 748080 1195655 ) ( 748080 1222295 )
NEW met1 ( 1603920 4973725 ) ( 1627440 4973725 )
NEW met2 ( 1627440 4973725 ) ( 1627440 4989265 )
NEW met2 ( 1603920 4932285 ) ( 1603920 4973725 )
NEW met1 ( 360720 1334035 ) ( 418320 1334035 )
NEW met2 ( 418320 1290375 ) ( 418320 1334035 )
NEW met2 ( 360720 1334035 ) ( 360720 1353275 )
NEW met2 ( 323280 1375845 ) ( 323280 4903425 )
NEW met1 ( 323280 1375845 ) ( 336240 1375845 )
NEW met1 ( 722160 1222295 ) ( 748080 1222295 )
NEW met3 ( 835680 1142930 ) ( 835680 1143670 )
NEW met3 ( 784560 1142930 ) ( 835680 1142930 )
NEW met2 ( 481680 1264475 ) ( 481680 1290375 )
NEW met1 ( 418320 1290375 ) ( 481680 1290375 )
NEW met2 ( 705840 1251525 ) ( 705840 1264475 )
NEW met1 ( 705840 1251525 ) ( 722160 1251525 )
NEW met1 ( 481680 1264475 ) ( 705840 1264475 )
NEW met2 ( 722160 1222295 ) ( 722160 1251525 )
NEW met2 ( 1040880 269730 ) ( 1041360 269730 0 )
NEW met3 ( 1038240 269730 ) ( 1040880 269730 )
NEW met1 ( 323280 4903425 ) ( 1584720 4903425 )
NEW met2 ( 1719360 1117770 0 ) ( 1719360 1119250 )
NEW met2 ( 1719120 1119250 ) ( 1719360 1119250 )
NEW met2 ( 1719120 1119250 ) ( 1719120 1138490 )
NEW met2 ( 1013040 1138490 ) ( 1013040 1143670 )
NEW met3 ( 1013040 1138490 ) ( 1038240 1138490 )
NEW met3 ( 835680 1143670 ) ( 1013040 1143670 )
NEW met4 ( 1038240 269730 ) ( 1038240 1138490 )
NEW met3 ( 1038240 1138490 ) ( 1719120 1138490 )
NEW met3 ( 1767360 4978350 ) ( 1767360 4979090 0 )
NEW met3 ( 1767360 4978350 ) ( 1775520 4978350 )
NEW met3 ( 1775520 4978350 ) ( 1775520 4979090 )
NEW met3 ( 1774560 4979090 0 ) ( 1775520 4979090 )
NEW met2 ( 1750800 4979090 ) ( 1750800 4989265 )
NEW met3 ( 1750800 4979090 ) ( 1767360 4979090 0 )
NEW met1 ( 1627440 4989265 ) ( 1750800 4989265 )
NEW met1 ( 1584720 4903425 ) M1M2_PR
NEW met1 ( 1584720 4932285 ) M1M2_PR
NEW met1 ( 1603920 4932285 ) M1M2_PR
NEW met1 ( 323280 4903425 ) M1M2_PR
NEW met1 ( 336240 1375845 ) M1M2_PR
NEW met1 ( 336240 1353275 ) M1M2_PR
NEW met1 ( 360720 1353275 ) M1M2_PR
NEW met2 ( 784560 1142930 ) via2_FR
NEW met1 ( 784560 1153105 ) M1M2_PR
NEW met1 ( 776880 1153105 ) M1M2_PR
NEW met1 ( 776880 1195655 ) M1M2_PR
NEW met1 ( 748080 1195655 ) M1M2_PR
NEW met1 ( 748080 1222295 ) M1M2_PR
NEW met1 ( 1603920 4973725 ) M1M2_PR
NEW met1 ( 1627440 4973725 ) M1M2_PR
NEW met1 ( 1627440 4989265 ) M1M2_PR
NEW met1 ( 360720 1334035 ) M1M2_PR
NEW met1 ( 418320 1334035 ) M1M2_PR
NEW met1 ( 418320 1290375 ) M1M2_PR
NEW met1 ( 323280 1375845 ) M1M2_PR
NEW met1 ( 722160 1222295 ) M1M2_PR
NEW met2 ( 1719120 1138490 ) via2_FR
NEW met1 ( 481680 1290375 ) M1M2_PR
NEW met1 ( 481680 1264475 ) M1M2_PR
NEW met1 ( 705840 1264475 ) M1M2_PR
NEW met1 ( 705840 1251525 ) M1M2_PR
NEW met1 ( 722160 1251525 ) M1M2_PR
NEW met2 ( 1040880 269730 ) via2_FR
NEW met3 ( 1038240 269730 ) M3M4_PR_M
NEW met3 ( 1038240 1138490 ) M3M4_PR_M
NEW met2 ( 1013040 1143670 ) via2_FR
NEW met2 ( 1013040 1138490 ) via2_FR
NEW met1 ( 1750800 4989265 ) M1M2_PR
NEW met2 ( 1750800 4979090 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[19\] ( soc mgmt_out_data[19] ) ( soc mgmt_in_data[19] ) ( gpio_control_in\[19\] mgmt_gpio_out ) ( gpio_control_in\[19\] mgmt_gpio_in )
+ ROUTED met2 ( 363120 1352905 ) ( 363120 1367335 )
NEW met1 ( 363120 1352905 ) ( 403440 1352905 )
NEW met2 ( 736080 1151995 ) ( 736080 1166055 )
NEW met1 ( 403440 1307025 ) ( 417840 1307025 )
NEW met2 ( 417840 1274835 ) ( 417840 1307025 )
NEW met2 ( 403440 1307025 ) ( 403440 1352905 )
NEW met2 ( 560880 1264845 ) ( 560880 1274835 )
NEW met2 ( 324240 1367335 ) ( 324240 4903795 )
NEW met1 ( 324240 1367335 ) ( 363120 1367335 )
NEW met1 ( 712560 1166425 ) ( 720240 1166425 )
NEW met1 ( 720240 1166055 ) ( 720240 1166425 )
NEW met1 ( 720240 1166055 ) ( 736080 1166055 )
NEW met3 ( 1515360 4978350 ) ( 1515360 4979090 0 )
NEW met3 ( 1515360 4978350 ) ( 1520160 4978350 )
NEW met3 ( 1520160 4978350 ) ( 1520160 4979090 )
NEW met3 ( 1520160 4979090 ) ( 1523040 4979090 0 )
NEW met3 ( 1492560 4978350 ) ( 1515360 4978350 )
NEW met1 ( 417840 1274835 ) ( 560880 1274835 )
NEW met1 ( 560880 1264845 ) ( 712560 1264845 )
NEW met2 ( 712560 1166425 ) ( 712560 1264845 )
NEW met2 ( 1042800 270470 ) ( 1043280 270470 0 )
NEW met3 ( 1040160 270470 ) ( 1042800 270470 )
NEW met2 ( 1467360 1117770 0 ) ( 1467360 1119250 )
NEW met2 ( 1467120 1119250 ) ( 1467360 1119250 )
NEW met2 ( 1467120 1119250 ) ( 1467120 1138305 )
NEW met1 ( 324240 4903795 ) ( 1492560 4903795 )
NEW met2 ( 1492560 4903795 ) ( 1492560 4978350 )
NEW met3 ( 1039440 1134050 ) ( 1040160 1134050 )
NEW met2 ( 1039440 1134050 ) ( 1039440 1151995 )
NEW met1 ( 736080 1151995 ) ( 1039440 1151995 )
NEW met4 ( 1040160 270470 ) ( 1040160 1134050 )
NEW met1 ( 1039440 1138305 ) ( 1467120 1138305 )
NEW met1 ( 324240 4903795 ) M1M2_PR
NEW met1 ( 363120 1367335 ) M1M2_PR
NEW met1 ( 363120 1352905 ) M1M2_PR
NEW met1 ( 403440 1352905 ) M1M2_PR
NEW met1 ( 736080 1166055 ) M1M2_PR
NEW met1 ( 736080 1151995 ) M1M2_PR
NEW met1 ( 403440 1307025 ) M1M2_PR
NEW met1 ( 417840 1307025 ) M1M2_PR
NEW met1 ( 417840 1274835 ) M1M2_PR
NEW met1 ( 560880 1274835 ) M1M2_PR
NEW met1 ( 560880 1264845 ) M1M2_PR
NEW met1 ( 324240 1367335 ) M1M2_PR
NEW met1 ( 712560 1166425 ) M1M2_PR
NEW met1 ( 1467120 1138305 ) M1M2_PR
NEW met2 ( 1492560 4978350 ) via2_FR
NEW met1 ( 712560 1264845 ) M1M2_PR
NEW met2 ( 1042800 270470 ) via2_FR
NEW met3 ( 1040160 270470 ) M3M4_PR_M
NEW met1 ( 1492560 4903795 ) M1M2_PR
NEW met3 ( 1040160 1134050 ) M3M4_PR_M
NEW met2 ( 1039440 1134050 ) via2_FR
NEW met1 ( 1039440 1151995 ) M1M2_PR
NEW met1 ( 1039440 1138305 ) M1M2_PR
NEW met2 ( 1039440 1138305 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[1\] ( soc mgmt_in_data[1] ) ( gpio_control_bidir\[1\] mgmt_gpio_in )
+ ROUTED met2 ( 3210960 821215 ) ( 3210960 832130 )
NEW met3 ( 3172320 832130 0 ) ( 3210960 832130 )
NEW met2 ( 3373680 821215 ) ( 3373680 828430 )
NEW met3 ( 3373680 828430 ) ( 3373920 828430 )
NEW met3 ( 3373920 828430 ) ( 3373920 831390 0 )
NEW met1 ( 3210960 821215 ) ( 3373680 821215 )
NEW met1 ( 3210960 821215 ) M1M2_PR
NEW met2 ( 3210960 832130 ) via2_FR
NEW met1 ( 3373680 821215 ) M1M2_PR
NEW met2 ( 3373680 828430 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[20\] ( soc mgmt_out_data[20] ) ( soc mgmt_in_data[20] ) ( gpio_control_in\[20\] mgmt_gpio_out ) ( gpio_control_in\[20\] mgmt_gpio_in )
+ ROUTED met3 ( 1238160 4979830 ) ( 1257120 4979830 0 )
NEW met2 ( 1238160 4968545 ) ( 1238160 4979830 )
NEW met1 ( 1216560 4968545 ) ( 1238160 4968545 )
NEW met3 ( 1264800 4978350 ) ( 1264800 4979090 0 )
NEW met3 ( 1259040 4978350 ) ( 1264800 4978350 )
NEW met3 ( 1259040 4978350 ) ( 1259040 4979090 )
NEW met3 ( 1257120 4979090 0 ) ( 1259040 4979090 )
NEW met3 ( 1257120 4979090 0 ) ( 1257120 4979830 0 )
NEW met1 ( 734640 1194915 ) ( 749040 1194915 )
NEW met2 ( 749040 1151625 ) ( 749040 1194915 )
NEW met2 ( 338160 1263735 ) ( 338160 1354755 )
NEW met2 ( 734640 1194915 ) ( 734640 1263735 )
NEW met2 ( 1216560 4904165 ) ( 1216560 4968545 )
NEW met2 ( 324720 1354755 ) ( 324720 4904165 )
NEW met1 ( 324720 1354755 ) ( 338160 1354755 )
NEW met1 ( 338160 1263735 ) ( 734640 1263735 )
NEW met1 ( 324720 4904165 ) ( 1216560 4904165 )
NEW met2 ( 1045200 267510 ) ( 1045200 268990 0 )
NEW met3 ( 1039200 267510 ) ( 1045200 267510 )
NEW met3 ( 1038960 1133310 ) ( 1039200 1133310 )
NEW met2 ( 1038960 1133310 ) ( 1038960 1151625 )
NEW met1 ( 749040 1151625 ) ( 1038960 1151625 )
NEW met4 ( 1039200 267510 ) ( 1039200 1133310 )
NEW met2 ( 1209840 1117770 0 ) ( 1209840 1138675 )
NEW met2 ( 1209840 1138675 ) ( 1210320 1138675 )
NEW met1 ( 1038960 1138675 ) ( 1210320 1138675 )
NEW met2 ( 1238160 4979830 ) via2_FR
NEW met1 ( 1238160 4968545 ) M1M2_PR
NEW met1 ( 1216560 4968545 ) M1M2_PR
NEW met1 ( 324720 4904165 ) M1M2_PR
NEW met1 ( 338160 1354755 ) M1M2_PR
NEW met1 ( 734640 1194915 ) M1M2_PR
NEW met1 ( 749040 1194915 ) M1M2_PR
NEW met1 ( 749040 1151625 ) M1M2_PR
NEW met1 ( 338160 1263735 ) M1M2_PR
NEW met1 ( 734640 1263735 ) M1M2_PR
NEW met1 ( 1216560 4904165 ) M1M2_PR
NEW met1 ( 324720 1354755 ) M1M2_PR
NEW met2 ( 1045200 267510 ) via2_FR
NEW met3 ( 1039200 267510 ) M3M4_PR_M
NEW met3 ( 1039200 1133310 ) M3M4_PR_M
NEW met2 ( 1038960 1133310 ) via2_FR
NEW met1 ( 1038960 1151625 ) M1M2_PR
NEW met1 ( 1038960 1138675 ) M1M2_PR
NEW met1 ( 1210320 1138675 ) M1M2_PR
NEW met3 ( 1039200 1133310 ) RECT ( 0 -150 380 150 )
NEW met2 ( 1038960 1138675 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[21\] ( soc mgmt_out_data[21] ) ( soc mgmt_in_data[21] ) ( gpio_control_in\[21\] mgmt_gpio_out ) ( gpio_control_in\[21\] mgmt_gpio_in )
+ ROUTED met3 ( 1024560 1151810 ) ( 1024800 1151810 )
NEW met2 ( 1025040 1114810 ) ( 1025760 1114810 0 )
NEW met2 ( 1025040 1113330 ) ( 1025040 1114810 )
NEW met3 ( 1025040 1113330 ) ( 1036320 1113330 )
NEW met2 ( 1024560 1114810 ) ( 1025040 1114810 )
NEW met2 ( 1024560 1114810 ) ( 1024560 1151810 )
NEW met4 ( 1024800 1151810 ) ( 1024800 1274650 )
NEW met2 ( 325200 1274650 ) ( 325200 4917485 )
NEW met3 ( 325200 1274650 ) ( 1024800 1274650 )
NEW met1 ( 325200 4917485 ) ( 978480 4917485 )
NEW met3 ( 1000320 4979830 0 ) ( 1001520 4979830 )
NEW met2 ( 1001520 4979645 ) ( 1001520 4979830 )
NEW met1 ( 1001520 4979645 ) ( 1004880 4979645 )
NEW met2 ( 1004880 4979645 ) ( 1004880 4979830 )
NEW met3 ( 1004880 4979830 ) ( 1007520 4979830 0 )
NEW met1 ( 978480 4979645 ) ( 1001520 4979645 )
NEW met2 ( 978480 4917485 ) ( 978480 4979645 )
NEW met2 ( 1046880 268250 ) ( 1046880 268990 0 )
NEW met2 ( 1046640 268250 ) ( 1046880 268250 )
NEW met2 ( 1046640 266770 ) ( 1046640 268250 )
NEW met3 ( 1035360 266770 ) ( 1046640 266770 )
NEW met4 ( 1035360 266770 ) ( 1035360 274725 )
NEW met4 ( 1035360 274725 ) ( 1036320 274725 )
NEW met4 ( 1036320 274725 ) ( 1036320 1113330 )
NEW met1 ( 325200 4917485 ) M1M2_PR
NEW met2 ( 1024560 1151810 ) via2_FR
NEW met3 ( 1024800 1151810 ) M3M4_PR_M
NEW met2 ( 1025040 1113330 ) via2_FR
NEW met3 ( 1036320 1113330 ) M3M4_PR_M
NEW met3 ( 1024800 1274650 ) M3M4_PR_M
NEW met1 ( 978480 4917485 ) M1M2_PR
NEW met2 ( 325200 1274650 ) via2_FR
NEW met2 ( 1001520 4979830 ) via2_FR
NEW met1 ( 1001520 4979645 ) M1M2_PR
NEW met1 ( 1004880 4979645 ) M1M2_PR
NEW met2 ( 1004880 4979830 ) via2_FR
NEW met1 ( 978480 4979645 ) M1M2_PR
NEW met2 ( 1046640 266770 ) via2_FR
NEW met3 ( 1035360 266770 ) M3M4_PR_M
NEW met3 ( 1024560 1151810 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[22\] ( soc mgmt_out_data[22] ) ( soc mgmt_in_data[22] ) ( gpio_control_in\[22\] mgmt_gpio_out ) ( gpio_control_in\[22\] mgmt_gpio_in )
+ ROUTED met3 ( 1023840 1151070 ) ( 1027440 1151070 )
NEW met2 ( 1027440 1117770 0 ) ( 1027440 1119990 )
NEW met3 ( 1027440 1119990 ) ( 1035360 1119990 )
NEW met2 ( 1027440 1119990 ) ( 1027440 1151070 )
NEW met4 ( 1023840 1151070 ) ( 1023840 1273910 )
NEW met2 ( 325680 1273910 ) ( 325680 4917855 )
NEW met1 ( 325680 4917855 ) ( 726480 4917855 )
NEW met3 ( 325680 1273910 ) ( 1023840 1273910 )
NEW met3 ( 743520 4979830 0 ) ( 745680 4979830 )
NEW met2 ( 745680 4979830 ) ( 747600 4979830 )
NEW met3 ( 747600 4979830 ) ( 750720 4979830 0 )
NEW met3 ( 726480 4979830 ) ( 743520 4979830 0 )
NEW met2 ( 726480 4917855 ) ( 726480 4979830 )
NEW met2 ( 1048800 268065 ) ( 1048800 268990 0 )
NEW met1 ( 1038000 268065 ) ( 1048800 268065 )
NEW met2 ( 1038000 267510 ) ( 1038000 268065 )
NEW met3 ( 1036320 267510 ) ( 1038000 267510 )
NEW met4 ( 1036320 266030 ) ( 1036320 267510 )
NEW met4 ( 1034400 266030 ) ( 1036320 266030 )
NEW met4 ( 1034400 266030 ) ( 1034400 278055 )
NEW met4 ( 1034400 278055 ) ( 1035360 278055 )
NEW met4 ( 1035360 278055 ) ( 1035360 1119990 )
NEW met1 ( 325680 4917855 ) M1M2_PR
NEW met3 ( 1023840 1151070 ) M3M4_PR_M
NEW met2 ( 1027440 1151070 ) via2_FR
NEW met2 ( 1027440 1119990 ) via2_FR
NEW met3 ( 1035360 1119990 ) M3M4_PR_M
NEW met3 ( 1023840 1273910 ) M3M4_PR_M
NEW met2 ( 325680 1273910 ) via2_FR
NEW met1 ( 726480 4917855 ) M1M2_PR
NEW met2 ( 745680 4979830 ) via2_FR
NEW met2 ( 747600 4979830 ) via2_FR
NEW met2 ( 726480 4979830 ) via2_FR
NEW met1 ( 1048800 268065 ) M1M2_PR
NEW met1 ( 1038000 268065 ) M1M2_PR
NEW met2 ( 1038000 267510 ) via2_FR
NEW met3 ( 1036320 267510 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[23\] ( soc mgmt_out_data[23] ) ( soc mgmt_in_data[23] ) ( gpio_control_in\[23\] mgmt_gpio_out ) ( gpio_control_in\[23\] mgmt_gpio_in )
+ ROUTED met3 ( 1022880 1110370 ) ( 1022880 1111850 0 )
NEW met3 ( 999120 1110370 ) ( 1022880 1110370 )
NEW met2 ( 999120 267695 ) ( 999120 1110370 )
NEW met2 ( 1001520 1110370 ) ( 1001520 1274465 )
NEW met3 ( 486240 4978350 ) ( 486240 4979090 0 )
NEW met3 ( 486240 4978350 ) ( 493920 4978350 )
NEW met3 ( 493920 4978350 ) ( 493920 4979090 0 )
NEW met3 ( 474960 4978350 ) ( 486240 4978350 )
NEW met2 ( 326160 1274465 ) ( 326160 4919335 )
NEW met1 ( 326160 4919335 ) ( 474960 4919335 )
NEW met2 ( 474960 4919335 ) ( 474960 4978350 )
NEW met1 ( 326160 1274465 ) ( 1001520 1274465 )
NEW met2 ( 1050480 267695 ) ( 1050480 268990 0 )
NEW met1 ( 999120 267695 ) ( 1050480 267695 )
NEW met1 ( 326160 4919335 ) M1M2_PR
NEW met1 ( 999120 267695 ) M1M2_PR
NEW met2 ( 999120 1110370 ) via2_FR
NEW met2 ( 1001520 1110370 ) via2_FR
NEW met1 ( 1001520 1274465 ) M1M2_PR
NEW met2 ( 474960 4978350 ) via2_FR
NEW met1 ( 326160 1274465 ) M1M2_PR
NEW met1 ( 474960 4919335 ) M1M2_PR
NEW met1 ( 1050480 267695 ) M1M2_PR
NEW met3 ( 1001520 1110370 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[24\] ( soc mgmt_out_data[24] ) ( soc mgmt_in_data[24] ) ( gpio_control_in\[24\] mgmt_gpio_out ) ( gpio_control_in\[24\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 4448510 ) ( 211680 4449250 0 )
NEW met3 ( 211680 4448510 ) ( 211920 4448510 )
NEW met2 ( 211920 4448325 ) ( 211920 4448510 )
NEW met1 ( 211920 4448325 ) ( 222960 4448325 )
NEW met3 ( 211680 4455910 ) ( 211680 4456650 0 )
NEW met3 ( 211680 4455910 ) ( 211920 4455910 )
NEW met2 ( 211920 4448510 ) ( 211920 4455910 )
NEW met1 ( 1023120 1143485 ) ( 1029360 1143485 )
NEW met2 ( 222960 1260405 ) ( 222960 4448325 )
NEW met2 ( 1029360 1117770 0 ) ( 1029360 1119250 )
NEW met3 ( 1029360 1119250 ) ( 1033440 1119250 )
NEW met2 ( 1029360 1119250 ) ( 1029360 1143485 )
NEW met2 ( 1023120 1143485 ) ( 1023120 1260405 )
NEW met1 ( 222960 1260405 ) ( 1023120 1260405 )
NEW met2 ( 1051920 271210 ) ( 1052400 271210 0 )
NEW met3 ( 1051920 271210 ) ( 1051920 271950 )
NEW met3 ( 1031520 271950 ) ( 1051920 271950 )
NEW met4 ( 1031520 271950 ) ( 1031520 288045 )
NEW met4 ( 1031520 288045 ) ( 1033440 288045 )
NEW met4 ( 1033440 288045 ) ( 1033440 1119250 )
NEW met2 ( 211920 4448510 ) via2_FR
NEW met1 ( 211920 4448325 ) M1M2_PR
NEW met1 ( 222960 4448325 ) M1M2_PR
NEW met2 ( 211920 4455910 ) via2_FR
NEW met1 ( 1023120 1143485 ) M1M2_PR
NEW met1 ( 1029360 1143485 ) M1M2_PR
NEW met1 ( 222960 1260405 ) M1M2_PR
NEW met2 ( 1029360 1119250 ) via2_FR
NEW met3 ( 1033440 1119250 ) M3M4_PR_M
NEW met1 ( 1023120 1260405 ) M1M2_PR
NEW met2 ( 1051920 271210 ) via2_FR
NEW met3 ( 1031520 271950 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_io_in\[25\] ( soc mgmt_out_data[25] ) ( soc mgmt_in_data[25] ) ( gpio_control_in\[25\] mgmt_gpio_out ) ( gpio_control_in\[25\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 4025970 ) ( 211680 4027450 0 )
NEW met3 ( 211440 4025970 ) ( 211680 4025970 )
NEW met2 ( 211440 4025785 ) ( 211440 4025970 )
NEW met1 ( 211440 4025785 ) ( 223920 4025785 )
NEW met3 ( 211680 4031890 ) ( 211680 4034850 0 )
NEW met3 ( 211680 4031890 ) ( 213600 4031890 )
NEW met3 ( 213600 4029670 ) ( 213600 4031890 )
NEW met3 ( 211680 4029670 ) ( 213600 4029670 )
NEW met3 ( 211680 4027450 0 ) ( 211680 4029670 )
NEW met1 ( 1024080 1137935 ) ( 1031280 1137935 )
NEW met2 ( 223920 1260775 ) ( 223920 4025785 )
NEW met1 ( 1024080 294705 ) ( 1025040 294705 )
NEW met2 ( 1025040 273985 ) ( 1025040 294705 )
NEW met1 ( 1025040 271025 ) ( 1025040 273985 )
NEW met1 ( 1025040 271025 ) ( 1029840 271025 )
NEW met2 ( 1029840 259185 ) ( 1029840 271025 )
NEW met2 ( 1031280 1117770 0 ) ( 1031280 1137935 )
NEW met2 ( 1024080 294705 ) ( 1024080 1260775 )
NEW met1 ( 223920 1260775 ) ( 1024080 1260775 )
NEW met2 ( 1054320 259185 ) ( 1054320 268990 0 )
NEW met1 ( 1029840 259185 ) ( 1054320 259185 )
NEW met2 ( 211440 4025970 ) via2_FR
NEW met1 ( 211440 4025785 ) M1M2_PR
NEW met1 ( 223920 4025785 ) M1M2_PR
NEW met1 ( 1031280 1137935 ) M1M2_PR
NEW met1 ( 1024080 1137935 ) M1M2_PR
NEW met1 ( 223920 1260775 ) M1M2_PR
NEW met1 ( 1024080 294705 ) M1M2_PR
NEW met1 ( 1025040 294705 ) M1M2_PR
NEW met1 ( 1025040 273985 ) M1M2_PR
NEW met1 ( 1029840 271025 ) M1M2_PR
NEW met1 ( 1029840 259185 ) M1M2_PR
NEW met1 ( 1024080 1260775 ) M1M2_PR
NEW met1 ( 1054320 259185 ) M1M2_PR
NEW met2 ( 1024080 1137935 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- mgmt_io_in\[26\] ( soc mgmt_out_data[26] ) ( soc mgmt_in_data[26] ) ( gpio_control_in\[26\] mgmt_gpio_out ) ( gpio_control_in\[26\] mgmt_gpio_in )
+ ROUTED met3 ( 1022880 1108890 ) ( 1022880 1109260 0 )
NEW met3 ( 998640 1108890 ) ( 1022880 1108890 )
NEW met2 ( 998640 269175 ) ( 998640 1108890 )
NEW met2 ( 1002480 1108890 ) ( 1002480 1262255 )
NEW met1 ( 224400 1262255 ) ( 1002480 1262255 )
NEW met2 ( 1031760 268250 ) ( 1031760 269175 )
NEW met2 ( 1031760 268250 ) ( 1032720 268250 )
NEW met2 ( 1032720 266955 ) ( 1032720 268250 )
NEW met1 ( 1032720 266955 ) ( 1056240 266955 )
NEW met2 ( 1056240 266955 ) ( 1056240 268990 0 )
NEW met1 ( 998640 269175 ) ( 1031760 269175 )
NEW met3 ( 211680 3811370 0 ) ( 224400 3811370 )
NEW met3 ( 211680 3815810 ) ( 211680 3818770 0 )
NEW met4 ( 211680 3813590 ) ( 211680 3815810 )
NEW met3 ( 211680 3811370 0 ) ( 211680 3813590 )
NEW met2 ( 224400 1262255 ) ( 224400 3811370 )
NEW met1 ( 224400 1262255 ) M1M2_PR
NEW met1 ( 998640 269175 ) M1M2_PR
NEW met2 ( 998640 1108890 ) via2_FR
NEW met2 ( 1002480 1108890 ) via2_FR
NEW met1 ( 1002480 1262255 ) M1M2_PR
NEW met1 ( 1031760 269175 ) M1M2_PR
NEW met1 ( 1032720 266955 ) M1M2_PR
NEW met1 ( 1056240 266955 ) M1M2_PR
NEW met2 ( 224400 3811370 ) via2_FR
NEW met3 ( 211680 3815810 ) M3M4_PR_M
NEW met3 ( 211680 3813590 ) M3M4_PR_M
NEW met3 ( 1002480 1108890 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[27\] ( soc mgmt_out_data[27] ) ( soc mgmt_in_data[27] ) ( gpio_control_in\[27\] mgmt_gpio_out ) ( gpio_control_in\[27\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3593810 ) ( 211680 3595290 0 )
NEW met3 ( 211440 3593810 ) ( 211680 3593810 )
NEW met2 ( 211440 3593625 ) ( 211440 3593810 )
NEW met1 ( 211440 3593625 ) ( 224880 3593625 )
NEW met3 ( 211680 3599730 ) ( 211680 3602690 0 )
NEW met3 ( 211440 3599730 ) ( 211680 3599730 )
NEW met2 ( 211440 3593810 ) ( 211440 3599730 )
NEW met1 ( 1023600 1141635 ) ( 1025520 1141635 )
NEW met2 ( 1025520 1141635 ) ( 1025520 1152550 )
NEW met2 ( 1024560 1152550 ) ( 1025520 1152550 )
NEW met1 ( 1025520 1141635 ) ( 1033200 1141635 )
NEW met2 ( 224880 1261145 ) ( 224880 3593625 )
NEW met2 ( 1033200 1117770 0 ) ( 1033200 1141635 )
NEW met2 ( 1024560 1152550 ) ( 1024560 1261145 )
NEW met1 ( 224880 1261145 ) ( 1024560 1261145 )
NEW met2 ( 1057920 268065 ) ( 1057920 268990 0 )
NEW met1 ( 1053840 268065 ) ( 1057920 268065 )
NEW met2 ( 1053840 268065 ) ( 1053840 268990 )
NEW met3 ( 1023840 268990 ) ( 1053840 268990 )
NEW met4 ( 1023840 268990 ) ( 1023840 294150 )
NEW met3 ( 1023600 294150 ) ( 1023840 294150 )
NEW met2 ( 1023600 294150 ) ( 1023600 1141635 )
NEW met2 ( 211440 3593810 ) via2_FR
NEW met1 ( 211440 3593625 ) M1M2_PR
NEW met1 ( 224880 3593625 ) M1M2_PR
NEW met2 ( 211440 3599730 ) via2_FR
NEW met1 ( 1023600 1141635 ) M1M2_PR
NEW met1 ( 1025520 1141635 ) M1M2_PR
NEW met1 ( 1033200 1141635 ) M1M2_PR
NEW met1 ( 224880 1261145 ) M1M2_PR
NEW met1 ( 1024560 1261145 ) M1M2_PR
NEW met1 ( 1057920 268065 ) M1M2_PR
NEW met1 ( 1053840 268065 ) M1M2_PR
NEW met2 ( 1053840 268990 ) via2_FR
NEW met3 ( 1023840 268990 ) M3M4_PR_M
NEW met3 ( 1023840 294150 ) M3M4_PR_M
NEW met2 ( 1023600 294150 ) via2_FR
NEW met3 ( 1023840 294150 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[28\] ( soc mgmt_out_data[28] ) ( soc mgmt_in_data[28] ) ( gpio_control_in\[28\] mgmt_gpio_out ) ( gpio_control_in\[28\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3378470 ) ( 211680 3379210 0 )
NEW met3 ( 211680 3378470 ) ( 211920 3378470 )
NEW met2 ( 211920 3378285 ) ( 211920 3378470 )
NEW met1 ( 211920 3378285 ) ( 225360 3378285 )
NEW met3 ( 211680 3385870 ) ( 211680 3386610 0 )
NEW met3 ( 211680 3385870 ) ( 211920 3385870 )
NEW met2 ( 211920 3378470 ) ( 211920 3385870 )
NEW met2 ( 225360 1262995 ) ( 225360 3378285 )
NEW met3 ( 1006320 1106670 ) ( 1022880 1106670 0 )
NEW met3 ( 1001040 1106670 ) ( 1006320 1106670 )
NEW met2 ( 1006320 268435 ) ( 1006320 1106670 )
NEW met2 ( 1001040 1106670 ) ( 1001040 1262995 )
NEW met1 ( 225360 1262995 ) ( 1001040 1262995 )
NEW met1 ( 1059840 268065 ) ( 1059840 268435 )
NEW met2 ( 1059840 268065 ) ( 1059840 268990 0 )
NEW met1 ( 1006320 268435 ) ( 1059840 268435 )
NEW met2 ( 211920 3378470 ) via2_FR
NEW met1 ( 211920 3378285 ) M1M2_PR
NEW met1 ( 225360 3378285 ) M1M2_PR
NEW met2 ( 211920 3385870 ) via2_FR
NEW met1 ( 225360 1262995 ) M1M2_PR
NEW met1 ( 1006320 268435 ) M1M2_PR
NEW met2 ( 1006320 1106670 ) via2_FR
NEW met2 ( 1001040 1106670 ) via2_FR
NEW met1 ( 1001040 1262995 ) M1M2_PR
NEW met1 ( 1059840 268065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[29\] ( soc mgmt_out_data[29] ) ( soc mgmt_in_data[29] ) ( gpio_control_in\[29\] mgmt_gpio_out ) ( gpio_control_in\[29\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 3162390 ) ( 211680 3163130 0 )
NEW met3 ( 211440 3162390 ) ( 211680 3162390 )
NEW met2 ( 211440 3162205 ) ( 211440 3162390 )
NEW met1 ( 211440 3162205 ) ( 225840 3162205 )
NEW met3 ( 210720 3167570 ) ( 210720 3170530 0 )
NEW met3 ( 210720 3167570 ) ( 210960 3167570 )
NEW met2 ( 210960 3162390 ) ( 210960 3167570 )
NEW met2 ( 210960 3162390 ) ( 211440 3162390 )
NEW met2 ( 1022640 1142930 ) ( 1023600 1142930 )
NEW met1 ( 1022640 1138675 ) ( 1034640 1138675 )
NEW met2 ( 225840 1261515 ) ( 225840 3162205 )
NEW met2 ( 1023120 293410 ) ( 1023600 293410 )
NEW met2 ( 1023600 281385 ) ( 1023600 293410 )
NEW met1 ( 1021200 281385 ) ( 1023600 281385 )
NEW met2 ( 1021200 264550 ) ( 1021200 281385 )
NEW met2 ( 1021200 264550 ) ( 1022640 264550 )
NEW met2 ( 1022640 258445 ) ( 1022640 264550 )
NEW met1 ( 1022160 1116105 ) ( 1022640 1116105 )
NEW met1 ( 1022160 1114995 ) ( 1022160 1116105 )
NEW met1 ( 1022160 1114995 ) ( 1024560 1114995 )
NEW met1 ( 1024560 1114255 ) ( 1024560 1114995 )
NEW met2 ( 1024560 1036925 ) ( 1024560 1114255 )
NEW met1 ( 1023120 1036925 ) ( 1024560 1036925 )
NEW met2 ( 1034880 1117770 0 ) ( 1034880 1119250 )
NEW met2 ( 1034640 1119250 ) ( 1034880 1119250 )
NEW met2 ( 1022640 1116105 ) ( 1022640 1142930 )
NEW met2 ( 1023120 293410 ) ( 1023120 1036925 )
NEW met2 ( 1034640 1119250 ) ( 1034640 1138675 )
NEW met2 ( 1023600 1142930 ) ( 1023600 1261515 )
NEW met1 ( 225840 1261515 ) ( 1023600 1261515 )
NEW met2 ( 1061520 258445 ) ( 1061520 268990 0 )
NEW met1 ( 1022640 258445 ) ( 1061520 258445 )
NEW met2 ( 211440 3162390 ) via2_FR
NEW met1 ( 211440 3162205 ) M1M2_PR
NEW met1 ( 225840 3162205 ) M1M2_PR
NEW met2 ( 210960 3167570 ) via2_FR
NEW met1 ( 1034640 1138675 ) M1M2_PR
NEW met1 ( 1022640 1138675 ) M1M2_PR
NEW met1 ( 225840 1261515 ) M1M2_PR
NEW met1 ( 1023600 281385 ) M1M2_PR
NEW met1 ( 1021200 281385 ) M1M2_PR
NEW met1 ( 1022640 258445 ) M1M2_PR
NEW met1 ( 1022640 1116105 ) M1M2_PR
NEW met1 ( 1024560 1114255 ) M1M2_PR
NEW met1 ( 1024560 1036925 ) M1M2_PR
NEW met1 ( 1023120 1036925 ) M1M2_PR
NEW met1 ( 1023600 1261515 ) M1M2_PR
NEW met1 ( 1061520 258445 ) M1M2_PR
NEW met2 ( 1022640 1138675 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[2\] ( soc mgmt_out_data[2] ) ( soc mgmt_in_data[2] ) ( gpio_control_in\[2\] mgmt_gpio_out ) ( gpio_control_in\[2\] mgmt_gpio_in )
+ ROUTED met3 ( 3172320 1054870 0 ) ( 3198960 1054870 )
NEW met2 ( 3198960 1051355 ) ( 3198960 1054870 )
NEW met2 ( 3198960 259925 ) ( 3198960 1051355 )
NEW met3 ( 3398880 1056350 0 ) ( 3398880 1057090 )
NEW met3 ( 3398640 1057090 ) ( 3398880 1057090 )
NEW met2 ( 3398640 1057090 ) ( 3398640 1060790 )
NEW met3 ( 3398640 1060790 ) ( 3398880 1060790 )
NEW met3 ( 3398880 1060790 ) ( 3398880 1063750 0 )
NEW met2 ( 3398640 1051355 ) ( 3398640 1057090 )
NEW met1 ( 3198960 1051355 ) ( 3398640 1051355 )
NEW met2 ( 1063440 259925 ) ( 1063440 268990 0 )
NEW met1 ( 1063440 259925 ) ( 3198960 259925 )
NEW met1 ( 3198960 259925 ) M1M2_PR
NEW met1 ( 3198960 1051355 ) M1M2_PR
NEW met2 ( 3198960 1054870 ) via2_FR
NEW met2 ( 3398640 1057090 ) via2_FR
NEW met2 ( 3398640 1060790 ) via2_FR
NEW met1 ( 3398640 1051355 ) M1M2_PR
NEW met1 ( 1063440 259925 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[30\] ( soc mgmt_out_data[30] ) ( soc mgmt_in_data[30] ) ( gpio_control_in\[30\] mgmt_gpio_out ) ( gpio_control_in\[30\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 2953710 ) ( 212880 2953710 )
NEW met3 ( 211680 2953710 ) ( 211680 2954820 0 )
NEW met3 ( 1022640 1150330 ) ( 1025040 1150330 )
NEW met1 ( 1025040 1138305 ) ( 1036560 1138305 )
NEW met3 ( 211680 2946310 ) ( 211680 2947050 0 )
NEW met3 ( 211680 2946310 ) ( 212880 2946310 )
NEW met2 ( 212880 2946125 ) ( 212880 2946310 )
NEW met1 ( 212880 2946125 ) ( 226320 2946125 )
NEW met2 ( 212880 2946310 ) ( 212880 2953710 )
NEW met2 ( 226320 1261885 ) ( 226320 2946125 )
NEW met1 ( 1020720 286565 ) ( 1022640 286565 )
NEW met2 ( 1020720 266215 ) ( 1020720 286565 )
NEW met1 ( 1020720 266215 ) ( 1022640 266215 )
NEW met2 ( 1022640 265290 ) ( 1022640 266215 )
NEW met2 ( 1022640 265290 ) ( 1023120 265290 )
NEW met2 ( 1023120 258075 ) ( 1023120 265290 )
NEW met1 ( 1022640 1115365 ) ( 1025040 1115365 )
NEW met2 ( 1022640 286565 ) ( 1022640 1115365 )
NEW met2 ( 1025040 1115365 ) ( 1025040 1150330 )
NEW met2 ( 1036560 1119250 ) ( 1036560 1138305 )
NEW met2 ( 1022640 1150330 ) ( 1022640 1261885 )
NEW met1 ( 226320 1261885 ) ( 1022640 1261885 )
NEW met2 ( 1065360 258075 ) ( 1065360 268990 0 )
NEW met1 ( 1023120 258075 ) ( 1065360 258075 )
NEW met2 ( 1036800 1117770 0 ) ( 1036800 1119250 )
NEW met2 ( 1036560 1119250 ) ( 1036800 1119250 )
NEW met2 ( 212880 2953710 ) via2_FR
NEW met2 ( 1025040 1150330 ) via2_FR
NEW met2 ( 1022640 1150330 ) via2_FR
NEW met1 ( 1036560 1138305 ) M1M2_PR
NEW met1 ( 1025040 1138305 ) M1M2_PR
NEW met1 ( 226320 1261885 ) M1M2_PR
NEW met2 ( 212880 2946310 ) via2_FR
NEW met1 ( 212880 2946125 ) M1M2_PR
NEW met1 ( 226320 2946125 ) M1M2_PR
NEW met1 ( 1022640 286565 ) M1M2_PR
NEW met1 ( 1020720 286565 ) M1M2_PR
NEW met1 ( 1020720 266215 ) M1M2_PR
NEW met1 ( 1022640 266215 ) M1M2_PR
NEW met1 ( 1023120 258075 ) M1M2_PR
NEW met1 ( 1022640 1115365 ) M1M2_PR
NEW met1 ( 1025040 1115365 ) M1M2_PR
NEW met1 ( 1022640 1261885 ) M1M2_PR
NEW met1 ( 1065360 258075 ) M1M2_PR
NEW met2 ( 1025040 1138305 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[31\] ( soc mgmt_out_data[31] ) ( soc mgmt_in_data[31] ) ( gpio_control_in\[31\] mgmt_gpio_out ) ( gpio_control_in\[31\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 2731340 0 ) ( 213360 2731340 )
NEW met2 ( 213360 2731155 ) ( 213360 2731340 )
NEW met1 ( 213360 2731155 ) ( 226800 2731155 )
NEW met3 ( 211680 2736150 ) ( 211680 2738740 0 )
NEW met3 ( 211680 2736150 ) ( 213600 2736150 )
NEW met3 ( 213600 2733930 ) ( 213600 2736150 )
NEW met3 ( 211680 2733930 ) ( 213600 2733930 )
NEW met3 ( 211680 2731340 0 ) ( 211680 2733930 )
NEW met2 ( 226800 1263365 ) ( 226800 2731155 )
NEW met2 ( 1006800 300070 ) ( 1007280 300070 )
NEW met2 ( 1007280 268805 ) ( 1007280 300070 )
NEW met3 ( 1006800 1103710 ) ( 1022880 1103710 0 )
NEW met3 ( 1002000 1103710 ) ( 1006800 1103710 )
NEW met2 ( 1006800 300070 ) ( 1006800 1103710 )
NEW met2 ( 1002000 1103710 ) ( 1002000 1263365 )
NEW met1 ( 226800 1263365 ) ( 1002000 1263365 )
NEW met1 ( 1067280 268065 ) ( 1067280 268805 )
NEW met2 ( 1067280 268065 ) ( 1067280 268990 0 )
NEW met1 ( 1007280 268805 ) ( 1067280 268805 )
NEW met2 ( 213360 2731340 ) via2_FR
NEW met1 ( 213360 2731155 ) M1M2_PR
NEW met1 ( 226800 2731155 ) M1M2_PR
NEW met1 ( 226800 1263365 ) M1M2_PR
NEW met1 ( 1007280 268805 ) M1M2_PR
NEW met2 ( 1006800 1103710 ) via2_FR
NEW met2 ( 1002000 1103710 ) via2_FR
NEW met1 ( 1002000 1263365 ) M1M2_PR
NEW met1 ( 1067280 268065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[32\] ( soc mgmt_out_data[32] ) ( soc mgmt_in_data[32] ) ( gpio_control_in\[32\] mgmt_gpio_out ) ( gpio_control_in\[32\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 2093090 0 ) ( 213360 2093090 )
NEW met2 ( 213360 2092905 ) ( 213360 2093090 )
NEW met1 ( 213360 2092905 ) ( 223440 2092905 )
NEW met3 ( 211680 2100490 0 ) ( 213360 2100490 )
NEW met2 ( 213360 2093090 ) ( 213360 2100490 )
NEW met2 ( 223440 1260035 ) ( 223440 2092905 )
NEW met1 ( 1037040 1119065 ) ( 1037040 1119805 )
NEW met2 ( 1038480 1117770 0 ) ( 1038480 1119065 )
NEW met1 ( 1037040 1119065 ) ( 1038480 1119065 )
NEW met1 ( 1021680 1119065 ) ( 1037040 1119065 )
NEW met2 ( 1019760 300070 ) ( 1021680 300070 )
NEW met2 ( 1021680 300070 ) ( 1021680 1119065 )
NEW met2 ( 1021200 1209715 ) ( 1021200 1260035 )
NEW met1 ( 1021200 1209715 ) ( 1037040 1209715 )
NEW met1 ( 223440 1260035 ) ( 1021200 1260035 )
NEW met2 ( 1037040 1119805 ) ( 1037040 1209715 )
NEW met2 ( 1019760 264550 ) ( 1019760 300070 )
NEW met2 ( 1068720 264550 ) ( 1068720 267510 )
NEW met2 ( 1068720 267510 ) ( 1068960 267510 )
NEW met2 ( 1068960 267510 ) ( 1068960 268990 0 )
NEW met3 ( 1019760 264550 ) ( 1068720 264550 )
NEW met1 ( 223440 1260035 ) M1M2_PR
NEW met2 ( 213360 2093090 ) via2_FR
NEW met1 ( 213360 2092905 ) M1M2_PR
NEW met1 ( 223440 2092905 ) M1M2_PR
NEW met2 ( 213360 2100490 ) via2_FR
NEW met1 ( 1021680 1119065 ) M1M2_PR
NEW met1 ( 1037040 1119805 ) M1M2_PR
NEW met1 ( 1038480 1119065 ) M1M2_PR
NEW met1 ( 1021200 1260035 ) M1M2_PR
NEW met1 ( 1021200 1209715 ) M1M2_PR
NEW met1 ( 1037040 1209715 ) M1M2_PR
NEW met2 ( 1019760 264550 ) via2_FR
NEW met2 ( 1068720 264550 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[33\] ( soc mgmt_out_data[33] ) ( soc mgmt_in_data[33] ) ( gpio_control_in\[33\] mgmt_gpio_out ) ( gpio_control_in\[33\] mgmt_gpio_in )
+ ROUTED met3 ( 210720 1876270 ) ( 210720 1877010 0 )
NEW met3 ( 210720 1876270 ) ( 210960 1876270 )
NEW met2 ( 210960 1876085 ) ( 210960 1876270 )
NEW met1 ( 210960 1876085 ) ( 227280 1876085 )
NEW met3 ( 210720 1882930 ) ( 210720 1884780 0 )
NEW met3 ( 210720 1882930 ) ( 210960 1882930 )
NEW met2 ( 210960 1876270 ) ( 210960 1882930 )
NEW met2 ( 227280 1262625 ) ( 227280 1876085 )
NEW met1 ( 1005840 269915 ) ( 1032240 269915 )
NEW met1 ( 1032240 269175 ) ( 1032240 269915 )
NEW met3 ( 1022880 1099270 ) ( 1022880 1101120 0 )
NEW met3 ( 1005840 1099270 ) ( 1022880 1099270 )
NEW met3 ( 1002960 1099270 ) ( 1005840 1099270 )
NEW met2 ( 1005840 269915 ) ( 1005840 1099270 )
NEW met2 ( 1002960 1099270 ) ( 1002960 1262625 )
NEW met1 ( 227280 1262625 ) ( 1002960 1262625 )
NEW met1 ( 1070880 268065 ) ( 1070880 269175 )
NEW met2 ( 1070880 268065 ) ( 1070880 268990 0 )
NEW met1 ( 1032240 269175 ) ( 1070880 269175 )
NEW met1 ( 227280 1262625 ) M1M2_PR
NEW met2 ( 210960 1876270 ) via2_FR
NEW met1 ( 210960 1876085 ) M1M2_PR
NEW met1 ( 227280 1876085 ) M1M2_PR
NEW met2 ( 210960 1882930 ) via2_FR
NEW met1 ( 1005840 269915 ) M1M2_PR
NEW met2 ( 1005840 1099270 ) via2_FR
NEW met2 ( 1002960 1099270 ) via2_FR
NEW met1 ( 1002960 1262625 ) M1M2_PR
NEW met1 ( 1070880 268065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[34\] ( soc mgmt_out_data[34] ) ( soc mgmt_in_data[34] ) ( gpio_control_in\[34\] mgmt_gpio_out ) ( gpio_control_in\[34\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1660190 ) ( 211680 1661300 0 )
NEW met3 ( 211680 1660190 ) ( 211920 1660190 )
NEW met2 ( 211920 1660005 ) ( 211920 1660190 )
NEW met1 ( 211920 1660005 ) ( 228240 1660005 )
NEW met3 ( 211680 1666850 ) ( 211680 1669070 0 )
NEW met3 ( 211680 1666850 ) ( 211920 1666850 )
NEW met2 ( 211920 1660190 ) ( 211920 1666850 )
NEW met2 ( 228240 1259850 ) ( 228240 1660005 )
NEW met3 ( 1037280 1151810 ) ( 1040400 1151810 )
NEW met2 ( 1072560 267510 ) ( 1072560 268990 0 )
NEW met2 ( 1040400 1117770 0 ) ( 1040400 1119250 )
NEW met3 ( 1037280 1119250 ) ( 1040400 1119250 )
NEW met2 ( 1040400 1119250 ) ( 1040400 1151810 )
NEW met3 ( 228240 1259850 ) ( 1037280 1259850 )
NEW met4 ( 1037280 1151810 ) ( 1037280 1259850 )
NEW met3 ( 1037280 271210 ) ( 1044000 271210 )
NEW met3 ( 1044000 270470 ) ( 1044000 271210 )
NEW met3 ( 1044000 270470 ) ( 1062960 270470 )
NEW met2 ( 1062960 267510 ) ( 1062960 270470 )
NEW met4 ( 1037280 271210 ) ( 1037280 1119250 )
NEW met3 ( 1062960 267510 ) ( 1072560 267510 )
NEW met2 ( 228240 1259850 ) via2_FR
NEW met2 ( 211920 1660190 ) via2_FR
NEW met1 ( 211920 1660005 ) M1M2_PR
NEW met1 ( 228240 1660005 ) M1M2_PR
NEW met2 ( 211920 1666850 ) via2_FR
NEW met3 ( 1037280 1151810 ) M3M4_PR_M
NEW met2 ( 1040400 1151810 ) via2_FR
NEW met2 ( 1072560 267510 ) via2_FR
NEW met2 ( 1040400 1119250 ) via2_FR
NEW met3 ( 1037280 1119250 ) M3M4_PR_M
NEW met3 ( 1037280 1259850 ) M3M4_PR_M
NEW met3 ( 1037280 271210 ) M3M4_PR_M
NEW met2 ( 1062960 270470 ) via2_FR
NEW met2 ( 1062960 267510 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[35\] ( soc mgmt_out_data[35] ) ( soc mgmt_in_data[35] ) ( gpio_control_in\[35\] mgmt_gpio_out ) ( gpio_control_in\[35\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1445590 0 ) ( 213360 1445590 )
NEW met2 ( 213360 1445405 ) ( 213360 1445590 )
NEW met1 ( 213360 1445405 ) ( 229680 1445405 )
NEW met3 ( 211680 1452990 0 ) ( 216240 1452990 )
NEW met2 ( 216240 1445405 ) ( 216240 1452990 )
NEW met2 ( 229680 1260590 ) ( 229680 1445405 )
NEW met4 ( 1030560 840825 ) ( 1031520 840825 )
NEW met3 ( 1042080 1151810 ) ( 1042320 1151810 )
NEW met3 ( 1041120 1118510 ) ( 1041120 1119250 )
NEW met3 ( 1041120 1119250 ) ( 1042320 1119250 )
NEW met3 ( 1031520 1118510 ) ( 1041120 1118510 )
NEW met2 ( 1042320 1117770 0 ) ( 1042320 1151810 )
NEW met3 ( 229680 1260590 ) ( 1042080 1260590 )
NEW met4 ( 1042080 1151810 ) ( 1042080 1260590 )
NEW met4 ( 1031520 454545 ) ( 1032480 454545 )
NEW met4 ( 1031520 1033965 ) ( 1032480 1033965 )
NEW met4 ( 1031520 1033965 ) ( 1031520 1118510 )
NEW met4 ( 1031520 604395 ) ( 1032480 604395 )
NEW met4 ( 1031520 454545 ) ( 1031520 604395 )
NEW met4 ( 1029600 907425 ) ( 1031520 907425 )
NEW met4 ( 1029600 907425 ) ( 1029600 1007325 )
NEW met4 ( 1029600 1007325 ) ( 1032480 1007325 )
NEW met4 ( 1031520 840825 ) ( 1031520 907425 )
NEW met4 ( 1032480 1007325 ) ( 1032480 1033965 )
NEW met2 ( 1074480 271210 0 ) ( 1074960 271210 )
NEW met3 ( 1074960 271210 ) ( 1074960 273430 )
NEW met4 ( 1030560 328005 ) ( 1031520 328005 )
NEW met4 ( 1030560 273430 ) ( 1030560 328005 )
NEW met3 ( 1030560 273430 ) ( 1074960 273430 )
NEW met4 ( 1029600 377955 ) ( 1031520 377955 )
NEW met4 ( 1029600 377955 ) ( 1029600 431235 )
NEW met4 ( 1029600 431235 ) ( 1032480 431235 )
NEW met4 ( 1031520 328005 ) ( 1031520 377955 )
NEW met4 ( 1032480 431235 ) ( 1032480 454545 )
NEW met4 ( 1030560 734265 ) ( 1031520 734265 )
NEW met4 ( 1031520 634365 ) ( 1031520 734265 )
NEW met4 ( 1031520 634365 ) ( 1032480 634365 )
NEW met4 ( 1030560 734265 ) ( 1030560 840825 )
NEW met4 ( 1032480 604395 ) ( 1032480 634365 )
NEW met2 ( 229680 1260590 ) via2_FR
NEW met2 ( 213360 1445590 ) via2_FR
NEW met1 ( 213360 1445405 ) M1M2_PR
NEW met1 ( 229680 1445405 ) M1M2_PR
NEW met2 ( 216240 1452990 ) via2_FR
NEW met1 ( 216240 1445405 ) M1M2_PR
NEW met3 ( 1031520 1118510 ) M3M4_PR_M
NEW met3 ( 1042080 1151810 ) M3M4_PR_M
NEW met2 ( 1042320 1151810 ) via2_FR
NEW met2 ( 1042320 1119250 ) via2_FR
NEW met3 ( 1042080 1260590 ) M3M4_PR_M
NEW met2 ( 1074960 271210 ) via2_FR
NEW met3 ( 1030560 273430 ) M3M4_PR_M
NEW met1 ( 216240 1445405 ) RECT ( -595 -70 0 70 )
NEW met3 ( 1042080 1151810 ) RECT ( -380 -150 0 150 )
NEW met2 ( 1042320 1119250 ) RECT ( -70 -485 70 0 )
+ USE SIGNAL ;
- mgmt_io_in\[36\] ( soc mgmt_out_data[36] ) ( soc mgmt_in_data[36] ) ( gpio_control_in\[36\] mgmt_gpio_out ) ( gpio_control_in\[36\] mgmt_gpio_in )
+ ROUTED met3 ( 173280 1235430 ) ( 173280 1236910 0 )
NEW met3 ( 173040 1235430 ) ( 173280 1235430 )
NEW met2 ( 173040 1230250 ) ( 173040 1235430 )
NEW met3 ( 173040 1230250 ) ( 173280 1230250 )
NEW met3 ( 173280 1229510 0 ) ( 173280 1230250 )
NEW met1 ( 173040 1238205 ) ( 210960 1238205 )
NEW met2 ( 173040 1235430 ) ( 173040 1238205 )
NEW met1 ( 1005360 331335 ) ( 1005360 331705 )
NEW met1 ( 1004400 331705 ) ( 1005360 331705 )
NEW met2 ( 1004400 331705 ) ( 1004400 431975 )
NEW met1 ( 1004400 431975 ) ( 1005360 431975 )
NEW met1 ( 1004400 633255 ) ( 1005360 633255 )
NEW met1 ( 1003920 936285 ) ( 1005360 936285 )
NEW met2 ( 210960 1238205 ) ( 210960 1253005 )
NEW met1 ( 1005360 270655 ) ( 1030320 270655 )
NEW met1 ( 1030320 270655 ) ( 1030320 271025 )
NEW met2 ( 1005360 270655 ) ( 1005360 331335 )
NEW met3 ( 1003920 1098530 ) ( 1022880 1098530 0 )
NEW met2 ( 1003920 936285 ) ( 1003920 1098530 )
NEW met2 ( 1075920 271025 ) ( 1075920 271210 )
NEW met2 ( 1075920 271210 ) ( 1076400 271210 0 )
NEW met1 ( 1030320 271025 ) ( 1075920 271025 )
NEW met2 ( 911760 1237835 ) ( 911760 1253005 )
NEW met1 ( 911760 1237835 ) ( 1003920 1237835 )
NEW met2 ( 1003920 1098530 ) ( 1003920 1237835 )
NEW met1 ( 1004400 604765 ) ( 1005360 604765 )
NEW met2 ( 1004400 604765 ) ( 1004400 633255 )
NEW met2 ( 1005360 431975 ) ( 1005360 604765 )
NEW met1 ( 210960 1253005 ) ( 695760 1253005 )
NEW met1 ( 726960 1253005 ) ( 911760 1253005 )
NEW met1 ( 695760 1252635 ) ( 696240 1252635 )
NEW met1 ( 696240 1251525 ) ( 696240 1252635 )
NEW met1 ( 696240 1251525 ) ( 699120 1251525 )
NEW met1 ( 699120 1251525 ) ( 699120 1251895 )
NEW met1 ( 699120 1251895 ) ( 726960 1251895 )
NEW met1 ( 695760 1252635 ) ( 695760 1253005 )
NEW met2 ( 726960 1251895 ) ( 726960 1253005 )
NEW met2 ( 1005360 633255 ) ( 1005360 936285 )
NEW met2 ( 173040 1235430 ) via2_FR
NEW met2 ( 173040 1230250 ) via2_FR
NEW met1 ( 210960 1238205 ) M1M2_PR
NEW met1 ( 173040 1238205 ) M1M2_PR
NEW met1 ( 1005360 331335 ) M1M2_PR
NEW met1 ( 1004400 331705 ) M1M2_PR
NEW met1 ( 1004400 431975 ) M1M2_PR
NEW met1 ( 1005360 431975 ) M1M2_PR
NEW met1 ( 1004400 633255 ) M1M2_PR
NEW met1 ( 1005360 633255 ) M1M2_PR
NEW met1 ( 1005360 936285 ) M1M2_PR
NEW met1 ( 1003920 936285 ) M1M2_PR
NEW met1 ( 210960 1253005 ) M1M2_PR
NEW met1 ( 1005360 270655 ) M1M2_PR
NEW met2 ( 1003920 1098530 ) via2_FR
NEW met1 ( 1075920 271025 ) M1M2_PR
NEW met1 ( 911760 1253005 ) M1M2_PR
NEW met1 ( 911760 1237835 ) M1M2_PR
NEW met1 ( 1003920 1237835 ) M1M2_PR
NEW met1 ( 1004400 604765 ) M1M2_PR
NEW met1 ( 1005360 604765 ) M1M2_PR
NEW met1 ( 726960 1253005 ) M1M2_PR
NEW met1 ( 726960 1251895 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[37\] ( soc mgmt_out_data[37] ) ( soc mgmt_in_data[37] ) ( gpio_control_in\[37\] mgmt_gpio_out ) ( gpio_control_in\[37\] mgmt_gpio_in )
+ ROUTED met3 ( 211680 1020830 0 ) ( 211680 1021570 )
NEW met3 ( 211680 1021570 ) ( 211920 1021570 )
NEW met2 ( 211920 1021570 ) ( 211920 1021755 )
NEW met1 ( 211920 1021755 ) ( 222960 1021755 )
NEW met3 ( 211680 1013430 0 ) ( 211680 1014170 )
NEW met3 ( 211680 1014170 ) ( 211920 1014170 )
NEW met2 ( 211920 1014170 ) ( 211920 1021570 )
NEW met3 ( 1004880 1014170 ) ( 1022880 1014170 0 )
NEW met3 ( 1002000 1014170 ) ( 1004880 1014170 )
NEW met2 ( 222960 1021755 ) ( 222960 1250045 )
NEW met2 ( 1000080 1092980 ) ( 1002000 1092980 )
NEW met2 ( 1002000 1014170 ) ( 1002000 1092980 )
NEW met1 ( 222960 1250045 ) ( 1000560 1250045 )
NEW met2 ( 1074000 268065 ) ( 1074000 270285 )
NEW met1 ( 1074000 268065 ) ( 1078320 268065 )
NEW met2 ( 1078320 268065 ) ( 1078320 268990 0 )
NEW met1 ( 1003440 270285 ) ( 1074000 270285 )
NEW met3 ( 1004400 906870 ) ( 1004640 906870 )
NEW met4 ( 1004640 834350 ) ( 1004640 906870 )
NEW met3 ( 1004640 834350 ) ( 1004880 834350 )
NEW met1 ( 1002480 532615 ) ( 1003440 532615 )
NEW met2 ( 1002480 532615 ) ( 1002480 604765 )
NEW met1 ( 1002480 604765 ) ( 1003920 604765 )
NEW met2 ( 1003440 270285 ) ( 1003440 532615 )
NEW met1 ( 1003440 907425 ) ( 1004400 907425 )
NEW met2 ( 1003440 907425 ) ( 1003440 1007695 )
NEW met1 ( 1003440 1007695 ) ( 1004880 1007695 )
NEW met2 ( 1004400 906870 ) ( 1004400 907425 )
NEW met2 ( 1004880 1007695 ) ( 1004880 1014170 )
NEW met1 ( 1000080 1109075 ) ( 1000560 1109075 )
NEW met2 ( 1000560 1109075 ) ( 1000560 1137565 )
NEW met1 ( 1000560 1137565 ) ( 1000560 1137935 )
NEW met1 ( 1000080 1137935 ) ( 1000560 1137935 )
NEW met2 ( 1000080 1137935 ) ( 1000080 1209530 )
NEW met2 ( 1000080 1209530 ) ( 1000560 1209530 )
NEW met2 ( 1000080 1092980 ) ( 1000080 1109075 )
NEW met2 ( 1000560 1209530 ) ( 1000560 1250045 )
NEW met3 ( 1003920 633810 ) ( 1004880 633810 )
NEW met2 ( 1003920 604765 ) ( 1003920 633810 )
NEW met2 ( 1004880 633810 ) ( 1004880 834350 )
NEW met2 ( 211920 1021570 ) via2_FR
NEW met1 ( 211920 1021755 ) M1M2_PR
NEW met1 ( 222960 1021755 ) M1M2_PR
NEW met2 ( 211920 1014170 ) via2_FR
NEW met2 ( 1004880 1014170 ) via2_FR
NEW met2 ( 1002000 1014170 ) via2_FR
NEW met1 ( 222960 1250045 ) M1M2_PR
NEW met1 ( 1003440 270285 ) M1M2_PR
NEW met1 ( 1000560 1250045 ) M1M2_PR
NEW met1 ( 1074000 270285 ) M1M2_PR
NEW met1 ( 1074000 268065 ) M1M2_PR
NEW met1 ( 1078320 268065 ) M1M2_PR
NEW met2 ( 1004400 906870 ) via2_FR
NEW met3 ( 1004640 906870 ) M3M4_PR_M
NEW met3 ( 1004640 834350 ) M3M4_PR_M
NEW met2 ( 1004880 834350 ) via2_FR
NEW met1 ( 1003440 532615 ) M1M2_PR
NEW met1 ( 1002480 532615 ) M1M2_PR
NEW met1 ( 1002480 604765 ) M1M2_PR
NEW met1 ( 1003920 604765 ) M1M2_PR
NEW met1 ( 1004400 907425 ) M1M2_PR
NEW met1 ( 1003440 907425 ) M1M2_PR
NEW met1 ( 1003440 1007695 ) M1M2_PR
NEW met1 ( 1004880 1007695 ) M1M2_PR
NEW met1 ( 1000080 1109075 ) M1M2_PR
NEW met1 ( 1000560 1109075 ) M1M2_PR
NEW met1 ( 1000560 1137565 ) M1M2_PR
NEW met1 ( 1000080 1137935 ) M1M2_PR
NEW met2 ( 1003920 633810 ) via2_FR
NEW met2 ( 1004880 633810 ) via2_FR
NEW met3 ( 1004640 906870 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1004640 834350 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[3\] ( soc mgmt_out_data[3] ) ( soc mgmt_in_data[3] ) ( gpio_control_in\[3\] mgmt_gpio_out ) ( gpio_control_in\[3\] mgmt_gpio_in )
+ ROUTED met3 ( 3172320 1108890 ) ( 3172320 1109260 0 )
NEW met3 ( 3172320 1108890 ) ( 3197040 1108890 )
NEW met3 ( 3197040 1108890 ) ( 3203760 1108890 )
NEW met2 ( 3197040 240685 ) ( 3197040 1108890 )
NEW met2 ( 3203760 1108890 ) ( 3203760 1281865 )
NEW met3 ( 3398880 1282050 0 ) ( 3398880 1284270 )
NEW met3 ( 3398640 1284270 ) ( 3398880 1284270 )
NEW met2 ( 3398640 1284270 ) ( 3398640 1287230 )
NEW met3 ( 3398640 1287230 ) ( 3398880 1287230 )
NEW met3 ( 3398880 1287230 ) ( 3398880 1289820 0 )
NEW met2 ( 3398640 1281865 ) ( 3398640 1284270 )
NEW met1 ( 3203760 1281865 ) ( 3398640 1281865 )
NEW met2 ( 1080240 240685 ) ( 1080240 267510 )
NEW met2 ( 1080000 267510 ) ( 1080240 267510 )
NEW met2 ( 1080000 267510 ) ( 1080000 268990 0 )
NEW met1 ( 1080240 240685 ) ( 3197040 240685 )
NEW met1 ( 3197040 240685 ) M1M2_PR
NEW met2 ( 3197040 1108890 ) via2_FR
NEW met2 ( 3203760 1108890 ) via2_FR
NEW met1 ( 3203760 1281865 ) M1M2_PR
NEW met2 ( 3398640 1284270 ) via2_FR
NEW met2 ( 3398640 1287230 ) via2_FR
NEW met1 ( 3398640 1281865 ) M1M2_PR
NEW met1 ( 1080240 240685 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_io_in\[4\] ( soc mgmt_out_data[4] ) ( soc mgmt_in_data[4] ) ( gpio_control_in\[4\] mgmt_gpio_out ) ( gpio_control_in\[4\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 1507010 0 ) ( 3398880 1508490 )
NEW met3 ( 3398880 1508490 ) ( 3399120 1508490 )
NEW met2 ( 3399120 1508490 ) ( 3399120 1512190 )
NEW met3 ( 3398880 1512190 ) ( 3399120 1512190 )
NEW met3 ( 3398880 1512190 ) ( 3398880 1514780 0 )
NEW met2 ( 3399120 1497945 ) ( 3399120 1508490 )
NEW met2 ( 1324560 244755 ) ( 1324560 251970 )
NEW met3 ( 1324560 251970 ) ( 3161760 251970 )
NEW met1 ( 3162000 1146815 ) ( 3252240 1146815 )
NEW met1 ( 3252240 1497945 ) ( 3399120 1497945 )
NEW met2 ( 3162000 1117770 0 ) ( 3162000 1119250 )
NEW met3 ( 3161760 1119250 ) ( 3162000 1119250 )
NEW met4 ( 3161760 251970 ) ( 3161760 1119250 )
NEW met2 ( 3162000 1119250 ) ( 3162000 1146815 )
NEW met2 ( 3252240 1146815 ) ( 3252240 1497945 )
NEW met2 ( 1081680 244755 ) ( 1081680 268990 )
NEW met2 ( 1081680 268990 ) ( 1081920 268990 0 )
NEW met1 ( 1081680 244755 ) ( 1324560 244755 )
NEW met1 ( 3252240 1146815 ) M1M2_PR
NEW met1 ( 3252240 1497945 ) M1M2_PR
NEW met2 ( 3399120 1508490 ) via2_FR
NEW met2 ( 3399120 1512190 ) via2_FR
NEW met1 ( 3399120 1497945 ) M1M2_PR
NEW met1 ( 1324560 244755 ) M1M2_PR
NEW met2 ( 1324560 251970 ) via2_FR
NEW met3 ( 3161760 251970 ) M3M4_PR_M
NEW met1 ( 3162000 1146815 ) M1M2_PR
NEW met2 ( 3162000 1119250 ) via2_FR
NEW met3 ( 3161760 1119250 ) M3M4_PR_M
NEW met1 ( 1081680 244755 ) M1M2_PR
NEW met3 ( 3162000 1119250 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mgmt_io_in\[5\] ( soc mgmt_out_data[5] ) ( soc mgmt_in_data[5] ) ( gpio_control_in\[5\] mgmt_gpio_out ) ( gpio_control_in\[5\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 1732340 0 ) ( 3398880 1733450 )
NEW met3 ( 3398880 1733450 ) ( 3399120 1733450 )
NEW met2 ( 3399120 1733450 ) ( 3399120 1737150 )
NEW met3 ( 3398880 1737150 ) ( 3399120 1737150 )
NEW met3 ( 3398880 1737150 ) ( 3398880 1739740 0 )
NEW met2 ( 3399120 1728085 ) ( 3399120 1733450 )
NEW met1 ( 3251760 1728085 ) ( 3399120 1728085 )
NEW met3 ( 3172320 1106670 0 ) ( 3206640 1106670 )
NEW met2 ( 3206640 1106670 ) ( 3206640 1106855 )
NEW met1 ( 3206640 1106855 ) ( 3251760 1106855 )
NEW met2 ( 3199440 232915 ) ( 3199440 1106670 )
NEW met2 ( 3251760 1106855 ) ( 3251760 1728085 )
NEW met2 ( 1083600 232915 ) ( 1083600 268990 0 )
NEW met1 ( 1083600 232915 ) ( 3199440 232915 )
NEW met1 ( 3199440 232915 ) M1M2_PR
NEW met1 ( 3251760 1728085 ) M1M2_PR
NEW met2 ( 3399120 1733450 ) via2_FR
NEW met2 ( 3399120 1737150 ) via2_FR
NEW met1 ( 3399120 1728085 ) M1M2_PR
NEW met2 ( 3206640 1106670 ) via2_FR
NEW met1 ( 3206640 1106855 ) M1M2_PR
NEW met1 ( 3251760 1106855 ) M1M2_PR
NEW met2 ( 3199440 1106670 ) via2_FR
NEW met1 ( 1083600 232915 ) M1M2_PR
NEW met3 ( 3199440 1106670 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[6\] ( soc mgmt_out_data[6] ) ( soc mgmt_in_data[6] ) ( gpio_control_in\[6\] mgmt_gpio_out ) ( gpio_control_in\[6\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 1958410 0 ) ( 3398880 1960630 )
NEW met3 ( 3398880 1960630 ) ( 3399120 1960630 )
NEW met2 ( 3399120 1960630 ) ( 3399120 1962850 )
NEW met3 ( 3398880 1962850 ) ( 3399120 1962850 )
NEW met3 ( 3398880 1962850 ) ( 3398880 1965810 0 )
NEW met2 ( 3399120 1958595 ) ( 3399120 1960630 )
NEW met1 ( 3160080 1146445 ) ( 3251280 1146445 )
NEW met1 ( 3251280 1958595 ) ( 3399120 1958595 )
NEW met2 ( 3160080 1117770 0 ) ( 3160080 1120730 )
NEW met3 ( 3160080 1120730 ) ( 3167520 1120730 )
NEW met2 ( 3160080 1120730 ) ( 3160080 1146445 )
NEW met4 ( 3167520 243830 ) ( 3167520 1120730 )
NEW met2 ( 3251280 1146445 ) ( 3251280 1958595 )
NEW met2 ( 1085520 243830 ) ( 1085520 268990 0 )
NEW met3 ( 1085520 243830 ) ( 3167520 243830 )
NEW met1 ( 3251280 1146445 ) M1M2_PR
NEW met1 ( 3251280 1958595 ) M1M2_PR
NEW met2 ( 3399120 1960630 ) via2_FR
NEW met2 ( 3399120 1962850 ) via2_FR
NEW met1 ( 3399120 1958595 ) M1M2_PR
NEW met3 ( 3167520 243830 ) M3M4_PR_M
NEW met1 ( 3160080 1146445 ) M1M2_PR
NEW met2 ( 3160080 1120730 ) via2_FR
NEW met3 ( 3167520 1120730 ) M3M4_PR_M
NEW met2 ( 1085520 243830 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[7\] ( soc mgmt_out_data[7] ) ( soc mgmt_in_data[7] ) ( gpio_control_in\[7\] mgmt_gpio_out ) ( gpio_control_in\[7\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 2399450 0 ) ( 3398880 2400190 )
NEW met3 ( 3398880 2400190 ) ( 3399120 2400190 )
NEW met2 ( 3399120 2400190 ) ( 3399120 2403890 )
NEW met3 ( 3398880 2403890 ) ( 3399120 2403890 )
NEW met3 ( 3398880 2403890 ) ( 3398880 2406850 0 )
NEW met2 ( 3399120 2390755 ) ( 3399120 2400190 )
NEW met1 ( 3250800 2390755 ) ( 3399120 2390755 )
NEW met1 ( 3158160 1146075 ) ( 3250800 1146075 )
NEW met2 ( 3158160 1117770 0 ) ( 3158160 1119250 )
NEW met3 ( 3158160 1119250 ) ( 3160800 1119250 )
NEW met2 ( 3158160 1119250 ) ( 3158160 1146075 )
NEW met4 ( 3160800 244570 ) ( 3160800 1119250 )
NEW met2 ( 3250800 1146075 ) ( 3250800 2390755 )
NEW met2 ( 1087440 244570 ) ( 1087440 268990 0 )
NEW met3 ( 1087440 244570 ) ( 3160800 244570 )
NEW met1 ( 3250800 2390755 ) M1M2_PR
NEW met2 ( 3399120 2400190 ) via2_FR
NEW met2 ( 3399120 2403890 ) via2_FR
NEW met1 ( 3399120 2390755 ) M1M2_PR
NEW met1 ( 3250800 1146075 ) M1M2_PR
NEW met3 ( 3160800 244570 ) M3M4_PR_M
NEW met1 ( 3158160 1146075 ) M1M2_PR
NEW met2 ( 3158160 1119250 ) via2_FR
NEW met3 ( 3160800 1119250 ) M3M4_PR_M
NEW met2 ( 1087440 244570 ) via2_FR
+ USE SIGNAL ;
- mgmt_io_in\[8\] ( soc mgmt_out_data[8] ) ( soc mgmt_in_data[8] ) ( gpio_control_in\[8\] mgmt_gpio_out ) ( gpio_control_in\[8\] mgmt_gpio_in )
+ ROUTED met2 ( 3199920 234395 ) ( 3199920 273245 )
NEW met1 ( 3198000 273245 ) ( 3199920 273245 )
NEW met1 ( 3198000 979205 ) ( 3199920 979205 )
NEW met3 ( 3398880 2619230 0 ) ( 3398880 2620710 )
NEW met3 ( 3398880 2620710 ) ( 3399120 2620710 )
NEW met2 ( 3399120 2620710 ) ( 3399120 2623670 )
NEW met3 ( 3398880 2623670 ) ( 3399120 2623670 )
NEW met3 ( 3398880 2623670 ) ( 3398880 2626630 0 )
NEW met2 ( 3399120 2606465 ) ( 3399120 2620710 )
NEW met2 ( 3198000 273245 ) ( 3198000 979205 )
NEW met1 ( 3250320 2606465 ) ( 3399120 2606465 )
NEW met3 ( 3172320 1103710 0 ) ( 3206640 1103710 )
NEW met2 ( 3206640 1103710 ) ( 3206640 1103895 )
NEW met1 ( 3206640 1103895 ) ( 3250320 1103895 )
NEW met2 ( 3199920 979205 ) ( 3199920 1103710 )
NEW met2 ( 3250320 1103895 ) ( 3250320 2606465 )
NEW met2 ( 1089360 234395 ) ( 1089360 268990 0 )
NEW met1 ( 1089360 234395 ) ( 3199920 234395 )
NEW met1 ( 3199920 234395 ) M1M2_PR
NEW met1 ( 3199920 273245 ) M1M2_PR
NEW met1 ( 3198000 273245 ) M1M2_PR
NEW met1 ( 3199920 979205 ) M1M2_PR
NEW met1 ( 3198000 979205 ) M1M2_PR
NEW met1 ( 3250320 2606465 ) M1M2_PR
NEW met2 ( 3399120 2620710 ) via2_FR
NEW met2 ( 3399120 2623670 ) via2_FR
NEW met1 ( 3399120 2606465 ) M1M2_PR
NEW met2 ( 3206640 1103710 ) via2_FR
NEW met1 ( 3206640 1103895 ) M1M2_PR
NEW met1 ( 3250320 1103895 ) M1M2_PR
NEW met2 ( 3199920 1103710 ) via2_FR
NEW met1 ( 1089360 234395 ) M1M2_PR
NEW met3 ( 3199920 1103710 ) RECT ( -800 -150 0 150 )
+ USE SIGNAL ;
- mgmt_io_in\[9\] ( soc mgmt_out_data[9] ) ( soc mgmt_in_data[9] ) ( gpio_control_in\[9\] mgmt_gpio_out ) ( gpio_control_in\[9\] mgmt_gpio_in )
+ ROUTED met3 ( 3398880 2844190 0 ) ( 3398880 2845670 )
NEW met3 ( 3398880 2845670 ) ( 3399120 2845670 )
NEW met2 ( 3399120 2845670 ) ( 3399120 2848630 )
NEW met3 ( 3398880 2848630 ) ( 3399120 2848630 )
NEW met3 ( 3398880 2848630 ) ( 3398880 2851590 0 )
NEW met2 ( 3399120 2836975 ) ( 3399120 2845670 )
NEW met1 ( 3249840 2836975 ) ( 3399120 2836975 )
NEW met1 ( 3156720 1145705 ) ( 3249840 1145705 )
NEW met2 ( 3156480 1117770 0 ) ( 3156480 1119250 )
NEW met2 ( 3156480 1119250 ) ( 3156720 1119250 )
NEW met2 ( 3156720 1119250 ) ( 3156720 1119990 )
NEW met3 ( 3156720 1119990 ) ( 3162720 1119990 )
NEW met2 ( 3156720 1119990 ) ( 3156720 1145705 )
NEW met4 ( 3162720 258630 ) ( 3162720 1119990 )
NEW met2 ( 3249840 1145705 ) ( 3249840 2836975 )
NEW met2 ( 1091280 258630 ) ( 1091280 267510 )
NEW met2 ( 1091040 267510 ) ( 1091280 267510 )
NEW met2 ( 1091040 267510 ) ( 1091040 268990 0 )
NEW met3 ( 1091280 258630 ) ( 3162720 258630 )
NEW met1 ( 3249840 2836975 ) M1M2_PR
NEW met2 ( 3399120 2845670 ) via2_FR
NEW met2 ( 3399120 2848630 ) via2_FR
NEW met1 ( 3399120 2836975 ) M1M2_PR
NEW met1 ( 3249840 1145705 ) M1M2_PR
NEW met3 ( 3162720 258630 ) M3M4_PR_M
NEW met1 ( 3156720 1145705 ) M1M2_PR
NEW met2 ( 3156720 1119990 ) via2_FR
NEW met3 ( 3162720 1119990 ) M3M4_PR_M
NEW met2 ( 1091280 258630 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[0\] ( storage mgmt_rdata[0] ) ( soc mgmt_rdata[0] )
+ ROUTED met2 ( 816720 263995 ) ( 816720 418285 )
NEW met3 ( 704160 425870 ) ( 704160 428460 0 )
NEW met3 ( 704160 425870 ) ( 720720 425870 )
NEW met2 ( 720720 418285 ) ( 720720 425870 )
NEW met1 ( 720720 418285 ) ( 816720 418285 )
NEW met2 ( 1069680 263995 ) ( 1069680 267510 )
NEW met2 ( 1069680 267510 ) ( 1069920 267510 )
NEW met2 ( 1069920 267510 ) ( 1069920 268990 0 )
NEW met1 ( 816720 263995 ) ( 1069680 263995 )
NEW met1 ( 816720 263995 ) M1M2_PR
NEW met1 ( 816720 418285 ) M1M2_PR
NEW met2 ( 720720 425870 ) via2_FR
NEW met1 ( 720720 418285 ) M1M2_PR
NEW met1 ( 1069680 263995 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[10\] ( storage mgmt_rdata[10] ) ( soc mgmt_rdata[10] )
+ ROUTED met2 ( 720720 475635 ) ( 720720 486550 )
NEW met3 ( 704160 486550 ) ( 720720 486550 )
NEW met3 ( 704160 486550 ) ( 704160 489140 0 )
NEW met1 ( 1011120 431605 ) ( 1013040 431605 )
NEW met2 ( 1013040 244755 ) ( 1013040 431605 )
NEW met1 ( 720720 475635 ) ( 1011120 475635 )
NEW met2 ( 1011120 431605 ) ( 1011120 475635 )
NEW met2 ( 1071600 244755 ) ( 1071600 268990 0 )
NEW met1 ( 1013040 244755 ) ( 1071600 244755 )
NEW met1 ( 720720 475635 ) M1M2_PR
NEW met2 ( 720720 486550 ) via2_FR
NEW met1 ( 1011120 431605 ) M1M2_PR
NEW met1 ( 1013040 431605 ) M1M2_PR
NEW met1 ( 1013040 244755 ) M1M2_PR
NEW met1 ( 1011120 475635 ) M1M2_PR
NEW met1 ( 1071600 244755 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[11\] ( storage mgmt_rdata[11] ) ( soc mgmt_rdata[11] )
+ ROUTED met3 ( 704160 492470 ) ( 736560 492470 )
NEW met3 ( 704160 492470 ) ( 704160 495060 0 )
NEW met2 ( 736560 330595 ) ( 736560 492470 )
NEW met2 ( 996240 317830 ) ( 996240 330595 )
NEW met3 ( 996240 317830 ) ( 1022880 317830 0 )
NEW met1 ( 736560 330595 ) ( 996240 330595 )
NEW met1 ( 736560 330595 ) M1M2_PR
NEW met2 ( 736560 492470 ) via2_FR
NEW met1 ( 996240 330595 ) M1M2_PR
NEW met2 ( 996240 317830 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[12\] ( storage mgmt_rdata[12] ) ( soc mgmt_rdata[12] )
+ ROUTED met2 ( 721200 489695 ) ( 721200 500610 )
NEW met3 ( 704160 500610 0 ) ( 721200 500610 )
NEW met2 ( 1013040 432715 ) ( 1013040 489695 )
NEW met1 ( 1013040 432715 ) ( 1024560 432715 )
NEW met1 ( 721200 489695 ) ( 1013040 489695 )
NEW met2 ( 1024560 272690 ) ( 1024560 432715 )
NEW met3 ( 1052640 271210 ) ( 1052640 272690 )
NEW met3 ( 1052640 271210 ) ( 1074000 271210 )
NEW met2 ( 1073520 271210 0 ) ( 1074000 271210 )
NEW met3 ( 1024560 272690 ) ( 1052640 272690 )
NEW met1 ( 721200 489695 ) M1M2_PR
NEW met2 ( 721200 500610 ) via2_FR
NEW met2 ( 1024560 272690 ) via2_FR
NEW met1 ( 1013040 489695 ) M1M2_PR
NEW met1 ( 1013040 432715 ) M1M2_PR
NEW met1 ( 1024560 432715 ) M1M2_PR
NEW met2 ( 1074000 271210 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[13\] ( storage mgmt_rdata[13] ) ( soc mgmt_rdata[13] )
+ ROUTED met3 ( 704160 504310 ) ( 737040 504310 )
NEW met3 ( 704160 504310 ) ( 704160 506870 0 )
NEW met2 ( 737040 330965 ) ( 737040 504310 )
NEW met2 ( 995760 322270 ) ( 995760 330965 )
NEW met3 ( 995760 322270 ) ( 1023840 322270 )
NEW met3 ( 1023840 320790 0 ) ( 1023840 322270 )
NEW met1 ( 737040 330965 ) ( 995760 330965 )
NEW met1 ( 737040 330965 ) M1M2_PR
NEW met2 ( 737040 504310 ) via2_FR
NEW met1 ( 995760 330965 ) M1M2_PR
NEW met2 ( 995760 322270 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[14\] ( storage mgmt_rdata[14] ) ( soc mgmt_rdata[14] )
+ ROUTED met3 ( 704160 510230 ) ( 704160 512820 0 )
NEW met3 ( 704160 510230 ) ( 721200 510230 )
NEW met2 ( 721200 504125 ) ( 721200 510230 )
NEW met1 ( 721200 504125 ) ( 1013520 504125 )
NEW met2 ( 1013520 244385 ) ( 1013520 504125 )
NEW met2 ( 1075440 244385 ) ( 1075440 268990 0 )
NEW met1 ( 1013520 244385 ) ( 1075440 244385 )
NEW met2 ( 721200 510230 ) via2_FR
NEW met1 ( 721200 504125 ) M1M2_PR
NEW met1 ( 1013520 244385 ) M1M2_PR
NEW met1 ( 1013520 504125 ) M1M2_PR
NEW met1 ( 1075440 244385 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[15\] ( storage mgmt_rdata[15] ) ( soc mgmt_rdata[15] )
+ ROUTED met2 ( 721200 518925 ) ( 721200 519110 )
NEW met3 ( 704160 519110 0 ) ( 721200 519110 )
NEW met1 ( 721200 518925 ) ( 1014000 518925 )
NEW met2 ( 1014000 244015 ) ( 1014000 518925 )
NEW met2 ( 1077360 244015 ) ( 1077360 268990 0 )
NEW met1 ( 1014000 244015 ) ( 1077360 244015 )
NEW met1 ( 721200 518925 ) M1M2_PR
NEW met2 ( 721200 519110 ) via2_FR
NEW met1 ( 1014000 244015 ) M1M2_PR
NEW met1 ( 1014000 518925 ) M1M2_PR
NEW met1 ( 1077360 244015 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[16\] ( storage mgmt_rdata[16] ) ( soc mgmt_rdata[16] )
+ ROUTED met2 ( 828720 330225 ) ( 828720 519295 )
NEW met2 ( 720720 519295 ) ( 720720 525030 )
NEW met3 ( 704160 525030 0 ) ( 720720 525030 )
NEW met1 ( 720720 519295 ) ( 828720 519295 )
NEW met2 ( 995280 324490 ) ( 995280 330225 )
NEW met3 ( 995280 324490 ) ( 1022880 324490 )
NEW met3 ( 1022880 323010 0 ) ( 1022880 324490 )
NEW met1 ( 828720 330225 ) ( 995280 330225 )
NEW met1 ( 828720 330225 ) M1M2_PR
NEW met1 ( 828720 519295 ) M1M2_PR
NEW met1 ( 720720 519295 ) M1M2_PR
NEW met2 ( 720720 525030 ) via2_FR
NEW met1 ( 995280 330225 ) M1M2_PR
NEW met2 ( 995280 324490 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[17\] ( storage mgmt_rdata[17] ) ( soc mgmt_rdata[17] )
+ ROUTED met2 ( 720240 518555 ) ( 720240 528730 )
NEW met3 ( 704160 528730 ) ( 720240 528730 )
NEW met3 ( 704160 528730 ) ( 704160 531320 0 )
NEW met1 ( 720240 518555 ) ( 1014480 518555 )
NEW met2 ( 1079040 269730 0 ) ( 1079280 269730 )
NEW met2 ( 1079280 266215 ) ( 1079280 269730 )
NEW met1 ( 1034640 266215 ) ( 1079280 266215 )
NEW met2 ( 1034640 266215 ) ( 1034640 266770 )
NEW met3 ( 1014480 266770 ) ( 1034640 266770 )
NEW met2 ( 1014480 266770 ) ( 1014480 518555 )
NEW met1 ( 720240 518555 ) M1M2_PR
NEW met2 ( 720240 528730 ) via2_FR
NEW met1 ( 1014480 518555 ) M1M2_PR
NEW met1 ( 1079280 266215 ) M1M2_PR
NEW met1 ( 1034640 266215 ) M1M2_PR
NEW met2 ( 1034640 266770 ) via2_FR
NEW met2 ( 1014480 266770 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[18\] ( storage mgmt_rdata[18] ) ( soc mgmt_rdata[18] )
+ ROUTED met2 ( 857520 329855 ) ( 857520 532985 )
NEW met3 ( 704160 534650 ) ( 704160 536500 0 )
NEW met3 ( 704160 534650 ) ( 721200 534650 )
NEW met2 ( 721200 532985 ) ( 721200 534650 )
NEW met1 ( 721200 532985 ) ( 857520 532985 )
NEW met2 ( 994320 325970 ) ( 994320 329855 )
NEW met3 ( 994320 325970 ) ( 1022880 325970 0 )
NEW met1 ( 857520 329855 ) ( 994320 329855 )
NEW met1 ( 857520 329855 ) M1M2_PR
NEW met1 ( 857520 532985 ) M1M2_PR
NEW met2 ( 721200 534650 ) via2_FR
NEW met1 ( 721200 532985 ) M1M2_PR
NEW met1 ( 994320 329855 ) M1M2_PR
NEW met2 ( 994320 325970 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[19\] ( storage mgmt_rdata[19] ) ( soc mgmt_rdata[19] )
+ ROUTED met3 ( 704160 542790 0 ) ( 738000 542790 )
NEW met2 ( 738000 240685 ) ( 738000 542790 )
NEW met1 ( 1058640 240685 ) ( 1058640 241055 )
NEW met1 ( 738000 240685 ) ( 1058640 240685 )
NEW met2 ( 1080720 241055 ) ( 1080720 267510 )
NEW met2 ( 1080720 267510 ) ( 1080960 267510 )
NEW met2 ( 1080960 267510 ) ( 1080960 268990 0 )
NEW met1 ( 1058640 241055 ) ( 1080720 241055 )
NEW met1 ( 738000 240685 ) M1M2_PR
NEW met2 ( 738000 542790 ) via2_FR
NEW met1 ( 1080720 241055 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[1\] ( storage mgmt_rdata[1] ) ( soc mgmt_rdata[1] )
+ ROUTED met2 ( 721200 432345 ) ( 721200 434750 )
NEW met3 ( 704160 434750 0 ) ( 721200 434750 )
NEW met1 ( 721200 432345 ) ( 1012080 432345 )
NEW met2 ( 1012080 240315 ) ( 1012080 432345 )
NEW met2 ( 1082640 240315 ) ( 1082640 268990 0 )
NEW met1 ( 1012080 240315 ) ( 1082640 240315 )
NEW met1 ( 721200 432345 ) M1M2_PR
NEW met2 ( 721200 434750 ) via2_FR
NEW met1 ( 1012080 240315 ) M1M2_PR
NEW met1 ( 1012080 432345 ) M1M2_PR
NEW met1 ( 1082640 240315 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[20\] ( storage mgmt_rdata[20] ) ( soc mgmt_rdata[20] )
+ ROUTED met2 ( 871920 329485 ) ( 871920 548155 )
NEW met3 ( 704160 548710 ) ( 704160 549030 0 )
NEW met3 ( 704160 548710 ) ( 721200 548710 )
NEW met2 ( 721200 548155 ) ( 721200 548710 )
NEW met1 ( 721200 548155 ) ( 871920 548155 )
NEW met2 ( 993840 329485 ) ( 993840 329670 )
NEW met3 ( 993840 329670 ) ( 1023840 329670 )
NEW met3 ( 1023840 328930 0 ) ( 1023840 329670 )
NEW met1 ( 871920 329485 ) ( 993840 329485 )
NEW met1 ( 871920 329485 ) M1M2_PR
NEW met1 ( 871920 548155 ) M1M2_PR
NEW met2 ( 721200 548710 ) via2_FR
NEW met1 ( 721200 548155 ) M1M2_PR
NEW met1 ( 993840 329485 ) M1M2_PR
NEW met2 ( 993840 329670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[21\] ( storage mgmt_rdata[21] ) ( soc mgmt_rdata[21] )
+ ROUTED met3 ( 704160 552410 ) ( 704160 555000 0 )
NEW met3 ( 704160 552410 ) ( 720720 552410 )
NEW met2 ( 720720 547415 ) ( 720720 552410 )
NEW met1 ( 720720 547415 ) ( 1021200 547415 )
NEW met2 ( 1019280 300810 ) ( 1021200 300810 )
NEW met2 ( 1019280 242535 ) ( 1019280 300810 )
NEW met2 ( 1021200 300810 ) ( 1021200 547415 )
NEW met2 ( 1084560 242535 ) ( 1084560 268990 0 )
NEW met1 ( 1019280 242535 ) ( 1084560 242535 )
NEW met2 ( 720720 552410 ) via2_FR
NEW met1 ( 720720 547415 ) M1M2_PR
NEW met1 ( 1021200 547415 ) M1M2_PR
NEW met1 ( 1019280 242535 ) M1M2_PR
NEW met1 ( 1084560 242535 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[22\] ( storage mgmt_rdata[22] ) ( soc mgmt_rdata[22] )
+ ROUTED met3 ( 704160 558330 ) ( 704160 561270 0 )
NEW met3 ( 704160 558330 ) ( 720240 558330 )
NEW met2 ( 720240 547785 ) ( 720240 558330 )
NEW met1 ( 720240 547785 ) ( 886320 547785 )
NEW met2 ( 886320 329115 ) ( 886320 547785 )
NEW met2 ( 994800 329115 ) ( 994800 330410 )
NEW met3 ( 994800 330410 ) ( 1023840 330410 )
NEW met3 ( 1023840 330410 ) ( 1023840 331150 0 )
NEW met1 ( 886320 329115 ) ( 994800 329115 )
NEW met2 ( 720240 558330 ) via2_FR
NEW met1 ( 720240 547785 ) M1M2_PR
NEW met1 ( 886320 329115 ) M1M2_PR
NEW met1 ( 886320 547785 ) M1M2_PR
NEW met1 ( 994800 329115 ) M1M2_PR
NEW met2 ( 994800 330410 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[23\] ( storage mgmt_rdata[23] ) ( soc mgmt_rdata[23] )
+ ROUTED met2 ( 721200 562215 ) ( 721200 567210 )
NEW met3 ( 704160 567210 0 ) ( 721200 567210 )
NEW met1 ( 721200 562215 ) ( 1020720 562215 )
NEW met2 ( 1018800 301550 ) ( 1020720 301550 )
NEW met2 ( 1018800 242165 ) ( 1018800 301550 )
NEW met2 ( 1020720 301550 ) ( 1020720 562215 )
NEW met1 ( 1046160 241795 ) ( 1046160 242165 )
NEW met1 ( 1046160 241795 ) ( 1086480 241795 )
NEW met2 ( 1086480 241795 ) ( 1086480 268990 0 )
NEW met1 ( 1018800 242165 ) ( 1046160 242165 )
NEW met1 ( 721200 562215 ) M1M2_PR
NEW met2 ( 721200 567210 ) via2_FR
NEW met1 ( 1020720 562215 ) M1M2_PR
NEW met1 ( 1018800 242165 ) M1M2_PR
NEW met1 ( 1086480 241795 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[24\] ( storage mgmt_rdata[24] ) ( soc mgmt_rdata[24] )
+ ROUTED met2 ( 720720 561845 ) ( 720720 570910 )
NEW met3 ( 704160 570910 ) ( 720720 570910 )
NEW met3 ( 704160 570910 ) ( 704160 573500 0 )
NEW met1 ( 720720 561845 ) ( 1024560 561845 )
NEW met1 ( 1020240 295445 ) ( 1025040 295445 )
NEW met2 ( 1020240 257890 ) ( 1020240 295445 )
NEW met2 ( 1020240 257890 ) ( 1022640 257890 )
NEW met2 ( 1022640 242905 ) ( 1022640 257890 )
NEW met2 ( 1024560 482110 ) ( 1025040 482110 )
NEW met2 ( 1024560 482110 ) ( 1024560 561845 )
NEW met2 ( 1025040 295445 ) ( 1025040 482110 )
NEW met2 ( 1088400 242905 ) ( 1088400 268990 0 )
NEW met1 ( 1022640 242905 ) ( 1088400 242905 )
NEW met1 ( 720720 561845 ) M1M2_PR
NEW met2 ( 720720 570910 ) via2_FR
NEW met1 ( 1024560 561845 ) M1M2_PR
NEW met1 ( 1025040 295445 ) M1M2_PR
NEW met1 ( 1020240 295445 ) M1M2_PR
NEW met1 ( 1022640 242905 ) M1M2_PR
NEW met1 ( 1088400 242905 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[25\] ( storage mgmt_rdata[25] ) ( soc mgmt_rdata[25] )
+ ROUTED met2 ( 843120 345025 ) ( 843120 576645 )
NEW met3 ( 704160 577570 ) ( 704160 578680 0 )
NEW met3 ( 704160 577570 ) ( 721200 577570 )
NEW met2 ( 721200 576645 ) ( 721200 577570 )
NEW met1 ( 721200 576645 ) ( 843120 576645 )
NEW met2 ( 995760 334110 ) ( 995760 345025 )
NEW met3 ( 995760 334110 ) ( 1022880 334110 0 )
NEW met1 ( 843120 345025 ) ( 995760 345025 )
NEW met1 ( 843120 576645 ) M1M2_PR
NEW met1 ( 843120 345025 ) M1M2_PR
NEW met2 ( 721200 577570 ) via2_FR
NEW met1 ( 721200 576645 ) M1M2_PR
NEW met1 ( 995760 345025 ) M1M2_PR
NEW met2 ( 995760 334110 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[26\] ( storage mgmt_rdata[26] ) ( soc mgmt_rdata[26] )
+ ROUTED met2 ( 720720 576275 ) ( 720720 584970 )
NEW met3 ( 704160 584970 0 ) ( 720720 584970 )
NEW met1 ( 720720 576275 ) ( 1020240 576275 )
NEW met2 ( 1090320 262330 ) ( 1090320 268990 )
NEW met2 ( 1090080 268990 0 ) ( 1090320 268990 )
NEW met3 ( 1020240 311170 ) ( 1020960 311170 )
NEW met4 ( 1020960 262330 ) ( 1020960 311170 )
NEW met2 ( 1020240 311170 ) ( 1020240 576275 )
NEW met3 ( 1020960 262330 ) ( 1090320 262330 )
NEW met1 ( 720720 576275 ) M1M2_PR
NEW met2 ( 720720 584970 ) via2_FR
NEW met1 ( 1020240 576275 ) M1M2_PR
NEW met2 ( 1090320 262330 ) via2_FR
NEW met2 ( 1020240 311170 ) via2_FR
NEW met3 ( 1020960 311170 ) M3M4_PR_M
NEW met3 ( 1020960 262330 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_rdata\[27\] ( storage mgmt_rdata[27] ) ( soc mgmt_rdata[27] )
+ ROUTED met3 ( 704160 590890 0 ) ( 721200 590890 )
NEW met2 ( 721200 590890 ) ( 721200 591445 )
NEW met1 ( 721200 591445 ) ( 900720 591445 )
NEW met2 ( 900720 344655 ) ( 900720 591445 )
NEW met2 ( 994800 338550 ) ( 994800 344655 )
NEW met3 ( 994800 338550 ) ( 1023840 338550 )
NEW met3 ( 1023840 337070 0 ) ( 1023840 338550 )
NEW met1 ( 900720 344655 ) ( 994800 344655 )
NEW met2 ( 721200 590890 ) via2_FR
NEW met1 ( 721200 591445 ) M1M2_PR
NEW met1 ( 900720 591445 ) M1M2_PR
NEW met1 ( 900720 344655 ) M1M2_PR
NEW met1 ( 994800 344655 ) M1M2_PR
NEW met2 ( 994800 338550 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[28\] ( storage mgmt_rdata[28] ) ( soc mgmt_rdata[28] )
+ ROUTED met3 ( 704160 594590 ) ( 704160 597180 0 )
NEW met3 ( 704160 594590 ) ( 720240 594590 )
NEW met2 ( 720240 590705 ) ( 720240 594590 )
NEW met1 ( 720240 590705 ) ( 1019760 590705 )
NEW met2 ( 1017840 303030 ) ( 1019760 303030 )
NEW met2 ( 1019760 303030 ) ( 1019760 590705 )
NEW met2 ( 1033680 265290 ) ( 1033680 267510 )
NEW met3 ( 1017840 267510 ) ( 1033680 267510 )
NEW met2 ( 1017840 267510 ) ( 1017840 303030 )
NEW met2 ( 1091760 265290 ) ( 1091760 267510 )
NEW met2 ( 1091760 267510 ) ( 1092000 267510 )
NEW met2 ( 1092000 267510 ) ( 1092000 268990 0 )
NEW met3 ( 1033680 265290 ) ( 1091760 265290 )
NEW met2 ( 720240 594590 ) via2_FR
NEW met1 ( 720240 590705 ) M1M2_PR
NEW met1 ( 1019760 590705 ) M1M2_PR
NEW met2 ( 1033680 265290 ) via2_FR
NEW met2 ( 1033680 267510 ) via2_FR
NEW met2 ( 1017840 267510 ) via2_FR
NEW met2 ( 1091760 265290 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[29\] ( storage mgmt_rdata[29] ) ( soc mgmt_rdata[29] )
+ ROUTED met3 ( 704160 600510 ) ( 704160 603430 0 )
NEW met3 ( 704160 600510 ) ( 720720 600510 )
NEW met2 ( 720720 591075 ) ( 720720 600510 )
NEW met1 ( 720720 591075 ) ( 915120 591075 )
NEW met2 ( 915120 343915 ) ( 915120 591075 )
NEW met2 ( 993840 341510 ) ( 993840 343915 )
NEW met3 ( 993840 341510 ) ( 1022880 341510 )
NEW met3 ( 1022880 339290 0 ) ( 1022880 341510 )
NEW met1 ( 915120 343915 ) ( 993840 343915 )
NEW met2 ( 720720 600510 ) via2_FR
NEW met1 ( 720720 591075 ) M1M2_PR
NEW met1 ( 915120 591075 ) M1M2_PR
NEW met1 ( 915120 343915 ) M1M2_PR
NEW met1 ( 993840 343915 ) M1M2_PR
NEW met2 ( 993840 341510 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[2\] ( storage mgmt_rdata[2] ) ( soc mgmt_rdata[2] )
+ ROUTED met2 ( 816240 261775 ) ( 816240 432715 )
NEW met2 ( 720720 432715 ) ( 720720 440670 )
NEW met3 ( 704160 440670 0 ) ( 720720 440670 )
NEW met1 ( 720720 432715 ) ( 816240 432715 )
NEW met2 ( 1093680 261775 ) ( 1093680 268990 0 )
NEW met1 ( 816240 261775 ) ( 1093680 261775 )
NEW met1 ( 816240 261775 ) M1M2_PR
NEW met1 ( 816240 432715 ) M1M2_PR
NEW met1 ( 720720 432715 ) M1M2_PR
NEW met2 ( 720720 440670 ) via2_FR
NEW met1 ( 1093680 261775 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[30\] ( storage mgmt_rdata[30] ) ( soc mgmt_rdata[30] )
+ ROUTED met2 ( 721200 605135 ) ( 721200 609390 )
NEW met3 ( 704160 609390 0 ) ( 721200 609390 )
NEW met1 ( 721200 605135 ) ( 1019280 605135 )
NEW met2 ( 1017360 303770 ) ( 1019280 303770 )
NEW met2 ( 1017360 241055 ) ( 1017360 303770 )
NEW met2 ( 1019280 303770 ) ( 1019280 605135 )
NEW met2 ( 1058160 239205 ) ( 1058160 241055 )
NEW met1 ( 1058160 239205 ) ( 1095600 239205 )
NEW met2 ( 1095600 239205 ) ( 1095600 268990 0 )
NEW met1 ( 1017360 241055 ) ( 1058160 241055 )
NEW met1 ( 721200 605135 ) M1M2_PR
NEW met2 ( 721200 609390 ) via2_FR
NEW met1 ( 1019280 605135 ) M1M2_PR
NEW met1 ( 1017360 241055 ) M1M2_PR
NEW met1 ( 1058160 241055 ) M1M2_PR
NEW met1 ( 1058160 239205 ) M1M2_PR
NEW met1 ( 1095600 239205 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[31\] ( storage mgmt_rdata[31] ) ( soc mgmt_rdata[31] )
+ ROUTED met3 ( 704160 612350 ) ( 737520 612350 )
NEW met3 ( 704160 612350 ) ( 704160 614940 0 )
NEW met2 ( 737520 345395 ) ( 737520 612350 )
NEW met2 ( 995280 342250 ) ( 995280 345395 )
NEW met3 ( 995280 342250 ) ( 1022880 342250 0 )
NEW met1 ( 737520 345395 ) ( 995280 345395 )
NEW met2 ( 737520 612350 ) via2_FR
NEW met1 ( 737520 345395 ) M1M2_PR
NEW met1 ( 995280 345395 ) M1M2_PR
NEW met2 ( 995280 342250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[32\] ( storage mgmt_rdata[32] ) ( soc mgmt_rdata[32] )
+ ROUTED met3 ( 704160 621230 0 ) ( 720720 621230 )
NEW met2 ( 720720 619565 ) ( 720720 621230 )
NEW met1 ( 720720 619565 ) ( 1018320 619565 )
NEW met2 ( 1016880 304140 ) ( 1018320 304140 )
NEW met2 ( 1016880 239575 ) ( 1016880 304140 )
NEW met2 ( 1018320 304140 ) ( 1018320 619565 )
NEW met1 ( 1044240 238835 ) ( 1044240 239575 )
NEW met1 ( 1044240 238835 ) ( 1097520 238835 )
NEW met2 ( 1097520 238835 ) ( 1097520 268990 0 )
NEW met1 ( 1016880 239575 ) ( 1044240 239575 )
NEW met2 ( 720720 621230 ) via2_FR
NEW met1 ( 720720 619565 ) M1M2_PR
NEW met1 ( 1018320 619565 ) M1M2_PR
NEW met1 ( 1016880 239575 ) M1M2_PR
NEW met1 ( 1097520 238835 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[33\] ( storage mgmt_rdata[33] ) ( soc mgmt_rdata[33] )
+ ROUTED met2 ( 721200 620305 ) ( 721200 627150 )
NEW met3 ( 704160 627150 0 ) ( 721200 627150 )
NEW met1 ( 721200 620305 ) ( 929520 620305 )
NEW met2 ( 929520 344285 ) ( 929520 620305 )
NEW met2 ( 994320 344285 ) ( 994320 344470 )
NEW met3 ( 994320 344470 ) ( 1022880 344470 )
NEW met3 ( 1022880 344470 ) ( 1022880 345210 0 )
NEW met1 ( 929520 344285 ) ( 994320 344285 )
NEW met1 ( 721200 620305 ) M1M2_PR
NEW met2 ( 721200 627150 ) via2_FR
NEW met1 ( 929520 620305 ) M1M2_PR
NEW met1 ( 929520 344285 ) M1M2_PR
NEW met1 ( 994320 344285 ) M1M2_PR
NEW met2 ( 994320 344470 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[34\] ( storage mgmt_rdata[34] ) ( soc mgmt_rdata[34] )
+ ROUTED met2 ( 720240 619935 ) ( 720240 633070 )
NEW met3 ( 704160 633070 0 ) ( 720240 633070 )
NEW met1 ( 720240 619935 ) ( 1018800 619935 )
NEW met1 ( 1016400 304325 ) ( 1018800 304325 )
NEW met2 ( 1016400 239205 ) ( 1016400 304325 )
NEW met2 ( 1018800 304325 ) ( 1018800 619935 )
NEW met2 ( 1043760 237725 ) ( 1043760 239205 )
NEW met1 ( 1043760 237725 ) ( 1099440 237725 )
NEW met2 ( 1099440 237725 ) ( 1099440 268990 0 )
NEW met1 ( 1016400 239205 ) ( 1043760 239205 )
NEW met1 ( 720240 619935 ) M1M2_PR
NEW met2 ( 720240 633070 ) via2_FR
NEW met1 ( 1018800 619935 ) M1M2_PR
NEW met1 ( 1018800 304325 ) M1M2_PR
NEW met1 ( 1016400 304325 ) M1M2_PR
NEW met1 ( 1016400 239205 ) M1M2_PR
NEW met1 ( 1043760 239205 ) M1M2_PR
NEW met1 ( 1043760 237725 ) M1M2_PR
NEW met1 ( 1099440 237725 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[35\] ( storage mgmt_rdata[35] ) ( soc mgmt_rdata[35] )
+ ROUTED met3 ( 704160 636770 ) ( 704160 639360 0 )
NEW met3 ( 704160 636770 ) ( 721200 636770 )
NEW met2 ( 721200 633625 ) ( 721200 636770 )
NEW met2 ( 1015920 305250 ) ( 1017840 305250 )
NEW met1 ( 721200 633625 ) ( 1017840 633625 )
NEW met2 ( 1017840 305250 ) ( 1017840 633625 )
NEW met2 ( 1015920 263070 ) ( 1015920 305250 )
NEW met2 ( 1101360 263070 ) ( 1101360 268990 )
NEW met2 ( 1101120 268990 0 ) ( 1101360 268990 )
NEW met3 ( 1015920 263070 ) ( 1101360 263070 )
NEW met2 ( 721200 636770 ) via2_FR
NEW met1 ( 721200 633625 ) M1M2_PR
NEW met1 ( 1017840 633625 ) M1M2_PR
NEW met2 ( 1015920 263070 ) via2_FR
NEW met2 ( 1101360 263070 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[36\] ( storage mgmt_rdata[36] ) ( soc mgmt_rdata[36] )
+ ROUTED met3 ( 704160 642690 ) ( 704160 645590 0 )
NEW met3 ( 704160 642690 ) ( 720720 642690 )
NEW met2 ( 720720 633995 ) ( 720720 642690 )
NEW met1 ( 943920 358715 ) ( 994800 358715 )
NEW met2 ( 994800 349650 ) ( 994800 358715 )
NEW met3 ( 994800 349650 ) ( 1022880 349650 )
NEW met3 ( 1022880 347430 0 ) ( 1022880 349650 )
NEW met1 ( 720720 633995 ) ( 943920 633995 )
NEW met2 ( 943920 358715 ) ( 943920 633995 )
NEW met2 ( 720720 642690 ) via2_FR
NEW met1 ( 720720 633995 ) M1M2_PR
NEW met1 ( 943920 358715 ) M1M2_PR
NEW met1 ( 994800 358715 ) M1M2_PR
NEW met2 ( 994800 349650 ) via2_FR
NEW met1 ( 943920 633995 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[37\] ( storage mgmt_rdata[37] ) ( soc mgmt_rdata[37] )
+ ROUTED met2 ( 721200 648055 ) ( 721200 650830 )
NEW met3 ( 704160 650830 0 ) ( 721200 650830 )
NEW met2 ( 1015440 305990 ) ( 1017360 305990 )
NEW met1 ( 721200 648055 ) ( 1017360 648055 )
NEW met2 ( 1017360 305990 ) ( 1017360 648055 )
NEW met2 ( 1015440 263810 ) ( 1015440 305990 )
NEW met2 ( 1102800 263810 ) ( 1102800 267510 )
NEW met2 ( 1102800 267510 ) ( 1103040 267510 )
NEW met2 ( 1103040 267510 ) ( 1103040 268990 0 )
NEW met3 ( 1015440 263810 ) ( 1102800 263810 )
NEW met1 ( 721200 648055 ) M1M2_PR
NEW met2 ( 721200 650830 ) via2_FR
NEW met1 ( 1017360 648055 ) M1M2_PR
NEW met2 ( 1015440 263810 ) via2_FR
NEW met2 ( 1102800 263810 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[38\] ( storage mgmt_rdata[38] ) ( soc mgmt_rdata[38] )
+ ROUTED met2 ( 720720 648425 ) ( 720720 654530 )
NEW met3 ( 704160 654530 ) ( 720720 654530 )
NEW met3 ( 704160 654530 ) ( 704160 657120 0 )
NEW met1 ( 958320 359085 ) ( 994320 359085 )
NEW met2 ( 994320 350390 ) ( 994320 359085 )
NEW met3 ( 994320 350390 ) ( 1022880 350390 0 )
NEW met1 ( 720720 648425 ) ( 958320 648425 )
NEW met2 ( 958320 359085 ) ( 958320 648425 )
NEW met1 ( 720720 648425 ) M1M2_PR
NEW met2 ( 720720 654530 ) via2_FR
NEW met1 ( 958320 359085 ) M1M2_PR
NEW met1 ( 994320 359085 ) M1M2_PR
NEW met2 ( 994320 350390 ) via2_FR
NEW met1 ( 958320 648425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[39\] ( storage mgmt_rdata[39] ) ( soc mgmt_rdata[39] )
+ ROUTED met1 ( 720240 663225 ) ( 738480 663225 )
NEW met2 ( 720240 663225 ) ( 720240 663410 )
NEW met3 ( 704160 663410 0 ) ( 720240 663410 )
NEW met2 ( 738480 241055 ) ( 738480 663225 )
NEW met2 ( 1008240 237355 ) ( 1008240 241055 )
NEW met1 ( 738480 241055 ) ( 1008240 241055 )
NEW met2 ( 1041840 232545 ) ( 1041840 237355 )
NEW met1 ( 1041840 232545 ) ( 1104720 232545 )
NEW met2 ( 1104720 232545 ) ( 1104720 268990 0 )
NEW met1 ( 1008240 237355 ) ( 1041840 237355 )
NEW met1 ( 738480 241055 ) M1M2_PR
NEW met1 ( 738480 663225 ) M1M2_PR
NEW met1 ( 720240 663225 ) M1M2_PR
NEW met2 ( 720240 663410 ) via2_FR
NEW met1 ( 1008240 241055 ) M1M2_PR
NEW met1 ( 1008240 237355 ) M1M2_PR
NEW met1 ( 1041840 237355 ) M1M2_PR
NEW met1 ( 1041840 232545 ) M1M2_PR
NEW met1 ( 1104720 232545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[3\] ( storage mgmt_rdata[3] ) ( soc mgmt_rdata[3] )
+ ROUTED met2 ( 815760 261035 ) ( 815760 446405 )
NEW met3 ( 704160 446590 ) ( 704160 446960 0 )
NEW met3 ( 704160 446590 ) ( 721200 446590 )
NEW met2 ( 721200 446405 ) ( 721200 446590 )
NEW met1 ( 721200 446405 ) ( 815760 446405 )
NEW met2 ( 1106640 261035 ) ( 1106640 268990 0 )
NEW met1 ( 815760 261035 ) ( 1106640 261035 )
NEW met1 ( 815760 261035 ) M1M2_PR
NEW met1 ( 815760 446405 ) M1M2_PR
NEW met2 ( 721200 446590 ) via2_FR
NEW met1 ( 721200 446405 ) M1M2_PR
NEW met1 ( 1106640 261035 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[40\] ( storage mgmt_rdata[40] ) ( soc mgmt_rdata[40] )
+ ROUTED met2 ( 721200 662855 ) ( 721200 669330 )
NEW met3 ( 704160 669330 0 ) ( 721200 669330 )
NEW met1 ( 972720 359455 ) ( 998160 359455 )
NEW met2 ( 998160 354830 ) ( 998160 359455 )
NEW met3 ( 998160 354830 ) ( 1022880 354830 )
NEW met3 ( 1022880 353350 0 ) ( 1022880 354830 )
NEW met1 ( 721200 662855 ) ( 972720 662855 )
NEW met2 ( 972720 359455 ) ( 972720 662855 )
NEW met1 ( 721200 662855 ) M1M2_PR
NEW met2 ( 721200 669330 ) via2_FR
NEW met1 ( 972720 359455 ) M1M2_PR
NEW met1 ( 998160 359455 ) M1M2_PR
NEW met2 ( 998160 354830 ) via2_FR
NEW met1 ( 972720 662855 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[41\] ( storage mgmt_rdata[41] ) ( soc mgmt_rdata[41] )
+ ROUTED met2 ( 720720 662485 ) ( 720720 675250 )
NEW met3 ( 704160 675250 0 ) ( 720720 675250 )
NEW met3 ( 1021920 259370 ) ( 1023600 259370 )
NEW met2 ( 1023600 243275 ) ( 1023600 259370 )
NEW met3 ( 1021920 433270 ) ( 1024560 433270 )
NEW met2 ( 1024560 433270 ) ( 1024560 481555 )
NEW met1 ( 1024560 481555 ) ( 1025040 481555 )
NEW met1 ( 1025040 481555 ) ( 1025040 482665 )
NEW met4 ( 1021920 259370 ) ( 1021920 433270 )
NEW met1 ( 720720 662485 ) ( 1025040 662485 )
NEW met2 ( 1025040 482665 ) ( 1025040 662485 )
NEW met2 ( 1044720 239575 ) ( 1044720 243275 )
NEW met1 ( 1044720 239575 ) ( 1108560 239575 )
NEW met2 ( 1108560 239575 ) ( 1108560 268990 0 )
NEW met1 ( 1023600 243275 ) ( 1044720 243275 )
NEW met1 ( 720720 662485 ) M1M2_PR
NEW met2 ( 720720 675250 ) via2_FR
NEW met3 ( 1021920 259370 ) M3M4_PR_M
NEW met2 ( 1023600 259370 ) via2_FR
NEW met1 ( 1023600 243275 ) M1M2_PR
NEW met3 ( 1021920 433270 ) M3M4_PR_M
NEW met2 ( 1024560 433270 ) via2_FR
NEW met1 ( 1024560 481555 ) M1M2_PR
NEW met1 ( 1025040 482665 ) M1M2_PR
NEW met1 ( 1025040 662485 ) M1M2_PR
NEW met1 ( 1044720 243275 ) M1M2_PR
NEW met1 ( 1044720 239575 ) M1M2_PR
NEW met1 ( 1108560 239575 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[42\] ( storage mgmt_rdata[42] ) ( soc mgmt_rdata[42] )
+ ROUTED met3 ( 704160 679690 ) ( 704160 681540 0 )
NEW met3 ( 704160 679690 ) ( 720720 679690 )
NEW met2 ( 720720 677285 ) ( 720720 679690 )
NEW met1 ( 987120 359825 ) ( 1003920 359825 )
NEW met2 ( 1003920 357790 ) ( 1003920 359825 )
NEW met3 ( 1003920 357790 ) ( 1022880 357790 )
NEW met3 ( 1022880 355940 0 ) ( 1022880 357790 )
NEW met1 ( 720720 677285 ) ( 987120 677285 )
NEW met2 ( 987120 359825 ) ( 987120 677285 )
NEW met2 ( 720720 679690 ) via2_FR
NEW met1 ( 720720 677285 ) M1M2_PR
NEW met1 ( 987120 359825 ) M1M2_PR
NEW met1 ( 1003920 359825 ) M1M2_PR
NEW met2 ( 1003920 357790 ) via2_FR
NEW met1 ( 987120 677285 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[43\] ( storage mgmt_rdata[43] ) ( soc mgmt_rdata[43] )
+ ROUTED met3 ( 704160 684870 ) ( 704160 687460 0 )
NEW met3 ( 704160 684870 ) ( 721200 684870 )
NEW met2 ( 721200 677655 ) ( 721200 684870 )
NEW met1 ( 720240 677655 ) ( 721200 677655 )
NEW met1 ( 720240 676915 ) ( 720240 677655 )
NEW met1 ( 1011120 306915 ) ( 1016880 306915 )
NEW met2 ( 1011120 230695 ) ( 1011120 306915 )
NEW met1 ( 720240 676915 ) ( 1016880 676915 )
NEW met2 ( 1016880 306915 ) ( 1016880 676915 )
NEW met2 ( 1110480 230695 ) ( 1110480 268990 0 )
NEW met1 ( 1011120 230695 ) ( 1110480 230695 )
NEW met2 ( 721200 684870 ) via2_FR
NEW met1 ( 721200 677655 ) M1M2_PR
NEW met1 ( 1016880 306915 ) M1M2_PR
NEW met1 ( 1011120 306915 ) M1M2_PR
NEW met1 ( 1011120 230695 ) M1M2_PR
NEW met1 ( 1016880 676915 ) M1M2_PR
NEW met1 ( 1110480 230695 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[44\] ( storage mgmt_rdata[44] ) ( soc mgmt_rdata[44] )
+ ROUTED met2 ( 722640 691345 ) ( 722640 693010 )
NEW met3 ( 704160 693010 0 ) ( 722640 693010 )
NEW met1 ( 1012560 306545 ) ( 1016400 306545 )
NEW met2 ( 1012560 232915 ) ( 1012560 306545 )
NEW met1 ( 722640 691345 ) ( 1016400 691345 )
NEW met2 ( 1016400 306545 ) ( 1016400 691345 )
NEW met1 ( 1041360 232175 ) ( 1041360 232915 )
NEW met1 ( 1041360 232175 ) ( 1110960 232175 )
NEW met2 ( 1110960 232175 ) ( 1110960 268990 )
NEW met2 ( 1110960 268990 ) ( 1112160 268990 0 )
NEW met1 ( 1012560 232915 ) ( 1041360 232915 )
NEW met1 ( 722640 691345 ) M1M2_PR
NEW met2 ( 722640 693010 ) via2_FR
NEW met1 ( 1016400 306545 ) M1M2_PR
NEW met1 ( 1012560 306545 ) M1M2_PR
NEW met1 ( 1012560 232915 ) M1M2_PR
NEW met1 ( 1016400 691345 ) M1M2_PR
NEW met1 ( 1110960 232175 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[45\] ( storage mgmt_rdata[45] ) ( soc mgmt_rdata[45] )
+ ROUTED met1 ( 721200 692085 ) ( 756720 692085 )
NEW met2 ( 721200 692085 ) ( 721200 696710 )
NEW met3 ( 704160 696710 ) ( 721200 696710 )
NEW met3 ( 704160 696710 ) ( 704160 699300 0 )
NEW met2 ( 756720 358345 ) ( 756720 692085 )
NEW met2 ( 993840 358345 ) ( 993840 358530 )
NEW met3 ( 993840 358530 ) ( 1022880 358530 0 )
NEW met1 ( 756720 358345 ) ( 993840 358345 )
NEW met1 ( 756720 358345 ) M1M2_PR
NEW met1 ( 756720 692085 ) M1M2_PR
NEW met1 ( 721200 692085 ) M1M2_PR
NEW met2 ( 721200 696710 ) via2_FR
NEW met1 ( 993840 358345 ) M1M2_PR
NEW met2 ( 993840 358530 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[46\] ( storage mgmt_rdata[46] ) ( soc mgmt_rdata[46] )
+ ROUTED met2 ( 814800 262145 ) ( 814800 691715 )
NEW met3 ( 704160 702630 ) ( 704160 705220 0 )
NEW met3 ( 704160 702630 ) ( 721680 702630 )
NEW met2 ( 721680 691715 ) ( 721680 702630 )
NEW met1 ( 721680 691715 ) ( 814800 691715 )
NEW met2 ( 1112880 262145 ) ( 1112880 268990 )
NEW met2 ( 1112880 268990 ) ( 1114080 268990 0 )
NEW met1 ( 814800 262145 ) ( 1112880 262145 )
NEW met1 ( 814800 262145 ) M1M2_PR
NEW met1 ( 814800 691715 ) M1M2_PR
NEW met2 ( 721680 702630 ) via2_FR
NEW met1 ( 721680 691715 ) M1M2_PR
NEW met1 ( 1112880 262145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[47\] ( storage mgmt_rdata[47] ) ( soc mgmt_rdata[47] )
+ ROUTED met2 ( 785520 373885 ) ( 785520 711325 )
NEW met2 ( 722640 711325 ) ( 722640 711510 )
NEW met3 ( 704160 711510 0 ) ( 722640 711510 )
NEW met1 ( 722640 711325 ) ( 785520 711325 )
NEW met2 ( 995760 361490 ) ( 995760 373885 )
NEW met3 ( 995760 361490 ) ( 1022880 361490 0 )
NEW met1 ( 785520 373885 ) ( 995760 373885 )
NEW met1 ( 785520 373885 ) M1M2_PR
NEW met1 ( 785520 711325 ) M1M2_PR
NEW met1 ( 722640 711325 ) M1M2_PR
NEW met2 ( 722640 711510 ) via2_FR
NEW met1 ( 995760 373885 ) M1M2_PR
NEW met2 ( 995760 361490 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[48\] ( storage mgmt_rdata[48] ) ( soc mgmt_rdata[48] )
+ ROUTED met2 ( 721680 705775 ) ( 721680 717430 )
NEW met3 ( 704160 717430 0 ) ( 721680 717430 )
NEW met2 ( 1014960 306730 ) ( 1015920 306730 )
NEW met1 ( 721680 705775 ) ( 1015920 705775 )
NEW met2 ( 1015920 306730 ) ( 1015920 705775 )
NEW met2 ( 1115760 233655 ) ( 1115760 268990 0 )
NEW met1 ( 1014960 257705 ) ( 1076400 257705 )
NEW met2 ( 1076400 233655 ) ( 1076400 257705 )
NEW met2 ( 1014960 257705 ) ( 1014960 306730 )
NEW met1 ( 1076400 233655 ) ( 1115760 233655 )
NEW met1 ( 721680 705775 ) M1M2_PR
NEW met2 ( 721680 717430 ) via2_FR
NEW met1 ( 1015920 705775 ) M1M2_PR
NEW met1 ( 1115760 233655 ) M1M2_PR
NEW met1 ( 1014960 257705 ) M1M2_PR
NEW met1 ( 1076400 257705 ) M1M2_PR
NEW met1 ( 1076400 233655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[49\] ( storage mgmt_rdata[49] ) ( soc mgmt_rdata[49] )
+ ROUTED met2 ( 814320 261405 ) ( 814320 720205 )
NEW met3 ( 704160 721870 ) ( 704160 723720 0 )
NEW met3 ( 704160 721870 ) ( 722640 721870 )
NEW met2 ( 722640 720205 ) ( 722640 721870 )
NEW met1 ( 722640 720205 ) ( 814320 720205 )
NEW met2 ( 1117680 261405 ) ( 1117680 268990 0 )
NEW met1 ( 814320 261405 ) ( 1117680 261405 )
NEW met1 ( 814320 261405 ) M1M2_PR
NEW met1 ( 814320 720205 ) M1M2_PR
NEW met2 ( 722640 721870 ) via2_FR
NEW met1 ( 722640 720205 ) M1M2_PR
NEW met1 ( 1117680 261405 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[4\] ( storage mgmt_rdata[4] ) ( soc mgmt_rdata[4] )
+ ROUTED met2 ( 801360 373515 ) ( 801360 453065 )
NEW met2 ( 721200 453065 ) ( 721200 453250 )
NEW met3 ( 704160 453250 0 ) ( 721200 453250 )
NEW met1 ( 721200 453065 ) ( 801360 453065 )
NEW met2 ( 995280 365930 ) ( 995280 373515 )
NEW met3 ( 995280 365930 ) ( 1022880 365930 )
NEW met3 ( 1022880 364080 0 ) ( 1022880 365930 )
NEW met1 ( 801360 373515 ) ( 995280 373515 )
NEW met1 ( 801360 453065 ) M1M2_PR
NEW met1 ( 801360 373515 ) M1M2_PR
NEW met1 ( 721200 453065 ) M1M2_PR
NEW met2 ( 721200 453250 ) via2_FR
NEW met1 ( 995280 373515 ) M1M2_PR
NEW met2 ( 995280 365930 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[50\] ( storage mgmt_rdata[50] ) ( soc mgmt_rdata[50] )
+ ROUTED met1 ( 721680 720575 ) ( 738960 720575 )
NEW met2 ( 721680 720575 ) ( 721680 726310 )
NEW met3 ( 704160 726310 ) ( 721680 726310 )
NEW met3 ( 704160 726310 ) ( 704160 729230 0 )
NEW met2 ( 738960 231065 ) ( 738960 720575 )
NEW met2 ( 1119600 231065 ) ( 1119600 268990 0 )
NEW met1 ( 738960 231065 ) ( 1119600 231065 )
NEW met1 ( 738960 231065 ) M1M2_PR
NEW met1 ( 738960 720575 ) M1M2_PR
NEW met1 ( 721680 720575 ) M1M2_PR
NEW met2 ( 721680 726310 ) via2_FR
NEW met1 ( 1119600 231065 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[51\] ( storage mgmt_rdata[51] ) ( soc mgmt_rdata[51] )
+ ROUTED met2 ( 815280 372775 ) ( 815280 734635 )
NEW met2 ( 722640 734635 ) ( 722640 735190 )
NEW met3 ( 704160 735190 0 ) ( 722640 735190 )
NEW met1 ( 722640 734635 ) ( 815280 734635 )
NEW met2 ( 994320 366670 ) ( 994320 372775 )
NEW met3 ( 994320 366670 ) ( 1022880 366670 0 )
NEW met1 ( 815280 372775 ) ( 994320 372775 )
NEW met1 ( 815280 372775 ) M1M2_PR
NEW met1 ( 815280 734635 ) M1M2_PR
NEW met1 ( 722640 734635 ) M1M2_PR
NEW met2 ( 722640 735190 ) via2_FR
NEW met1 ( 994320 372775 ) M1M2_PR
NEW met2 ( 994320 366670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[52\] ( storage mgmt_rdata[52] ) ( soc mgmt_rdata[52] )
+ ROUTED met2 ( 813840 260665 ) ( 813840 735005 )
NEW met3 ( 704160 738890 ) ( 704160 741470 0 )
NEW met3 ( 704160 738890 ) ( 721680 738890 )
NEW met2 ( 721680 735005 ) ( 721680 738890 )
NEW met1 ( 721680 735005 ) ( 813840 735005 )
NEW met2 ( 1121520 260665 ) ( 1121520 268990 0 )
NEW met1 ( 813840 260665 ) ( 1121520 260665 )
NEW met1 ( 813840 260665 ) M1M2_PR
NEW met1 ( 813840 735005 ) M1M2_PR
NEW met2 ( 721680 738890 ) via2_FR
NEW met1 ( 721680 735005 ) M1M2_PR
NEW met1 ( 1121520 260665 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[53\] ( storage mgmt_rdata[53] ) ( soc mgmt_rdata[53] )
+ ROUTED met1 ( 721200 735375 ) ( 739440 735375 )
NEW met2 ( 721200 735375 ) ( 721200 744810 )
NEW met3 ( 704160 744810 ) ( 721200 744810 )
NEW met3 ( 704160 744810 ) ( 704160 747400 0 )
NEW met1 ( 1040880 231805 ) ( 1040880 232545 )
NEW met1 ( 1040880 231805 ) ( 1123440 231805 )
NEW met2 ( 1123440 231805 ) ( 1123440 268990 )
NEW met2 ( 1123200 268990 0 ) ( 1123440 268990 )
NEW met2 ( 739440 232545 ) ( 739440 735375 )
NEW met1 ( 739440 232545 ) ( 1040880 232545 )
NEW met1 ( 739440 735375 ) M1M2_PR
NEW met1 ( 721200 735375 ) M1M2_PR
NEW met2 ( 721200 744810 ) via2_FR
NEW met1 ( 1123440 231805 ) M1M2_PR
NEW met1 ( 739440 232545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[54\] ( storage mgmt_rdata[54] ) ( soc mgmt_rdata[54] )
+ ROUTED met1 ( 721680 749435 ) ( 770640 749435 )
NEW met2 ( 721680 749435 ) ( 721680 753690 )
NEW met3 ( 704160 753690 0 ) ( 721680 753690 )
NEW met2 ( 770640 374255 ) ( 770640 749435 )
NEW met2 ( 994800 369630 ) ( 994800 374255 )
NEW met3 ( 994800 369630 ) ( 1022880 369630 0 )
NEW met1 ( 770640 374255 ) ( 994800 374255 )
NEW met1 ( 770640 374255 ) M1M2_PR
NEW met1 ( 770640 749435 ) M1M2_PR
NEW met1 ( 721680 749435 ) M1M2_PR
NEW met2 ( 721680 753690 ) via2_FR
NEW met1 ( 994800 374255 ) M1M2_PR
NEW met2 ( 994800 369630 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[55\] ( storage mgmt_rdata[55] ) ( soc mgmt_rdata[55] )
+ ROUTED met2 ( 722640 749065 ) ( 722640 759610 )
NEW met3 ( 704160 759610 0 ) ( 722640 759610 )
NEW met1 ( 722640 749065 ) ( 1015440 749065 )
NEW met1 ( 1010160 307655 ) ( 1015440 307655 )
NEW met2 ( 1010160 231435 ) ( 1010160 307655 )
NEW met2 ( 1015440 307655 ) ( 1015440 749065 )
NEW met2 ( 1039920 231435 ) ( 1039920 232730 )
NEW met3 ( 1039920 232730 ) ( 1042800 232730 )
NEW met2 ( 1042800 232730 ) ( 1042800 232915 )
NEW met1 ( 1042800 232915 ) ( 1083120 232915 )
NEW met2 ( 1083120 232915 ) ( 1083120 240315 )
NEW met1 ( 1083120 240315 ) ( 1123920 240315 )
NEW met2 ( 1123920 240315 ) ( 1123920 268990 )
NEW met2 ( 1123920 268990 ) ( 1125120 268990 0 )
NEW met1 ( 1010160 231435 ) ( 1039920 231435 )
NEW met1 ( 1015440 749065 ) M1M2_PR
NEW met1 ( 722640 749065 ) M1M2_PR
NEW met2 ( 722640 759610 ) via2_FR
NEW met1 ( 1015440 307655 ) M1M2_PR
NEW met1 ( 1010160 307655 ) M1M2_PR
NEW met1 ( 1010160 231435 ) M1M2_PR
NEW met1 ( 1039920 231435 ) M1M2_PR
NEW met2 ( 1039920 232730 ) via2_FR
NEW met2 ( 1042800 232730 ) via2_FR
NEW met1 ( 1042800 232915 ) M1M2_PR
NEW met1 ( 1083120 232915 ) M1M2_PR
NEW met1 ( 1083120 240315 ) M1M2_PR
NEW met1 ( 1123920 240315 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[56\] ( storage mgmt_rdata[56] ) ( soc mgmt_rdata[56] )
+ ROUTED met2 ( 799440 373145 ) ( 799440 763865 )
NEW met3 ( 704160 765530 0 ) ( 722640 765530 )
NEW met2 ( 722640 763865 ) ( 722640 765530 )
NEW met1 ( 722640 763865 ) ( 799440 763865 )
NEW met2 ( 993840 372590 ) ( 993840 373145 )
NEW met3 ( 993840 372590 ) ( 1022880 372590 )
NEW met3 ( 1022880 372220 0 ) ( 1022880 372590 )
NEW met1 ( 799440 373145 ) ( 993840 373145 )
NEW met1 ( 799440 373145 ) M1M2_PR
NEW met1 ( 799440 763865 ) M1M2_PR
NEW met2 ( 722640 765530 ) via2_FR
NEW met1 ( 722640 763865 ) M1M2_PR
NEW met1 ( 993840 373145 ) M1M2_PR
NEW met2 ( 993840 372590 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[57\] ( storage mgmt_rdata[57] ) ( soc mgmt_rdata[57] )
+ ROUTED met3 ( 704160 768490 ) ( 704160 771390 0 )
NEW met3 ( 704160 768490 ) ( 721680 768490 )
NEW met2 ( 721680 763495 ) ( 721680 768490 )
NEW met1 ( 721680 763495 ) ( 1014960 763495 )
NEW met1 ( 1011600 307285 ) ( 1014960 307285 )
NEW met2 ( 1011600 232175 ) ( 1011600 307285 )
NEW met2 ( 1014960 307285 ) ( 1014960 763495 )
NEW met1 ( 1040400 231435 ) ( 1040400 232175 )
NEW met1 ( 1040400 231435 ) ( 1126800 231435 )
NEW met2 ( 1126800 231435 ) ( 1126800 268990 0 )
NEW met1 ( 1011600 232175 ) ( 1040400 232175 )
NEW met1 ( 1014960 763495 ) M1M2_PR
NEW met2 ( 721680 768490 ) via2_FR
NEW met1 ( 721680 763495 ) M1M2_PR
NEW met1 ( 1014960 307285 ) M1M2_PR
NEW met1 ( 1011600 307285 ) M1M2_PR
NEW met1 ( 1011600 232175 ) M1M2_PR
NEW met1 ( 1126800 231435 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[58\] ( storage mgmt_rdata[58] ) ( soc mgmt_rdata[58] )
+ ROUTED met1 ( 719760 690235 ) ( 721680 690235 )
NEW met2 ( 719760 690235 ) ( 719760 697265 )
NEW met1 ( 719760 697265 ) ( 721200 697265 )
NEW met2 ( 721200 697265 ) ( 721200 734635 )
NEW met1 ( 720720 734635 ) ( 721200 734635 )
NEW met1 ( 720720 734635 ) ( 720720 735745 )
NEW met1 ( 720720 735745 ) ( 722640 735745 )
NEW met2 ( 722640 735745 ) ( 722640 745365 )
NEW met1 ( 721200 745365 ) ( 722640 745365 )
NEW met2 ( 721200 745365 ) ( 721200 777370 )
NEW met3 ( 704160 777370 0 ) ( 721200 777370 )
NEW met2 ( 721680 248825 ) ( 721680 690235 )
NEW met2 ( 1128720 248825 ) ( 1128720 268990 0 )
NEW met1 ( 721680 248825 ) ( 1128720 248825 )
NEW met1 ( 721680 248825 ) M1M2_PR
NEW met1 ( 721680 690235 ) M1M2_PR
NEW met1 ( 719760 690235 ) M1M2_PR
NEW met1 ( 719760 697265 ) M1M2_PR
NEW met1 ( 721200 697265 ) M1M2_PR
NEW met1 ( 721200 734635 ) M1M2_PR
NEW met1 ( 722640 735745 ) M1M2_PR
NEW met1 ( 722640 745365 ) M1M2_PR
NEW met1 ( 721200 745365 ) M1M2_PR
NEW met2 ( 721200 777370 ) via2_FR
NEW met1 ( 1128720 248825 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[59\] ( storage mgmt_rdata[59] ) ( soc mgmt_rdata[59] )
+ ROUTED met1 ( 721680 780145 ) ( 745680 780145 )
NEW met2 ( 721680 780145 ) ( 721680 781070 )
NEW met3 ( 704160 781070 ) ( 721680 781070 )
NEW met3 ( 704160 781070 ) ( 704160 783630 0 )
NEW met2 ( 745680 388685 ) ( 745680 780145 )
NEW met2 ( 995760 374810 ) ( 995760 388685 )
NEW met3 ( 995760 374810 ) ( 1022880 374810 0 )
NEW met1 ( 745680 388685 ) ( 995760 388685 )
NEW met1 ( 745680 388685 ) M1M2_PR
NEW met1 ( 745680 780145 ) M1M2_PR
NEW met1 ( 721680 780145 ) M1M2_PR
NEW met2 ( 721680 781070 ) via2_FR
NEW met1 ( 995760 388685 ) M1M2_PR
NEW met2 ( 995760 374810 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[5\] ( storage mgmt_rdata[5] ) ( soc mgmt_rdata[5] )
+ ROUTED met2 ( 720240 375550 ) ( 720720 375550 )
NEW met2 ( 720720 375550 ) ( 720720 388685 )
NEW met1 ( 720240 388685 ) ( 720720 388685 )
NEW met1 ( 720240 388685 ) ( 720240 393125 )
NEW met1 ( 720240 393125 ) ( 721200 393125 )
NEW met2 ( 721200 393125 ) ( 721200 426610 )
NEW met2 ( 720720 426610 ) ( 721200 426610 )
NEW met2 ( 720720 426610 ) ( 720720 431975 )
NEW met1 ( 720240 431975 ) ( 720720 431975 )
NEW met1 ( 720240 431975 ) ( 720240 435305 )
NEW met1 ( 720240 435305 ) ( 721200 435305 )
NEW met2 ( 721200 435305 ) ( 721200 441410 )
NEW met2 ( 720720 441410 ) ( 721200 441410 )
NEW met2 ( 720720 441410 ) ( 720720 459170 )
NEW met3 ( 704160 459170 0 ) ( 720720 459170 )
NEW met2 ( 720240 249195 ) ( 720240 375550 )
NEW met2 ( 1130640 249195 ) ( 1130640 268990 0 )
NEW met1 ( 720240 249195 ) ( 1130640 249195 )
NEW met1 ( 720240 249195 ) M1M2_PR
NEW met1 ( 720720 388685 ) M1M2_PR
NEW met1 ( 721200 393125 ) M1M2_PR
NEW met1 ( 720720 431975 ) M1M2_PR
NEW met1 ( 721200 435305 ) M1M2_PR
NEW met2 ( 720720 459170 ) via2_FR
NEW met1 ( 1130640 249195 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[60\] ( storage mgmt_rdata[60] ) ( soc mgmt_rdata[60] )
+ ROUTED met2 ( 828240 387575 ) ( 828240 777925 )
NEW met3 ( 704160 786990 ) ( 704160 789580 0 )
NEW met3 ( 704160 786990 ) ( 722640 786990 )
NEW met2 ( 722640 777925 ) ( 722640 786990 )
NEW met1 ( 722640 777925 ) ( 828240 777925 )
NEW met2 ( 995280 377770 ) ( 995280 387575 )
NEW met3 ( 995280 377770 ) ( 1022880 377770 0 )
NEW met1 ( 828240 387575 ) ( 995280 387575 )
NEW met1 ( 828240 387575 ) M1M2_PR
NEW met1 ( 828240 777925 ) M1M2_PR
NEW met2 ( 722640 786990 ) via2_FR
NEW met1 ( 722640 777925 ) M1M2_PR
NEW met1 ( 995280 387575 ) M1M2_PR
NEW met2 ( 995280 377770 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[61\] ( storage mgmt_rdata[61] ) ( soc mgmt_rdata[61] )
+ ROUTED met3 ( 704160 792910 ) ( 720720 792910 )
NEW met3 ( 704160 792910 ) ( 704160 795730 0 )
NEW met2 ( 720720 690790 ) ( 722640 690790 )
NEW met2 ( 720720 690790 ) ( 720720 792910 )
NEW met2 ( 722640 247715 ) ( 722640 690790 )
NEW met2 ( 1132560 247715 ) ( 1132560 268990 0 )
NEW met1 ( 722640 247715 ) ( 1132560 247715 )
NEW met1 ( 722640 247715 ) M1M2_PR
NEW met2 ( 720720 792910 ) via2_FR
NEW met1 ( 1132560 247715 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[62\] ( storage mgmt_rdata[62] ) ( soc mgmt_rdata[62] )
+ ROUTED met1 ( 721680 792355 ) ( 739920 792355 )
NEW met2 ( 721680 792355 ) ( 721680 801790 )
NEW met3 ( 704160 801790 0 ) ( 721680 801790 )
NEW met2 ( 739920 231805 ) ( 739920 792355 )
NEW met2 ( 1039440 231805 ) ( 1039440 231990 )
NEW met3 ( 1039440 231990 ) ( 1048560 231990 )
NEW met2 ( 1048560 231990 ) ( 1048560 233655 )
NEW met1 ( 1048560 233655 ) ( 1075920 233655 )
NEW met2 ( 1075920 233655 ) ( 1075920 244385 )
NEW met1 ( 1075920 244385 ) ( 1133040 244385 )
NEW met2 ( 1133040 244385 ) ( 1133040 268990 )
NEW met2 ( 1133040 268990 ) ( 1134240 268990 0 )
NEW met1 ( 739920 231805 ) ( 1039440 231805 )
NEW met1 ( 739920 231805 ) M1M2_PR
NEW met1 ( 739920 792355 ) M1M2_PR
NEW met1 ( 721680 792355 ) M1M2_PR
NEW met2 ( 721680 801790 ) via2_FR
NEW met1 ( 1039440 231805 ) M1M2_PR
NEW met2 ( 1039440 231990 ) via2_FR
NEW met2 ( 1048560 231990 ) via2_FR
NEW met1 ( 1048560 233655 ) M1M2_PR
NEW met1 ( 1075920 233655 ) M1M2_PR
NEW met1 ( 1075920 244385 ) M1M2_PR
NEW met1 ( 1133040 244385 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[63\] ( storage mgmt_rdata[63] ) ( soc mgmt_rdata[63] )
+ ROUTED met2 ( 857040 387205 ) ( 857040 806785 )
NEW met3 ( 704160 807710 0 ) ( 725040 807710 )
NEW met2 ( 725040 806785 ) ( 725040 807710 )
NEW met1 ( 725040 806785 ) ( 857040 806785 )
NEW met2 ( 994320 382210 ) ( 994320 387205 )
NEW met3 ( 994320 382210 ) ( 1022880 382210 )
NEW met3 ( 1022880 380360 0 ) ( 1022880 382210 )
NEW met1 ( 857040 387205 ) ( 994320 387205 )
NEW met1 ( 857040 387205 ) M1M2_PR
NEW met1 ( 857040 806785 ) M1M2_PR
NEW met2 ( 725040 807710 ) via2_FR
NEW met1 ( 725040 806785 ) M1M2_PR
NEW met1 ( 994320 387205 ) M1M2_PR
NEW met2 ( 994320 382210 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[6\] ( storage mgmt_rdata[6] ) ( soc mgmt_rdata[6] )
+ ROUTED met1 ( 719760 374995 ) ( 720720 374995 )
NEW met2 ( 719760 374995 ) ( 719760 461390 )
NEW met3 ( 704160 461390 ) ( 719760 461390 )
NEW met3 ( 704160 461390 ) ( 704160 464710 0 )
NEW met2 ( 720720 249565 ) ( 720720 374995 )
NEW met2 ( 1134960 249565 ) ( 1134960 268990 )
NEW met2 ( 1134960 268990 ) ( 1136160 268990 0 )
NEW met1 ( 720720 249565 ) ( 1134960 249565 )
NEW met1 ( 720720 249565 ) M1M2_PR
NEW met1 ( 720720 374995 ) M1M2_PR
NEW met1 ( 719760 374995 ) M1M2_PR
NEW met2 ( 719760 461390 ) via2_FR
NEW met1 ( 1134960 249565 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata\[7\] ( storage mgmt_rdata[7] ) ( soc mgmt_rdata[7] )
+ ROUTED met3 ( 704160 468050 ) ( 704160 470640 0 )
NEW met3 ( 704160 468050 ) ( 721200 468050 )
NEW met2 ( 721200 467865 ) ( 721200 468050 )
NEW met1 ( 721200 467865 ) ( 749040 467865 )
NEW met2 ( 749040 387945 ) ( 749040 467865 )
NEW met2 ( 994800 382950 ) ( 994800 387945 )
NEW met3 ( 994800 382950 ) ( 1022880 382950 0 )
NEW met1 ( 749040 387945 ) ( 994800 387945 )
NEW met2 ( 721200 468050 ) via2_FR
NEW met1 ( 721200 467865 ) M1M2_PR
NEW met1 ( 749040 467865 ) M1M2_PR
NEW met1 ( 749040 387945 ) M1M2_PR
NEW met1 ( 994800 387945 ) M1M2_PR
NEW met2 ( 994800 382950 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[8\] ( storage mgmt_rdata[8] ) ( soc mgmt_rdata[8] )
+ ROUTED met3 ( 719760 273430 ) ( 721200 273430 )
NEW met2 ( 719760 256225 ) ( 719760 273430 )
NEW met1 ( 719760 256225 ) ( 727920 256225 )
NEW met2 ( 727920 251415 ) ( 727920 256225 )
NEW met1 ( 720240 376105 ) ( 721200 376105 )
NEW met2 ( 1137840 251415 ) ( 1137840 268990 0 )
NEW met2 ( 721200 273430 ) ( 721200 376105 )
NEW met3 ( 704160 476930 0 ) ( 720240 476930 )
NEW met2 ( 720240 376105 ) ( 720240 476930 )
NEW met1 ( 727920 251415 ) ( 1137840 251415 )
NEW met2 ( 721200 273430 ) via2_FR
NEW met2 ( 719760 273430 ) via2_FR
NEW met1 ( 719760 256225 ) M1M2_PR
NEW met1 ( 727920 256225 ) M1M2_PR
NEW met1 ( 727920 251415 ) M1M2_PR
NEW met1 ( 720240 376105 ) M1M2_PR
NEW met1 ( 721200 376105 ) M1M2_PR
NEW met1 ( 1137840 251415 ) M1M2_PR
NEW met2 ( 720240 476930 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata\[9\] ( storage mgmt_rdata[9] ) ( soc mgmt_rdata[9] )
+ ROUTED met2 ( 1139760 265475 ) ( 1139760 268990 0 )
NEW met2 ( 721200 475265 ) ( 721200 482850 )
NEW met3 ( 704160 482850 0 ) ( 721200 482850 )
NEW met1 ( 1010640 266955 ) ( 1021680 266955 )
NEW met2 ( 1021680 265290 ) ( 1021680 266955 )
NEW met3 ( 1021680 265290 ) ( 1029360 265290 )
NEW met2 ( 1029360 265290 ) ( 1029360 265475 )
NEW met1 ( 1029360 265475 ) ( 1139760 265475 )
NEW met2 ( 1009200 403485 ) ( 1009200 475265 )
NEW met1 ( 1009200 403485 ) ( 1010640 403485 )
NEW met1 ( 721200 475265 ) ( 1009200 475265 )
NEW met2 ( 1010640 266955 ) ( 1010640 403485 )
NEW met1 ( 1139760 265475 ) M1M2_PR
NEW met1 ( 721200 475265 ) M1M2_PR
NEW met2 ( 721200 482850 ) via2_FR
NEW met1 ( 1010640 266955 ) M1M2_PR
NEW met1 ( 1021680 266955 ) M1M2_PR
NEW met2 ( 1021680 265290 ) via2_FR
NEW met2 ( 1029360 265290 ) via2_FR
NEW met1 ( 1029360 265475 ) M1M2_PR
NEW met1 ( 1009200 475265 ) M1M2_PR
NEW met1 ( 1009200 403485 ) M1M2_PR
NEW met1 ( 1010640 403485 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[0\] ( storage mgmt_rdata_ro[0] ) ( soc mgmt_rdata_ro[0] )
+ ROUTED met2 ( 871440 386835 ) ( 871440 806415 )
NEW met3 ( 704160 810670 ) ( 704160 813260 0 )
NEW met3 ( 704160 810670 ) ( 721200 810670 )
NEW met2 ( 721200 806415 ) ( 721200 810670 )
NEW met1 ( 721200 806415 ) ( 871440 806415 )
NEW met2 ( 993840 385910 ) ( 993840 386835 )
NEW met3 ( 993840 385910 ) ( 1022880 385910 0 )
NEW met1 ( 871440 386835 ) ( 993840 386835 )
NEW met1 ( 871440 386835 ) M1M2_PR
NEW met1 ( 871440 806415 ) M1M2_PR
NEW met2 ( 721200 810670 ) via2_FR
NEW met1 ( 721200 806415 ) M1M2_PR
NEW met1 ( 993840 386835 ) M1M2_PR
NEW met2 ( 993840 385910 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[10\] ( storage mgmt_rdata_ro[10] ) ( soc mgmt_rdata_ro[10] )
+ ROUTED met1 ( 725040 864135 ) ( 746640 864135 )
NEW met2 ( 725040 864135 ) ( 725040 871350 )
NEW met3 ( 704160 871350 ) ( 725040 871350 )
NEW met3 ( 704160 871350 ) ( 704160 873940 0 )
NEW met2 ( 806640 233285 ) ( 806640 233470 )
NEW met3 ( 806640 233470 ) ( 906960 233470 )
NEW met2 ( 906960 233285 ) ( 906960 233470 )
NEW met2 ( 746640 233285 ) ( 746640 864135 )
NEW met1 ( 746640 233285 ) ( 806640 233285 )
NEW met2 ( 1109040 232545 ) ( 1109040 233285 )
NEW met1 ( 1109040 232545 ) ( 1141680 232545 )
NEW met2 ( 1141680 232545 ) ( 1141680 268990 0 )
NEW met1 ( 906960 233285 ) ( 1109040 233285 )
NEW met1 ( 746640 864135 ) M1M2_PR
NEW met1 ( 725040 864135 ) M1M2_PR
NEW met2 ( 725040 871350 ) via2_FR
NEW met1 ( 806640 233285 ) M1M2_PR
NEW met2 ( 806640 233470 ) via2_FR
NEW met2 ( 906960 233470 ) via2_FR
NEW met1 ( 906960 233285 ) M1M2_PR
NEW met1 ( 746640 233285 ) M1M2_PR
NEW met1 ( 1109040 233285 ) M1M2_PR
NEW met1 ( 1109040 232545 ) M1M2_PR
NEW met1 ( 1141680 232545 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[11\] ( storage mgmt_rdata_ro[11] ) ( soc mgmt_rdata_ro[11] )
+ ROUTED met1 ( 725040 878935 ) ( 745200 878935 )
NEW met2 ( 725040 878935 ) ( 725040 879490 )
NEW met3 ( 704160 879490 0 ) ( 725040 879490 )
NEW met2 ( 745200 388315 ) ( 745200 878935 )
NEW met2 ( 993840 388130 ) ( 993840 388315 )
NEW met3 ( 993840 388130 ) ( 1022880 388130 )
NEW met3 ( 1022880 388130 ) ( 1022880 388500 0 )
NEW met1 ( 745200 388315 ) ( 993840 388315 )
NEW met1 ( 745200 388315 ) M1M2_PR
NEW met1 ( 745200 878935 ) M1M2_PR
NEW met1 ( 725040 878935 ) M1M2_PR
NEW met2 ( 725040 879490 ) via2_FR
NEW met1 ( 993840 388315 ) M1M2_PR
NEW met2 ( 993840 388130 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[12\] ( storage mgmt_rdata_ro[12] ) ( soc mgmt_rdata_ro[12] )
+ ROUTED met1 ( 722640 805675 ) ( 724560 805675 )
NEW met2 ( 1143600 250675 ) ( 1143600 268990 0 )
NEW met2 ( 724560 250675 ) ( 724560 805675 )
NEW met3 ( 704160 885410 0 ) ( 722640 885410 )
NEW met2 ( 722640 805675 ) ( 722640 885410 )
NEW met1 ( 724560 250675 ) ( 1143600 250675 )
NEW met1 ( 724560 250675 ) M1M2_PR
NEW met1 ( 722640 805675 ) M1M2_PR
NEW met1 ( 724560 805675 ) M1M2_PR
NEW met1 ( 1143600 250675 ) M1M2_PR
NEW met2 ( 722640 885410 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[13\] ( storage mgmt_rdata_ro[13] ) ( soc mgmt_rdata_ro[13] )
+ ROUTED met2 ( 1144080 251045 ) ( 1144080 268990 )
NEW met2 ( 1144080 268990 ) ( 1145280 268990 0 )
NEW met3 ( 704160 889110 ) ( 723600 889110 )
NEW met3 ( 704160 889110 ) ( 704160 891700 0 )
NEW met2 ( 723600 251045 ) ( 723600 889110 )
NEW met1 ( 723600 251045 ) ( 1144080 251045 )
NEW met1 ( 723600 251045 ) M1M2_PR
NEW met1 ( 1144080 251045 ) M1M2_PR
NEW met2 ( 723600 889110 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[14\] ( storage mgmt_rdata_ro[14] ) ( soc mgmt_rdata_ro[14] )
+ ROUTED met3 ( 704160 895770 ) ( 704160 897620 0 )
NEW met3 ( 704160 895770 ) ( 720240 895770 )
NEW met2 ( 720240 892995 ) ( 720240 895770 )
NEW met1 ( 720240 892995 ) ( 885840 892995 )
NEW met2 ( 885840 402745 ) ( 885840 892995 )
NEW met2 ( 995280 391090 ) ( 995280 402745 )
NEW met3 ( 995280 391090 ) ( 1022880 391090 0 )
NEW met1 ( 885840 402745 ) ( 995280 402745 )
NEW met1 ( 885840 402745 ) M1M2_PR
NEW met2 ( 720240 895770 ) via2_FR
NEW met1 ( 720240 892995 ) M1M2_PR
NEW met1 ( 885840 892995 ) M1M2_PR
NEW met1 ( 995280 402745 ) M1M2_PR
NEW met2 ( 995280 391090 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[15\] ( storage mgmt_rdata_ro[15] ) ( soc mgmt_rdata_ro[15] )
+ ROUTED met2 ( 724560 806230 ) ( 725040 806230 )
NEW met2 ( 1146000 250305 ) ( 1146000 268990 )
NEW met2 ( 1146000 268990 ) ( 1147200 268990 0 )
NEW met2 ( 725040 250305 ) ( 725040 806230 )
NEW met3 ( 704160 903910 0 ) ( 724560 903910 )
NEW met2 ( 724560 806230 ) ( 724560 903910 )
NEW met1 ( 725040 250305 ) ( 1146000 250305 )
NEW met1 ( 725040 250305 ) M1M2_PR
NEW met1 ( 1146000 250305 ) M1M2_PR
NEW met2 ( 724560 903910 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[16\] ( storage mgmt_rdata_ro[16] ) ( soc mgmt_rdata_ro[16] )
+ ROUTED met2 ( 842640 403115 ) ( 842640 907425 )
NEW met2 ( 734160 907425 ) ( 734160 909830 )
NEW met3 ( 704160 909830 0 ) ( 734160 909830 )
NEW met1 ( 734160 907425 ) ( 842640 907425 )
NEW met2 ( 994800 394050 ) ( 994800 403115 )
NEW met3 ( 994800 394050 ) ( 1022880 394050 0 )
NEW met1 ( 842640 403115 ) ( 994800 403115 )
NEW met1 ( 842640 403115 ) M1M2_PR
NEW met1 ( 842640 907425 ) M1M2_PR
NEW met1 ( 734160 907425 ) M1M2_PR
NEW met2 ( 734160 909830 ) via2_FR
NEW met1 ( 994800 403115 ) M1M2_PR
NEW met2 ( 994800 394050 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[17\] ( storage mgmt_rdata_ro[17] ) ( soc mgmt_rdata_ro[17] )
+ ROUTED met2 ( 1148880 238095 ) ( 1148880 268990 0 )
NEW met1 ( 723600 907795 ) ( 744720 907795 )
NEW met2 ( 723600 907795 ) ( 723600 913530 )
NEW met3 ( 704160 913530 ) ( 723600 913530 )
NEW met3 ( 704160 913530 ) ( 704160 916120 0 )
NEW met2 ( 744720 238095 ) ( 744720 907795 )
NEW met1 ( 744720 238095 ) ( 1148880 238095 )
NEW met1 ( 744720 238095 ) M1M2_PR
NEW met1 ( 1148880 238095 ) M1M2_PR
NEW met1 ( 744720 907795 ) M1M2_PR
NEW met1 ( 723600 907795 ) M1M2_PR
NEW met2 ( 723600 913530 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[18\] ( storage mgmt_rdata_ro[18] ) ( soc mgmt_rdata_ro[18] )
+ ROUTED met2 ( 1150800 249935 ) ( 1150800 268990 0 )
NEW met3 ( 704160 921670 0 ) ( 725520 921670 )
NEW met2 ( 725520 249935 ) ( 725520 921670 )
NEW met1 ( 725520 249935 ) ( 1150800 249935 )
NEW met1 ( 725520 249935 ) M1M2_PR
NEW met1 ( 1150800 249935 ) M1M2_PR
NEW met2 ( 725520 921670 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[19\] ( storage mgmt_rdata_ro[19] ) ( soc mgmt_rdata_ro[19] )
+ ROUTED met2 ( 734160 922225 ) ( 734160 927590 )
NEW met3 ( 704160 927590 0 ) ( 734160 927590 )
NEW met1 ( 734160 922225 ) ( 900240 922225 )
NEW met2 ( 900240 402375 ) ( 900240 922225 )
NEW met2 ( 994320 398490 ) ( 994320 402375 )
NEW met3 ( 994320 398490 ) ( 1022880 398490 )
NEW met3 ( 1022880 396640 0 ) ( 1022880 398490 )
NEW met1 ( 900240 402375 ) ( 994320 402375 )
NEW met1 ( 900240 402375 ) M1M2_PR
NEW met1 ( 734160 922225 ) M1M2_PR
NEW met2 ( 734160 927590 ) via2_FR
NEW met1 ( 900240 922225 ) M1M2_PR
NEW met1 ( 994320 402375 ) M1M2_PR
NEW met2 ( 994320 398490 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[1\] ( storage mgmt_rdata_ro[1] ) ( soc mgmt_rdata_ro[1] )
+ ROUTED met1 ( 721680 807155 ) ( 740880 807155 )
NEW met2 ( 721680 807155 ) ( 721680 819550 )
NEW met3 ( 704160 819550 0 ) ( 721680 819550 )
NEW met2 ( 1152720 239945 ) ( 1152720 268990 0 )
NEW met2 ( 740880 239945 ) ( 740880 807155 )
NEW met1 ( 740880 239945 ) ( 1152720 239945 )
NEW met1 ( 740880 239945 ) M1M2_PR
NEW met1 ( 740880 807155 ) M1M2_PR
NEW met1 ( 721680 807155 ) M1M2_PR
NEW met2 ( 721680 819550 ) via2_FR
NEW met1 ( 1152720 239945 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[20\] ( storage mgmt_rdata_ro[20] ) ( soc mgmt_rdata_ro[20] )
+ ROUTED met2 ( 733200 921855 ) ( 733200 931290 )
NEW met3 ( 704160 931290 ) ( 733200 931290 )
NEW met3 ( 704160 931290 ) ( 704160 933880 0 )
NEW met1 ( 733200 921855 ) ( 914640 921855 )
NEW met2 ( 914640 401265 ) ( 914640 921855 )
NEW met2 ( 993840 399230 ) ( 993840 401265 )
NEW met3 ( 993840 399230 ) ( 1022880 399230 0 )
NEW met1 ( 914640 401265 ) ( 993840 401265 )
NEW met1 ( 914640 401265 ) M1M2_PR
NEW met1 ( 733200 921855 ) M1M2_PR
NEW met2 ( 733200 931290 ) via2_FR
NEW met1 ( 914640 921855 ) M1M2_PR
NEW met1 ( 993840 401265 ) M1M2_PR
NEW met2 ( 993840 399230 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[21\] ( storage mgmt_rdata_ro[21] ) ( soc mgmt_rdata_ro[21] )
+ ROUTED met2 ( 1154640 253635 ) ( 1154640 268990 0 )
NEW met3 ( 704160 937210 ) ( 726000 937210 )
NEW met3 ( 704160 937210 ) ( 704160 939800 0 )
NEW met2 ( 726000 253635 ) ( 726000 937210 )
NEW met1 ( 726000 253635 ) ( 1154640 253635 )
NEW met1 ( 726000 253635 ) M1M2_PR
NEW met1 ( 1154640 253635 ) M1M2_PR
NEW met2 ( 726000 937210 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[22\] ( storage mgmt_rdata_ro[22] ) ( soc mgmt_rdata_ro[22] )
+ ROUTED met2 ( 734160 936285 ) ( 734160 946090 )
NEW met3 ( 704160 946090 0 ) ( 734160 946090 )
NEW met1 ( 734160 936285 ) ( 929040 936285 )
NEW met2 ( 929040 402005 ) ( 929040 936285 )
NEW met2 ( 993840 402005 ) ( 993840 402190 )
NEW met3 ( 993840 402190 ) ( 1022880 402190 0 )
NEW met1 ( 929040 402005 ) ( 993840 402005 )
NEW met1 ( 929040 402005 ) M1M2_PR
NEW met1 ( 734160 936285 ) M1M2_PR
NEW met2 ( 734160 946090 ) via2_FR
NEW met1 ( 929040 936285 ) M1M2_PR
NEW met1 ( 993840 402005 ) M1M2_PR
NEW met2 ( 993840 402190 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[23\] ( storage mgmt_rdata_ro[23] ) ( soc mgmt_rdata_ro[23] )
+ ROUTED met2 ( 1155120 252525 ) ( 1155120 268990 )
NEW met2 ( 1155120 268990 ) ( 1156320 268990 0 )
NEW met2 ( 732720 915010 ) ( 733200 915010 )
NEW met2 ( 732720 915010 ) ( 732720 952010 )
NEW met3 ( 704160 952010 0 ) ( 732720 952010 )
NEW met2 ( 733200 252525 ) ( 733200 915010 )
NEW met1 ( 733200 252525 ) ( 1155120 252525 )
NEW met1 ( 733200 252525 ) M1M2_PR
NEW met1 ( 1155120 252525 ) M1M2_PR
NEW met2 ( 732720 952010 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[24\] ( storage mgmt_rdata_ro[24] ) ( soc mgmt_rdata_ro[24] )
+ ROUTED met2 ( 1157040 251785 ) ( 1157040 268990 )
NEW met2 ( 1157040 268990 ) ( 1158240 268990 0 )
NEW met1 ( 724560 906685 ) ( 734160 906685 )
NEW met2 ( 724560 906685 ) ( 724560 954970 )
NEW met3 ( 704160 954970 ) ( 724560 954970 )
NEW met3 ( 704160 954970 ) ( 704160 957560 0 )
NEW met2 ( 734160 251785 ) ( 734160 906685 )
NEW met1 ( 734160 251785 ) ( 1157040 251785 )
NEW met1 ( 734160 251785 ) M1M2_PR
NEW met1 ( 1157040 251785 ) M1M2_PR
NEW met1 ( 734160 906685 ) M1M2_PR
NEW met1 ( 724560 906685 ) M1M2_PR
NEW met2 ( 724560 954970 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[25\] ( storage mgmt_rdata_ro[25] ) ( soc mgmt_rdata_ro[25] )
+ ROUTED met3 ( 704160 960890 ) ( 704160 963830 0 )
NEW met3 ( 704160 960890 ) ( 721680 960890 )
NEW met2 ( 721680 950715 ) ( 721680 960890 )
NEW met1 ( 943440 416435 ) ( 994800 416435 )
NEW met2 ( 994800 406630 ) ( 994800 416435 )
NEW met3 ( 994800 406630 ) ( 1022880 406630 )
NEW met3 ( 1022880 404780 0 ) ( 1022880 406630 )
NEW met1 ( 721680 950715 ) ( 943440 950715 )
NEW met2 ( 943440 416435 ) ( 943440 950715 )
NEW met2 ( 721680 960890 ) via2_FR
NEW met1 ( 721680 950715 ) M1M2_PR
NEW met1 ( 943440 416435 ) M1M2_PR
NEW met1 ( 994800 416435 ) M1M2_PR
NEW met2 ( 994800 406630 ) via2_FR
NEW met1 ( 943440 950715 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[26\] ( storage mgmt_rdata_ro[26] ) ( soc mgmt_rdata_ro[26] )
+ ROUTED met2 ( 1159920 238465 ) ( 1159920 268990 0 )
NEW met1 ( 723120 965515 ) ( 742800 965515 )
NEW met2 ( 723120 965515 ) ( 723120 969770 )
NEW met3 ( 704160 969770 0 ) ( 723120 969770 )
NEW met2 ( 742800 238465 ) ( 742800 965515 )
NEW met1 ( 742800 238465 ) ( 1159920 238465 )
NEW met1 ( 742800 238465 ) M1M2_PR
NEW met1 ( 1159920 238465 ) M1M2_PR
NEW met1 ( 742800 965515 ) M1M2_PR
NEW met1 ( 723120 965515 ) M1M2_PR
NEW met2 ( 723120 969770 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[27\] ( storage mgmt_rdata_ro[27] ) ( soc mgmt_rdata_ro[27] )
+ ROUTED met2 ( 734160 965145 ) ( 734160 973470 )
NEW met3 ( 704160 973470 ) ( 734160 973470 )
NEW met3 ( 704160 973470 ) ( 704160 976060 0 )
NEW met1 ( 957840 416805 ) ( 994320 416805 )
NEW met2 ( 994320 408850 ) ( 994320 416805 )
NEW met3 ( 994320 408850 ) ( 1022880 408850 )
NEW met3 ( 1022880 407370 0 ) ( 1022880 408850 )
NEW met1 ( 734160 965145 ) ( 957840 965145 )
NEW met2 ( 957840 416805 ) ( 957840 965145 )
NEW met1 ( 734160 965145 ) M1M2_PR
NEW met2 ( 734160 973470 ) via2_FR
NEW met1 ( 957840 416805 ) M1M2_PR
NEW met1 ( 994320 416805 ) M1M2_PR
NEW met2 ( 994320 408850 ) via2_FR
NEW met1 ( 957840 965145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[28\] ( storage mgmt_rdata_ro[28] ) ( soc mgmt_rdata_ro[28] )
+ ROUTED met3 ( 704160 980870 ) ( 725040 980870 )
NEW met3 ( 704160 980870 ) ( 704160 981980 0 )
NEW met2 ( 1161840 252895 ) ( 1161840 268990 0 )
NEW met1 ( 725040 914455 ) ( 732720 914455 )
NEW met2 ( 725040 914455 ) ( 725040 980870 )
NEW met2 ( 732720 252895 ) ( 732720 914455 )
NEW met1 ( 732720 252895 ) ( 1161840 252895 )
NEW met1 ( 732720 252895 ) M1M2_PR
NEW met2 ( 725040 980870 ) via2_FR
NEW met1 ( 1161840 252895 ) M1M2_PR
NEW met1 ( 725040 914455 ) M1M2_PR
NEW met1 ( 732720 914455 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[29\] ( storage mgmt_rdata_ro[29] ) ( soc mgmt_rdata_ro[29] )
+ ROUTED met3 ( 704160 988270 0 ) ( 726480 988270 )
NEW met2 ( 1163760 253265 ) ( 1163760 268990 0 )
NEW met2 ( 726480 253265 ) ( 726480 988270 )
NEW met1 ( 726480 253265 ) ( 1163760 253265 )
NEW met1 ( 726480 253265 ) M1M2_PR
NEW met2 ( 726480 988270 ) via2_FR
NEW met1 ( 1163760 253265 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[2\] ( storage mgmt_rdata_ro[2] ) ( soc mgmt_rdata_ro[2] )
+ ROUTED met3 ( 704160 823250 ) ( 704160 825790 0 )
NEW met3 ( 704160 823250 ) ( 720240 823250 )
NEW met2 ( 720240 820845 ) ( 720240 823250 )
NEW met1 ( 720240 820845 ) ( 972240 820845 )
NEW met1 ( 972240 417175 ) ( 997680 417175 )
NEW met2 ( 997680 410330 ) ( 997680 417175 )
NEW met3 ( 997680 410330 ) ( 1022880 410330 0 )
NEW met2 ( 972240 417175 ) ( 972240 820845 )
NEW met2 ( 720240 823250 ) via2_FR
NEW met1 ( 720240 820845 ) M1M2_PR
NEW met1 ( 972240 820845 ) M1M2_PR
NEW met1 ( 972240 417175 ) M1M2_PR
NEW met1 ( 997680 417175 ) M1M2_PR
NEW met2 ( 997680 410330 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[30\] ( storage mgmt_rdata_ro[30] ) ( soc mgmt_rdata_ro[30] )
+ ROUTED met3 ( 704160 993450 0 ) ( 733680 993450 )
NEW met2 ( 1165680 252155 ) ( 1165680 268990 0 )
NEW met2 ( 733680 252155 ) ( 733680 993450 )
NEW met1 ( 733680 252155 ) ( 1165680 252155 )
NEW met1 ( 733680 252155 ) M1M2_PR
NEW met2 ( 733680 993450 ) via2_FR
NEW met1 ( 1165680 252155 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[31\] ( storage mgmt_rdata_ro[31] ) ( soc mgmt_rdata_ro[31] )
+ ROUTED met3 ( 704160 997150 ) ( 704160 999740 0 )
NEW met3 ( 704160 997150 ) ( 722160 997150 )
NEW met2 ( 722160 993635 ) ( 722160 997150 )
NEW met1 ( 986640 417545 ) ( 1003920 417545 )
NEW met2 ( 1003920 414770 ) ( 1003920 417545 )
NEW met3 ( 1003920 414770 ) ( 1023840 414770 )
NEW met3 ( 1023840 413290 0 ) ( 1023840 414770 )
NEW met1 ( 722160 993635 ) ( 986640 993635 )
NEW met2 ( 986640 417545 ) ( 986640 993635 )
NEW met2 ( 722160 997150 ) via2_FR
NEW met1 ( 722160 993635 ) M1M2_PR
NEW met1 ( 986640 417545 ) M1M2_PR
NEW met1 ( 1003920 417545 ) M1M2_PR
NEW met2 ( 1003920 414770 ) via2_FR
NEW met1 ( 986640 993635 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[3\] ( storage mgmt_rdata_ro[3] ) ( soc mgmt_rdata_ro[3] )
+ ROUTED met1 ( 725040 821215 ) ( 748560 821215 )
NEW met2 ( 725040 821215 ) ( 725040 829170 )
NEW met3 ( 704160 829170 ) ( 725040 829170 )
NEW met3 ( 704160 829170 ) ( 704160 831760 0 )
NEW met2 ( 1166640 234025 ) ( 1166640 268990 )
NEW met2 ( 1166640 268990 ) ( 1167360 268990 0 )
NEW met1 ( 1048080 233655 ) ( 1048080 234395 )
NEW met1 ( 1048080 234395 ) ( 1077360 234395 )
NEW met1 ( 1077360 234025 ) ( 1077360 234395 )
NEW met1 ( 1077360 234025 ) ( 1166640 234025 )
NEW met2 ( 748560 233655 ) ( 748560 821215 )
NEW met1 ( 748560 233655 ) ( 1048080 233655 )
NEW met1 ( 748560 821215 ) M1M2_PR
NEW met1 ( 725040 821215 ) M1M2_PR
NEW met2 ( 725040 829170 ) via2_FR
NEW met1 ( 1166640 234025 ) M1M2_PR
NEW met1 ( 748560 233655 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[4\] ( storage mgmt_rdata_ro[4] ) ( soc mgmt_rdata_ro[4] )
+ ROUTED met1 ( 725040 835275 ) ( 747600 835275 )
NEW met2 ( 725040 835275 ) ( 725040 835830 )
NEW met3 ( 704160 835830 ) ( 725040 835830 )
NEW met3 ( 704160 835830 ) ( 704160 838030 0 )
NEW met2 ( 1168080 236985 ) ( 1168080 268990 )
NEW met2 ( 1168080 268990 ) ( 1169280 268990 0 )
NEW met2 ( 1047120 234025 ) ( 1047120 236985 )
NEW met1 ( 1047120 236985 ) ( 1168080 236985 )
NEW met2 ( 747600 234025 ) ( 747600 835275 )
NEW met1 ( 747600 234025 ) ( 1047120 234025 )
NEW met1 ( 747600 835275 ) M1M2_PR
NEW met1 ( 725040 835275 ) M1M2_PR
NEW met2 ( 725040 835830 ) via2_FR
NEW met1 ( 1168080 236985 ) M1M2_PR
NEW met1 ( 1047120 234025 ) M1M2_PR
NEW met1 ( 1047120 236985 ) M1M2_PR
NEW met1 ( 747600 234025 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[5\] ( storage mgmt_rdata_ro[5] ) ( soc mgmt_rdata_ro[5] )
+ ROUTED met2 ( 785040 416065 ) ( 785040 839345 )
NEW met2 ( 725040 839345 ) ( 725040 843230 )
NEW met3 ( 704160 843230 0 ) ( 725040 843230 )
NEW met1 ( 725040 839345 ) ( 785040 839345 )
NEW met2 ( 993840 416065 ) ( 993840 416250 )
NEW met3 ( 993840 416250 ) ( 1022880 416250 )
NEW met3 ( 1022880 415510 0 ) ( 1022880 416250 )
NEW met1 ( 785040 416065 ) ( 993840 416065 )
NEW met1 ( 785040 416065 ) M1M2_PR
NEW met1 ( 785040 839345 ) M1M2_PR
NEW met1 ( 725040 839345 ) M1M2_PR
NEW met2 ( 725040 843230 ) via2_FR
NEW met1 ( 993840 416065 ) M1M2_PR
NEW met2 ( 993840 416250 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[6\] ( storage mgmt_rdata_ro[6] ) ( soc mgmt_rdata_ro[6] )
+ ROUTED met3 ( 704160 849890 0 ) ( 723120 849890 )
NEW met2 ( 1170960 255115 ) ( 1170960 268990 0 )
NEW met2 ( 723120 255115 ) ( 723120 849890 )
NEW met1 ( 723120 255115 ) ( 1170960 255115 )
NEW met1 ( 723120 255115 ) M1M2_PR
NEW met2 ( 723120 849890 ) via2_FR
NEW met1 ( 1170960 255115 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[7\] ( storage mgmt_rdata_ro[7] ) ( soc mgmt_rdata_ro[7] )
+ ROUTED met1 ( 725040 849705 ) ( 756240 849705 )
NEW met2 ( 725040 849705 ) ( 725040 852850 )
NEW met3 ( 704160 852850 ) ( 725040 852850 )
NEW met3 ( 704160 852850 ) ( 704160 855440 0 )
NEW met2 ( 756240 431975 ) ( 756240 849705 )
NEW met2 ( 996240 418470 ) ( 996240 431975 )
NEW met3 ( 996240 418470 ) ( 1022880 418470 0 )
NEW met1 ( 756240 431975 ) ( 996240 431975 )
NEW met1 ( 756240 431975 ) M1M2_PR
NEW met1 ( 756240 849705 ) M1M2_PR
NEW met1 ( 725040 849705 ) M1M2_PR
NEW met2 ( 725040 852850 ) via2_FR
NEW met1 ( 996240 431975 ) M1M2_PR
NEW met2 ( 996240 418470 ) via2_FR
+ USE SIGNAL ;
- mgmt_rdata_ro\[8\] ( storage mgmt_rdata_ro[8] ) ( soc mgmt_rdata_ro[8] )
+ ROUTED met3 ( 704160 861730 0 ) ( 722160 861730 )
NEW met2 ( 1172880 255485 ) ( 1172880 268990 0 )
NEW met1 ( 722160 690235 ) ( 722160 691345 )
NEW met2 ( 722160 255485 ) ( 722160 690235 )
NEW met2 ( 722160 691345 ) ( 722160 861730 )
NEW met1 ( 722160 255485 ) ( 1172880 255485 )
NEW met1 ( 722160 255485 ) M1M2_PR
NEW met2 ( 722160 861730 ) via2_FR
NEW met1 ( 1172880 255485 ) M1M2_PR
NEW met1 ( 722160 690235 ) M1M2_PR
NEW met1 ( 722160 691345 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_rdata_ro\[9\] ( storage mgmt_rdata_ro[9] ) ( soc mgmt_rdata_ro[9] )
+ ROUTED met3 ( 704160 867650 0 ) ( 724080 867650 )
NEW met2 ( 1174800 254745 ) ( 1174800 268990 0 )
NEW met2 ( 724080 254745 ) ( 724080 867650 )
NEW met1 ( 724080 254745 ) ( 1174800 254745 )
NEW met1 ( 724080 254745 ) M1M2_PR
NEW met2 ( 724080 867650 ) via2_FR
NEW met1 ( 1174800 254745 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[0\] ( storage mgmt_wdata[0] ) ( soc mgmt_wdata[0] )
+ ROUTED met2 ( 813360 430865 ) ( 813360 994005 )
NEW met3 ( 704160 1003070 ) ( 704160 1005990 0 )
NEW met3 ( 704160 1003070 ) ( 725040 1003070 )
NEW met2 ( 725040 994005 ) ( 725040 1003070 )
NEW met1 ( 725040 994005 ) ( 813360 994005 )
NEW met2 ( 995280 422910 ) ( 995280 430865 )
NEW met3 ( 995280 422910 ) ( 1023840 422910 )
NEW met3 ( 1023840 421430 0 ) ( 1023840 422910 )
NEW met1 ( 813360 430865 ) ( 995280 430865 )
NEW met1 ( 813360 430865 ) M1M2_PR
NEW met1 ( 813360 994005 ) M1M2_PR
NEW met2 ( 725040 1003070 ) via2_FR
NEW met1 ( 725040 994005 ) M1M2_PR
NEW met1 ( 995280 430865 ) M1M2_PR
NEW met2 ( 995280 422910 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[10\] ( storage mgmt_wdata[10] ) ( soc mgmt_wdata[10] )
+ ROUTED met2 ( 1176720 254005 ) ( 1176720 268990 0 )
NEW met3 ( 704160 1066710 0 ) ( 730320 1066710 )
NEW met2 ( 730320 254005 ) ( 730320 1066710 )
NEW met1 ( 730320 254005 ) ( 1176720 254005 )
NEW met1 ( 730320 254005 ) M1M2_PR
NEW met1 ( 1176720 254005 ) M1M2_PR
NEW met2 ( 730320 1066710 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[11\] ( storage mgmt_wdata[11] ) ( soc mgmt_wdata[11] )
+ ROUTED met2 ( 770160 431605 ) ( 770160 1066155 )
NEW met2 ( 995760 425130 ) ( 995760 431605 )
NEW met3 ( 995760 425130 ) ( 1022880 425130 )
NEW met3 ( 1022880 423650 0 ) ( 1022880 425130 )
NEW met1 ( 770160 431605 ) ( 995760 431605 )
NEW met2 ( 720240 1066155 ) ( 720240 1071890 )
NEW met3 ( 704160 1071890 0 ) ( 720240 1071890 )
NEW met1 ( 720240 1066155 ) ( 770160 1066155 )
NEW met1 ( 770160 431605 ) M1M2_PR
NEW met1 ( 770160 1066155 ) M1M2_PR
NEW met1 ( 995760 431605 ) M1M2_PR
NEW met2 ( 995760 425130 ) via2_FR
NEW met1 ( 720240 1066155 ) M1M2_PR
NEW met2 ( 720240 1071890 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[12\] ( storage mgmt_wdata[12] ) ( soc mgmt_wdata[12] )
+ ROUTED met2 ( 1177200 242165 ) ( 1177200 268990 )
NEW met2 ( 1177200 268990 ) ( 1178400 268990 0 )
NEW met2 ( 741840 236985 ) ( 741840 1065785 )
NEW met2 ( 732720 1065785 ) ( 732720 1077810 )
NEW met3 ( 704160 1077810 0 ) ( 732720 1077810 )
NEW met1 ( 732720 1065785 ) ( 741840 1065785 )
NEW met2 ( 1046640 236985 ) ( 1046640 242165 )
NEW met1 ( 741840 236985 ) ( 1046640 236985 )
NEW met1 ( 1046640 242165 ) ( 1177200 242165 )
NEW met1 ( 741840 236985 ) M1M2_PR
NEW met1 ( 741840 1065785 ) M1M2_PR
NEW met1 ( 1177200 242165 ) M1M2_PR
NEW met1 ( 732720 1065785 ) M1M2_PR
NEW met2 ( 732720 1077810 ) via2_FR
NEW met1 ( 1046640 236985 ) M1M2_PR
NEW met1 ( 1046640 242165 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[13\] ( storage mgmt_wdata[13] ) ( soc mgmt_wdata[13] )
+ ROUTED met2 ( 1179120 254375 ) ( 1179120 268990 )
NEW met2 ( 1179120 268990 ) ( 1180320 268990 0 )
NEW met3 ( 704160 1081510 ) ( 729840 1081510 )
NEW met3 ( 704160 1081510 ) ( 704160 1084100 0 )
NEW met2 ( 729840 254375 ) ( 729840 1081510 )
NEW met1 ( 729840 254375 ) ( 1179120 254375 )
NEW met1 ( 729840 254375 ) M1M2_PR
NEW met1 ( 1179120 254375 ) M1M2_PR
NEW met2 ( 729840 1081510 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[14\] ( storage mgmt_wdata[14] ) ( soc mgmt_wdata[14] )
+ ROUTED met2 ( 798960 431235 ) ( 798960 1090205 )
NEW met2 ( 994800 426610 ) ( 994800 431235 )
NEW met3 ( 994800 426610 ) ( 1022880 426610 0 )
NEW met1 ( 798960 431235 ) ( 994800 431235 )
NEW met2 ( 720240 1090205 ) ( 720240 1090390 )
NEW met3 ( 704160 1090390 0 ) ( 720240 1090390 )
NEW met1 ( 720240 1090205 ) ( 798960 1090205 )
NEW met1 ( 798960 431235 ) M1M2_PR
NEW met1 ( 798960 1090205 ) M1M2_PR
NEW met1 ( 994800 431235 ) M1M2_PR
NEW met2 ( 994800 426610 ) via2_FR
NEW met1 ( 720240 1090205 ) M1M2_PR
NEW met2 ( 720240 1090390 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[15\] ( storage mgmt_wdata[15] ) ( soc mgmt_wdata[15] )
+ ROUTED met2 ( 1182000 256225 ) ( 1182000 268990 0 )
NEW met3 ( 704160 1096310 0 ) ( 729360 1096310 )
NEW met2 ( 729360 256225 ) ( 729360 1096310 )
NEW met1 ( 729360 256225 ) ( 1182000 256225 )
NEW met1 ( 1182000 256225 ) M1M2_PR
NEW met1 ( 729360 256225 ) M1M2_PR
NEW met2 ( 729360 1096310 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[16\] ( storage mgmt_wdata[16] ) ( soc mgmt_wdata[16] )
+ ROUTED met2 ( 827760 430495 ) ( 827760 1094645 )
NEW met2 ( 993840 430310 ) ( 993840 430495 )
NEW met3 ( 993840 430310 ) ( 1023840 430310 )
NEW met3 ( 1023840 429570 0 ) ( 1023840 430310 )
NEW met1 ( 827760 430495 ) ( 993840 430495 )
NEW met3 ( 704160 1100010 ) ( 704160 1102550 0 )
NEW met3 ( 704160 1100010 ) ( 720240 1100010 )
NEW met2 ( 720240 1094645 ) ( 720240 1100010 )
NEW met1 ( 720240 1094645 ) ( 827760 1094645 )
NEW met1 ( 827760 430495 ) M1M2_PR
NEW met1 ( 827760 1094645 ) M1M2_PR
NEW met1 ( 993840 430495 ) M1M2_PR
NEW met2 ( 993840 430310 ) via2_FR
NEW met2 ( 720240 1100010 ) via2_FR
NEW met1 ( 720240 1094645 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[17\] ( storage mgmt_wdata[17] ) ( soc mgmt_wdata[17] )
+ ROUTED met2 ( 1183920 239390 ) ( 1183920 268990 0 )
NEW met2 ( 748080 239390 ) ( 748080 1095015 )
NEW met3 ( 704160 1106670 ) ( 704160 1107780 0 )
NEW met3 ( 704160 1106670 ) ( 720720 1106670 )
NEW met2 ( 720720 1095015 ) ( 720720 1106670 )
NEW met1 ( 720720 1095015 ) ( 748080 1095015 )
NEW met3 ( 748080 239390 ) ( 1183920 239390 )
NEW met2 ( 1183920 239390 ) via2_FR
NEW met2 ( 748080 239390 ) via2_FR
NEW met1 ( 748080 1095015 ) M1M2_PR
NEW met2 ( 720720 1106670 ) via2_FR
NEW met1 ( 720720 1095015 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[18\] ( storage mgmt_wdata[18] ) ( soc mgmt_wdata[18] )
+ ROUTED met2 ( 1185840 256595 ) ( 1185840 268990 0 )
NEW met3 ( 704160 1114070 0 ) ( 728880 1114070 )
NEW met2 ( 728880 256595 ) ( 728880 1114070 )
NEW met1 ( 728880 256595 ) ( 1185840 256595 )
NEW met1 ( 1185840 256595 ) M1M2_PR
NEW met1 ( 728880 256595 ) M1M2_PR
NEW met2 ( 728880 1114070 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[19\] ( storage mgmt_wdata[19] ) ( soc mgmt_wdata[19] )
+ ROUTED met2 ( 856560 430125 ) ( 856560 1109075 )
NEW met2 ( 994320 430125 ) ( 994320 431050 )
NEW met3 ( 994320 431050 ) ( 1023840 431050 )
NEW met3 ( 1023840 431050 ) ( 1023840 431790 0 )
NEW met1 ( 856560 430125 ) ( 994320 430125 )
NEW met2 ( 720240 1109075 ) ( 720240 1119990 )
NEW met3 ( 704160 1119990 0 ) ( 720240 1119990 )
NEW met1 ( 720240 1109075 ) ( 856560 1109075 )
NEW met1 ( 856560 430125 ) M1M2_PR
NEW met1 ( 856560 1109075 ) M1M2_PR
NEW met1 ( 994320 430125 ) M1M2_PR
NEW met2 ( 994320 431050 ) via2_FR
NEW met1 ( 720240 1109075 ) M1M2_PR
NEW met2 ( 720240 1119990 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[1\] ( storage mgmt_wdata[1] ) ( soc mgmt_wdata[1] )
+ ROUTED met2 ( 1187760 255855 ) ( 1187760 268990 0 )
NEW met3 ( 704160 1011950 0 ) ( 732240 1011950 )
NEW met2 ( 732240 255855 ) ( 732240 1011950 )
NEW met1 ( 732240 255855 ) ( 1187760 255855 )
NEW met1 ( 1187760 255855 ) M1M2_PR
NEW met1 ( 732240 255855 ) M1M2_PR
NEW met2 ( 732240 1011950 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[20\] ( storage mgmt_wdata[20] ) ( soc mgmt_wdata[20] )
+ ROUTED met2 ( 870960 445665 ) ( 870960 1123505 )
NEW met2 ( 995280 434750 ) ( 995280 445665 )
NEW met3 ( 995280 434750 ) ( 1022880 434750 0 )
NEW met1 ( 870960 445665 ) ( 995280 445665 )
NEW met3 ( 704160 1125170 ) ( 704160 1126280 0 )
NEW met3 ( 704160 1125170 ) ( 720240 1125170 )
NEW met2 ( 720240 1123505 ) ( 720240 1125170 )
NEW met1 ( 720240 1123505 ) ( 870960 1123505 )
NEW met1 ( 870960 445665 ) M1M2_PR
NEW met1 ( 870960 1123505 ) M1M2_PR
NEW met1 ( 995280 445665 ) M1M2_PR
NEW met2 ( 995280 434750 ) via2_FR
NEW met2 ( 720240 1125170 ) via2_FR
NEW met1 ( 720240 1123505 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[21\] ( storage mgmt_wdata[21] ) ( soc mgmt_wdata[21] )
+ ROUTED met2 ( 1188240 245865 ) ( 1188240 268990 )
NEW met2 ( 1188240 268990 ) ( 1189440 268990 0 )
NEW met3 ( 704160 1129610 ) ( 728400 1129610 )
NEW met3 ( 704160 1129610 ) ( 704160 1132200 0 )
NEW met2 ( 728400 245865 ) ( 728400 1129610 )
NEW met1 ( 728400 245865 ) ( 1188240 245865 )
NEW met1 ( 1188240 245865 ) M1M2_PR
NEW met1 ( 728400 245865 ) M1M2_PR
NEW met2 ( 728400 1129610 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[22\] ( storage mgmt_wdata[22] ) ( soc mgmt_wdata[22] )
+ ROUTED met2 ( 1190160 256965 ) ( 1190160 268990 )
NEW met2 ( 1190160 268990 ) ( 1191360 268990 0 )
NEW met3 ( 704160 1138490 0 ) ( 727920 1138490 )
NEW met2 ( 727920 256965 ) ( 727920 1138490 )
NEW met1 ( 727920 256965 ) ( 1190160 256965 )
NEW met1 ( 1190160 256965 ) M1M2_PR
NEW met1 ( 727920 256965 ) M1M2_PR
NEW met2 ( 727920 1138490 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[23\] ( storage mgmt_wdata[23] ) ( soc mgmt_wdata[23] )
+ ROUTED met2 ( 994320 439190 ) ( 994320 445295 )
NEW met3 ( 994320 439190 ) ( 1023840 439190 )
NEW met3 ( 1023840 437710 0 ) ( 1023840 439190 )
NEW met1 ( 885360 445295 ) ( 994320 445295 )
NEW met2 ( 720240 1137935 ) ( 720240 1144410 )
NEW met3 ( 704160 1144410 0 ) ( 720240 1144410 )
NEW met1 ( 720240 1137935 ) ( 885360 1137935 )
NEW met2 ( 885360 445295 ) ( 885360 1137935 )
NEW met1 ( 885360 445295 ) M1M2_PR
NEW met1 ( 994320 445295 ) M1M2_PR
NEW met2 ( 994320 439190 ) via2_FR
NEW met1 ( 720240 1137935 ) M1M2_PR
NEW met2 ( 720240 1144410 ) via2_FR
NEW met1 ( 885360 1137935 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[24\] ( storage mgmt_wdata[24] ) ( soc mgmt_wdata[24] )
+ ROUTED met2 ( 1193040 240130 ) ( 1193040 268990 0 )
NEW met2 ( 747120 240130 ) ( 747120 1138305 )
NEW met3 ( 704160 1147370 ) ( 704160 1149960 0 )
NEW met3 ( 704160 1147370 ) ( 720720 1147370 )
NEW met2 ( 720720 1138305 ) ( 720720 1147370 )
NEW met1 ( 720720 1138305 ) ( 747120 1138305 )
NEW met3 ( 747120 240130 ) ( 1193040 240130 )
NEW met2 ( 1193040 240130 ) via2_FR
NEW met2 ( 747120 240130 ) via2_FR
NEW met1 ( 747120 1138305 ) M1M2_PR
NEW met2 ( 720720 1147370 ) via2_FR
NEW met1 ( 720720 1138305 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[25\] ( storage mgmt_wdata[25] ) ( soc mgmt_wdata[25] )
+ ROUTED met1 ( 971760 444555 ) ( 996240 444555 )
NEW met2 ( 996240 442150 ) ( 996240 444555 )
NEW met3 ( 996240 442150 ) ( 1022880 442150 )
NEW met3 ( 1022880 439930 0 ) ( 1022880 442150 )
NEW met2 ( 971760 444555 ) ( 971760 1152365 )
NEW met2 ( 720240 1152365 ) ( 720240 1156250 )
NEW met3 ( 704160 1156250 0 ) ( 720240 1156250 )
NEW met1 ( 720240 1152365 ) ( 971760 1152365 )
NEW met1 ( 971760 1152365 ) M1M2_PR
NEW met1 ( 971760 444555 ) M1M2_PR
NEW met1 ( 996240 444555 ) M1M2_PR
NEW met2 ( 996240 442150 ) via2_FR
NEW met1 ( 720240 1152365 ) M1M2_PR
NEW met2 ( 720240 1156250 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[26\] ( storage mgmt_wdata[26] ) ( soc mgmt_wdata[26] )
+ ROUTED met2 ( 1194960 246605 ) ( 1194960 268990 0 )
NEW met3 ( 704160 1162170 0 ) ( 727440 1162170 )
NEW met2 ( 727440 246605 ) ( 727440 1162170 )
NEW met1 ( 727440 246605 ) ( 1194960 246605 )
NEW met1 ( 1194960 246605 ) M1M2_PR
NEW met1 ( 727440 246605 ) M1M2_PR
NEW met2 ( 727440 1162170 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[27\] ( storage mgmt_wdata[27] ) ( soc mgmt_wdata[27] )
+ ROUTED met2 ( 1196880 240870 ) ( 1196880 268990 0 )
NEW met2 ( 746160 240870 ) ( 746160 1166795 )
NEW met2 ( 720240 1166795 ) ( 720240 1167350 )
NEW met3 ( 704160 1167350 ) ( 720240 1167350 )
NEW met3 ( 704160 1167350 ) ( 704160 1168460 0 )
NEW met1 ( 720240 1166795 ) ( 746160 1166795 )
NEW met3 ( 746160 240870 ) ( 1196880 240870 )
NEW met2 ( 1196880 240870 ) via2_FR
NEW met2 ( 746160 240870 ) via2_FR
NEW met1 ( 746160 1166795 ) M1M2_PR
NEW met1 ( 720240 1166795 ) M1M2_PR
NEW met2 ( 720240 1167350 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[28\] ( storage mgmt_wdata[28] ) ( soc mgmt_wdata[28] )
+ ROUTED met2 ( 994800 442890 ) ( 994800 446035 )
NEW met3 ( 994800 442890 ) ( 1022880 442890 0 )
NEW met1 ( 842160 446035 ) ( 994800 446035 )
NEW met3 ( 704160 1171790 ) ( 704160 1174380 0 )
NEW met3 ( 704160 1171790 ) ( 720720 1171790 )
NEW met2 ( 720720 1166425 ) ( 720720 1171790 )
NEW met1 ( 720720 1166425 ) ( 842160 1166425 )
NEW met2 ( 842160 446035 ) ( 842160 1166425 )
NEW met1 ( 842160 446035 ) M1M2_PR
NEW met1 ( 994800 446035 ) M1M2_PR
NEW met2 ( 994800 442890 ) via2_FR
NEW met2 ( 720720 1171790 ) via2_FR
NEW met1 ( 720720 1166425 ) M1M2_PR
NEW met1 ( 842160 1166425 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[29\] ( storage mgmt_wdata[29] ) ( soc mgmt_wdata[29] )
+ ROUTED met2 ( 1198800 248085 ) ( 1198800 268990 0 )
NEW met3 ( 704160 1180670 0 ) ( 726960 1180670 )
NEW met2 ( 726960 248085 ) ( 726960 1180670 )
NEW met1 ( 726960 248085 ) ( 1198800 248085 )
NEW met1 ( 1198800 248085 ) M1M2_PR
NEW met1 ( 726960 248085 ) M1M2_PR
NEW met2 ( 726960 1180670 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[2\] ( storage mgmt_wdata[2] ) ( soc mgmt_wdata[2] )
+ ROUTED met3 ( 704160 1017130 ) ( 704160 1018230 0 )
NEW met3 ( 704160 1017130 ) ( 725040 1017130 )
NEW met2 ( 725040 1008065 ) ( 725040 1017130 )
NEW met1 ( 725040 1008065 ) ( 899760 1008065 )
NEW met2 ( 899760 444925 ) ( 899760 1008065 )
NEW met2 ( 993840 444925 ) ( 993840 445110 )
NEW met3 ( 993840 445110 ) ( 1022880 445110 )
NEW met3 ( 1022880 445110 ) ( 1022880 445850 0 )
NEW met1 ( 899760 444925 ) ( 993840 444925 )
NEW met2 ( 725040 1017130 ) via2_FR
NEW met1 ( 725040 1008065 ) M1M2_PR
NEW met1 ( 899760 444925 ) M1M2_PR
NEW met1 ( 899760 1008065 ) M1M2_PR
NEW met1 ( 993840 444925 ) M1M2_PR
NEW met2 ( 993840 445110 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[30\] ( storage mgmt_wdata[30] ) ( soc mgmt_wdata[30] )
+ ROUTED met2 ( 1199280 255670 ) ( 1199280 268990 )
NEW met2 ( 1199280 268990 ) ( 1200480 268990 0 )
NEW met3 ( 704160 1183630 ) ( 730080 1183630 )
NEW met3 ( 704160 1183630 ) ( 704160 1186190 0 )
NEW met4 ( 730080 255670 ) ( 730080 1183630 )
NEW met3 ( 730080 255670 ) ( 1199280 255670 )
NEW met2 ( 1199280 255670 ) via2_FR
NEW met3 ( 730080 255670 ) M3M4_PR_M
NEW met3 ( 730080 1183630 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wdata\[31\] ( storage mgmt_wdata[31] ) ( soc mgmt_wdata[31] )
+ ROUTED met2 ( 1201200 237910 ) ( 1201200 268990 )
NEW met2 ( 1201200 268990 ) ( 1202400 268990 0 )
NEW met2 ( 744240 237910 ) ( 744240 1182705 )
NEW met3 ( 704160 1189550 ) ( 704160 1192140 0 )
NEW met3 ( 704160 1189550 ) ( 720240 1189550 )
NEW met2 ( 720240 1182705 ) ( 720240 1189550 )
NEW met1 ( 720240 1182705 ) ( 744240 1182705 )
NEW met3 ( 744240 237910 ) ( 1201200 237910 )
NEW met2 ( 1201200 237910 ) via2_FR
NEW met2 ( 744240 237910 ) via2_FR
NEW met1 ( 744240 1182705 ) M1M2_PR
NEW met2 ( 720240 1189550 ) via2_FR
NEW met1 ( 720240 1182705 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[3\] ( storage mgmt_wdata[3] ) ( soc mgmt_wdata[3] )
+ ROUTED met3 ( 704160 1024530 0 ) ( 734160 1024530 )
NEW met2 ( 734160 1022495 ) ( 734160 1024530 )
NEW met1 ( 734160 1022495 ) ( 914160 1022495 )
NEW met2 ( 914160 458985 ) ( 914160 1022495 )
NEW met2 ( 994800 450290 ) ( 994800 458985 )
NEW met3 ( 994800 450290 ) ( 1022880 450290 )
NEW met3 ( 1022880 448070 0 ) ( 1022880 450290 )
NEW met1 ( 914160 458985 ) ( 994800 458985 )
NEW met2 ( 734160 1024530 ) via2_FR
NEW met1 ( 734160 1022495 ) M1M2_PR
NEW met1 ( 914160 458985 ) M1M2_PR
NEW met1 ( 914160 1022495 ) M1M2_PR
NEW met1 ( 994800 458985 ) M1M2_PR
NEW met2 ( 994800 450290 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[4\] ( storage mgmt_wdata[4] ) ( soc mgmt_wdata[4] )
+ ROUTED met2 ( 1204080 246235 ) ( 1204080 268990 0 )
NEW met3 ( 704160 1030450 0 ) ( 731760 1030450 )
NEW met2 ( 731760 246235 ) ( 731760 1030450 )
NEW met1 ( 731760 246235 ) ( 1204080 246235 )
NEW met1 ( 1204080 246235 ) M1M2_PR
NEW met1 ( 731760 246235 ) M1M2_PR
NEW met2 ( 731760 1030450 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[5\] ( storage mgmt_wdata[5] ) ( soc mgmt_wdata[5] )
+ ROUTED met2 ( 784560 460095 ) ( 784560 1025825 )
NEW met2 ( 734160 1025825 ) ( 734160 1035630 )
NEW met3 ( 704160 1035630 0 ) ( 734160 1035630 )
NEW met1 ( 734160 1025825 ) ( 784560 1025825 )
NEW met2 ( 995280 451030 ) ( 995280 460095 )
NEW met3 ( 995280 451030 ) ( 1022880 451030 0 )
NEW met1 ( 784560 460095 ) ( 995280 460095 )
NEW met1 ( 784560 460095 ) M1M2_PR
NEW met1 ( 784560 1025825 ) M1M2_PR
NEW met1 ( 734160 1025825 ) M1M2_PR
NEW met2 ( 734160 1035630 ) via2_FR
NEW met1 ( 995280 460095 ) M1M2_PR
NEW met2 ( 995280 451030 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[6\] ( storage mgmt_wdata[6] ) ( soc mgmt_wdata[6] )
+ ROUTED met2 ( 1206000 247345 ) ( 1206000 268990 0 )
NEW met3 ( 704160 1039330 ) ( 731280 1039330 )
NEW met3 ( 704160 1039330 ) ( 704160 1041920 0 )
NEW met2 ( 731280 247345 ) ( 731280 1039330 )
NEW met1 ( 731280 247345 ) ( 1206000 247345 )
NEW met1 ( 1206000 247345 ) M1M2_PR
NEW met1 ( 731280 247345 ) M1M2_PR
NEW met2 ( 731280 1039330 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[7\] ( storage mgmt_wdata[7] ) ( soc mgmt_wdata[7] )
+ ROUTED met2 ( 1207920 238650 ) ( 1207920 268990 0 )
NEW met2 ( 740400 238650 ) ( 740400 1036925 )
NEW met3 ( 704160 1045250 ) ( 704160 1048150 0 )
NEW met3 ( 704160 1045250 ) ( 720240 1045250 )
NEW met2 ( 720240 1036925 ) ( 720240 1045250 )
NEW met1 ( 720240 1036925 ) ( 740400 1036925 )
NEW met3 ( 740400 238650 ) ( 1207920 238650 )
NEW met2 ( 1207920 238650 ) via2_FR
NEW met2 ( 740400 238650 ) via2_FR
NEW met1 ( 740400 1036925 ) M1M2_PR
NEW met2 ( 720240 1045250 ) via2_FR
NEW met1 ( 720240 1036925 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wdata\[8\] ( storage mgmt_wdata[8] ) ( soc mgmt_wdata[8] )
+ ROUTED met2 ( 928560 459355 ) ( 928560 1051355 )
NEW met2 ( 994320 455470 ) ( 994320 459355 )
NEW met3 ( 994320 455470 ) ( 1022880 455470 )
NEW met3 ( 1022880 453990 0 ) ( 1022880 455470 )
NEW met1 ( 928560 459355 ) ( 994320 459355 )
NEW met2 ( 720240 1051355 ) ( 720240 1054130 )
NEW met3 ( 704160 1054130 0 ) ( 720240 1054130 )
NEW met1 ( 720240 1051355 ) ( 928560 1051355 )
NEW met1 ( 928560 459355 ) M1M2_PR
NEW met1 ( 928560 1051355 ) M1M2_PR
NEW met1 ( 994320 459355 ) M1M2_PR
NEW met2 ( 994320 455470 ) via2_FR
NEW met1 ( 720240 1051355 ) M1M2_PR
NEW met2 ( 720240 1054130 ) via2_FR
+ USE SIGNAL ;
- mgmt_wdata\[9\] ( storage mgmt_wdata[9] ) ( soc mgmt_wdata[9] )
+ ROUTED met2 ( 1209840 248455 ) ( 1209840 268990 0 )
NEW met3 ( 704160 1057830 ) ( 730800 1057830 )
NEW met3 ( 704160 1057830 ) ( 704160 1060390 0 )
NEW met2 ( 730800 248455 ) ( 730800 1057830 )
NEW met1 ( 730800 248455 ) ( 1209840 248455 )
NEW met1 ( 1209840 248455 ) M1M2_PR
NEW met1 ( 730800 248455 ) M1M2_PR
NEW met2 ( 730800 1057830 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen\[0\] ( storage mgmt_wen[0] ) ( soc mgmt_wen[0] )
+ ROUTED met1 ( 942960 459725 ) ( 995760 459725 )
NEW met2 ( 995760 458430 ) ( 995760 459725 )
NEW met3 ( 995760 458430 ) ( 1022880 458430 )
NEW met3 ( 1022880 456580 0 ) ( 1022880 458430 )
NEW met2 ( 942960 459725 ) ( 942960 1195285 )
NEW met2 ( 720240 1195285 ) ( 720240 1195470 )
NEW met3 ( 704160 1195470 ) ( 720240 1195470 )
NEW met3 ( 704160 1195470 ) ( 704160 1198290 0 )
NEW met1 ( 720240 1195285 ) ( 942960 1195285 )
NEW met1 ( 942960 1195285 ) M1M2_PR
NEW met1 ( 942960 459725 ) M1M2_PR
NEW met1 ( 995760 459725 ) M1M2_PR
NEW met2 ( 995760 458430 ) via2_FR
NEW met1 ( 720240 1195285 ) M1M2_PR
NEW met2 ( 720240 1195470 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen\[1\] ( storage mgmt_wen[1] ) ( soc mgmt_wen[1] )
+ ROUTED met2 ( 1210320 254930 ) ( 1210320 268990 )
NEW met2 ( 1210320 268990 ) ( 1211520 268990 0 )
NEW met3 ( 704160 1204350 0 ) ( 729120 1204350 )
NEW met4 ( 729120 254930 ) ( 729120 1204350 )
NEW met3 ( 729120 254930 ) ( 1210320 254930 )
NEW met2 ( 1210320 254930 ) via2_FR
NEW met3 ( 729120 254930 ) M3M4_PR_M
NEW met3 ( 729120 1204350 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wen_mask\[0\] ( storage mgmt_wen_mask[0] ) ( soc mgmt_wen_mask[0] )
+ ROUTED met2 ( 1212240 241610 ) ( 1212240 268990 )
NEW met2 ( 1212240 268990 ) ( 1213440 268990 0 )
NEW met2 ( 743280 241610 ) ( 743280 1209715 )
NEW met2 ( 728880 1209715 ) ( 728880 1210270 )
NEW met3 ( 704160 1210270 ) ( 728880 1210270 )
NEW met3 ( 704160 1210270 ) ( 704160 1210640 0 )
NEW met1 ( 728880 1209715 ) ( 743280 1209715 )
NEW met3 ( 743280 241610 ) ( 1212240 241610 )
NEW met2 ( 1212240 241610 ) via2_FR
NEW met2 ( 743280 241610 ) via2_FR
NEW met1 ( 743280 1209715 ) M1M2_PR
NEW met1 ( 728880 1209715 ) M1M2_PR
NEW met2 ( 728880 1210270 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen_mask\[1\] ( storage mgmt_wen_mask[1] ) ( soc mgmt_wen_mask[1] )
+ ROUTED met2 ( 755760 460465 ) ( 755760 1210085 )
NEW met2 ( 993840 459170 ) ( 993840 460465 )
NEW met3 ( 993840 459170 ) ( 1022880 459170 0 )
NEW met1 ( 755760 460465 ) ( 993840 460465 )
NEW met3 ( 704160 1213970 ) ( 704160 1216560 0 )
NEW met3 ( 704160 1213970 ) ( 720240 1213970 )
NEW met2 ( 720240 1210085 ) ( 720240 1213970 )
NEW met1 ( 720240 1210085 ) ( 755760 1210085 )
NEW met1 ( 755760 460465 ) M1M2_PR
NEW met1 ( 755760 1210085 ) M1M2_PR
NEW met1 ( 993840 460465 ) M1M2_PR
NEW met2 ( 993840 459170 ) via2_FR
NEW met2 ( 720240 1213970 ) via2_FR
NEW met1 ( 720240 1210085 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[2\] ( storage mgmt_wen_mask[2] ) ( soc mgmt_wen_mask[2] )
+ ROUTED met2 ( 1215120 256410 ) ( 1215120 268990 0 )
NEW met3 ( 704160 1222110 0 ) ( 728160 1222110 )
NEW met4 ( 728160 256410 ) ( 728160 1222110 )
NEW met3 ( 728160 256410 ) ( 1215120 256410 )
NEW met2 ( 1215120 256410 ) via2_FR
NEW met3 ( 728160 256410 ) M3M4_PR_M
NEW met3 ( 728160 1222110 ) M3M4_PR_M
+ USE SIGNAL ;
- mgmt_wen_mask\[3\] ( storage mgmt_wen_mask[3] ) ( soc mgmt_wen_mask[3] )
+ ROUTED met1 ( 986160 474895 ) ( 993840 474895 )
NEW met2 ( 993840 462130 ) ( 993840 474895 )
NEW met3 ( 993840 462130 ) ( 1022880 462130 0 )
NEW met2 ( 986160 474895 ) ( 986160 1224145 )
NEW met3 ( 704160 1226550 ) ( 704160 1228350 0 )
NEW met3 ( 704160 1226550 ) ( 720240 1226550 )
NEW met2 ( 720240 1224145 ) ( 720240 1226550 )
NEW met1 ( 720240 1224145 ) ( 986160 1224145 )
NEW met1 ( 986160 1224145 ) M1M2_PR
NEW met1 ( 986160 474895 ) M1M2_PR
NEW met1 ( 993840 474895 ) M1M2_PR
NEW met2 ( 993840 462130 ) via2_FR
NEW met2 ( 720240 1226550 ) via2_FR
NEW met1 ( 720240 1224145 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[4\] ( storage mgmt_wen_mask[4] ) ( soc mgmt_wen_mask[4] )
+ ROUTED met2 ( 1217040 242350 ) ( 1217040 268990 0 )
NEW met2 ( 742320 242350 ) ( 742320 1224515 )
NEW met3 ( 704160 1231730 ) ( 704160 1234320 0 )
NEW met3 ( 704160 1231730 ) ( 720720 1231730 )
NEW met2 ( 720720 1224515 ) ( 720720 1231730 )
NEW met1 ( 720720 1224515 ) ( 742320 1224515 )
NEW met3 ( 742320 242350 ) ( 1217040 242350 )
NEW met2 ( 1217040 242350 ) via2_FR
NEW met2 ( 742320 242350 ) via2_FR
NEW met1 ( 742320 1224515 ) M1M2_PR
NEW met2 ( 720720 1231730 ) via2_FR
NEW met1 ( 720720 1224515 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[5\] ( storage mgmt_wen_mask[5] ) ( soc mgmt_wen_mask[5] )
+ ROUTED met1 ( 957360 474525 ) ( 994800 474525 )
NEW met2 ( 994800 466570 ) ( 994800 474525 )
NEW met3 ( 994800 466570 ) ( 1022880 466570 )
NEW met3 ( 1022880 464720 0 ) ( 1022880 466570 )
NEW met2 ( 957360 474525 ) ( 957360 1238945 )
NEW met3 ( 704160 1240610 0 ) ( 720240 1240610 )
NEW met2 ( 720240 1238945 ) ( 720240 1240610 )
NEW met1 ( 720240 1238945 ) ( 957360 1238945 )
NEW met1 ( 957360 474525 ) M1M2_PR
NEW met1 ( 994800 474525 ) M1M2_PR
NEW met2 ( 994800 466570 ) via2_FR
NEW met1 ( 957360 1238945 ) M1M2_PR
NEW met2 ( 720240 1240610 ) via2_FR
NEW met1 ( 720240 1238945 ) M1M2_PR
+ USE SIGNAL ;
- mgmt_wen_mask\[6\] ( storage mgmt_wen_mask[6] ) ( soc mgmt_wen_mask[6] )
+ ROUTED met2 ( 1218960 243090 ) ( 1218960 268990 0 )
NEW met2 ( 741360 243090 ) ( 741360 1238575 )
NEW met2 ( 727440 1238575 ) ( 727440 1246530 )
NEW met3 ( 704160 1246530 0 ) ( 727440 1246530 )
NEW met1 ( 727440 1238575 ) ( 741360 1238575 )
NEW met3 ( 741360 243090 ) ( 1218960 243090 )
NEW met2 ( 1218960 243090 ) via2_FR
NEW met2 ( 741360 243090 ) via2_FR
NEW met1 ( 741360 1238575 ) M1M2_PR
NEW met1 ( 727440 1238575 ) M1M2_PR
NEW met2 ( 727440 1246530 ) via2_FR
+ USE SIGNAL ;
- mgmt_wen_mask\[7\] ( storage mgmt_wen_mask[7] ) ( soc mgmt_wen_mask[7] )
+ ROUTED met2 ( 1220880 254190 ) ( 1220880 268990 0 )
NEW met3 ( 704160 1253190 ) ( 727200 1253190 )
NEW met3 ( 704160 1252830 0 ) ( 704160 1253190 )
NEW met4 ( 727200 254190 ) ( 727200 1253190 )
NEW met3 ( 727200 254190 ) ( 1220880 254190 )
NEW met2 ( 1220880 254190 ) via2_FR
NEW met3 ( 727200 254190 ) M3M4_PR_M
NEW met3 ( 727200 1253190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj2_vcc_pwrgood ( soc mprj2_vcc_pwrgood ) ( mgmt_buffers user2_vcc_powergood )
+ ROUTED met2 ( 1793040 1117770 0 ) ( 1793040 1140525 )
NEW met2 ( 2175120 1130165 ) ( 2175120 1140525 )
NEW met1 ( 2175120 1130165 ) ( 2271600 1130165 )
NEW met2 ( 2271600 1130165 ) ( 2271600 1199170 0 )
NEW met1 ( 1793040 1140525 ) ( 2175120 1140525 )
NEW met1 ( 1793040 1140525 ) M1M2_PR
NEW met1 ( 2175120 1140525 ) M1M2_PR
NEW met1 ( 2175120 1130165 ) M1M2_PR
NEW met1 ( 2271600 1130165 ) M1M2_PR
+ USE SIGNAL ;
- mprj2_vdd_pwrgood ( soc mprj2_vdd_pwrgood ) ( mgmt_buffers user2_vdd_powergood )
+ ROUTED met2 ( 2273520 1150515 ) ( 2273520 1197690 )
NEW met2 ( 2273280 1197690 ) ( 2273520 1197690 )
NEW met2 ( 2273280 1197690 ) ( 2273280 1199170 0 )
NEW met1 ( 2273520 1150515 ) ( 2506800 1150515 )
NEW met2 ( 2506800 1117770 0 ) ( 2506800 1150515 )
NEW met1 ( 2273520 1150515 ) M1M2_PR
NEW met1 ( 2506800 1150515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_ack_i_core ( soc mprj_ack_i ) ( mprj wbs_ack_o )
+ ROUTED met2 ( 341040 1349205 ) ( 341040 1377510 0 )
NEW met1 ( 704400 1187885 ) ( 704880 1187885 )
NEW met1 ( 704880 1186775 ) ( 704880 1187885 )
NEW met2 ( 704880 1148665 ) ( 704880 1186775 )
NEW met2 ( 666480 1345135 ) ( 666480 1349205 )
NEW met1 ( 666480 1345135 ) ( 704400 1345135 )
NEW met1 ( 341040 1349205 ) ( 666480 1349205 )
NEW met1 ( 704880 1148665 ) ( 1044240 1148665 )
NEW met2 ( 704400 1187885 ) ( 704400 1345135 )
NEW met2 ( 1044240 1117770 0 ) ( 1044240 1148665 )
NEW met1 ( 341040 1349205 ) M1M2_PR
NEW met1 ( 704400 1187885 ) M1M2_PR
NEW met1 ( 704880 1186775 ) M1M2_PR
NEW met1 ( 704880 1148665 ) M1M2_PR
NEW met1 ( 666480 1349205 ) M1M2_PR
NEW met1 ( 666480 1345135 ) M1M2_PR
NEW met1 ( 704400 1345135 ) M1M2_PR
NEW met1 ( 1044240 1148665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[0\] ( soc mprj_adr_o[0] ) ( mgmt_buffers mprj_adr_o_core[0] )
+ ROUTED met2 ( 1424400 1184185 ) ( 1424400 1270025 )
NEW met1 ( 1424400 1184185 ) ( 1790640 1184185 )
NEW met2 ( 2101200 1263550 0 ) ( 2101200 1270025 )
NEW met1 ( 1424400 1270025 ) ( 2101200 1270025 )
NEW met2 ( 1791120 1117770 0 ) ( 1791120 1137565 )
NEW met2 ( 1790160 1137565 ) ( 1791120 1137565 )
NEW met2 ( 1790160 1137565 ) ( 1790160 1137935 )
NEW met2 ( 1790160 1137935 ) ( 1790640 1137935 )
NEW met2 ( 1790640 1137935 ) ( 1790640 1184185 )
NEW met1 ( 1424400 1184185 ) M1M2_PR
NEW met1 ( 1790640 1184185 ) M1M2_PR
NEW met1 ( 1424400 1270025 ) M1M2_PR
NEW met1 ( 2101200 1270025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[10\] ( soc mprj_adr_o[10] ) ( mgmt_buffers mprj_adr_o_core[10] )
+ ROUTED met1 ( 2215920 1150885 ) ( 2215920 1151255 )
NEW met2 ( 2508720 1117770 0 ) ( 2508720 1151255 )
NEW met1 ( 2215920 1151255 ) ( 2508720 1151255 )
NEW met1 ( 2166480 1183445 ) ( 2201040 1183445 )
NEW met2 ( 2201040 1150885 ) ( 2201040 1183445 )
NEW met2 ( 2166480 1183445 ) ( 2166480 1199170 0 )
NEW met1 ( 2201040 1150885 ) ( 2215920 1150885 )
NEW met1 ( 2508720 1151255 ) M1M2_PR
NEW met1 ( 2166480 1183445 ) M1M2_PR
NEW met1 ( 2201040 1183445 ) M1M2_PR
NEW met1 ( 2201040 1150885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[11\] ( soc mprj_adr_o[11] ) ( mgmt_buffers mprj_adr_o_core[11] )
+ ROUTED met1 ( 1419120 1224145 ) ( 1421520 1224145 )
NEW met2 ( 1419120 1140525 ) ( 1419120 1224145 )
NEW met2 ( 1421520 1224145 ) ( 1421520 1271135 )
NEW met2 ( 1789200 1117770 0 ) ( 1789200 1140525 )
NEW met1 ( 1419120 1140525 ) ( 1789200 1140525 )
NEW met2 ( 1491600 1269285 ) ( 1491600 1271135 )
NEW met1 ( 1421520 1271135 ) ( 1491600 1271135 )
NEW met2 ( 2103120 1263550 0 ) ( 2103120 1269285 )
NEW met1 ( 1491600 1269285 ) ( 2103120 1269285 )
NEW met1 ( 1421520 1224145 ) M1M2_PR
NEW met1 ( 1419120 1224145 ) M1M2_PR
NEW met1 ( 1419120 1140525 ) M1M2_PR
NEW met1 ( 1789200 1140525 ) M1M2_PR
NEW met1 ( 1421520 1271135 ) M1M2_PR
NEW met1 ( 1491600 1271135 ) M1M2_PR
NEW met1 ( 1491600 1269285 ) M1M2_PR
NEW met1 ( 2103120 1269285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[12\] ( soc mprj_adr_o[12] ) ( mgmt_buffers mprj_adr_o_core[12] )
+ ROUTED met2 ( 2168400 1147185 ) ( 2168400 1199170 0 )
NEW met2 ( 2397360 1146075 ) ( 2397360 1147185 )
NEW met1 ( 2168400 1147185 ) ( 2397360 1147185 )
NEW met1 ( 2397360 1146075 ) ( 2510640 1146075 )
NEW met2 ( 2510640 1117770 0 ) ( 2510640 1146075 )
NEW met1 ( 2168400 1147185 ) M1M2_PR
NEW met1 ( 2397360 1147185 ) M1M2_PR
NEW met1 ( 2397360 1146075 ) M1M2_PR
NEW met1 ( 2510640 1146075 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[13\] ( soc mprj_adr_o[13] ) ( mgmt_buffers mprj_adr_o_core[13] )
+ ROUTED met1 ( 1787760 1137935 ) ( 1830000 1137935 )
NEW met1 ( 1830000 1137565 ) ( 1837200 1137565 )
NEW met2 ( 1787520 1117770 0 ) ( 1787520 1119250 )
NEW met2 ( 1787520 1119250 ) ( 1787760 1119250 )
NEW met2 ( 1787760 1119250 ) ( 1787760 1137935 )
NEW met1 ( 1830000 1137565 ) ( 1830000 1137935 )
NEW met1 ( 1837200 1137565 ) ( 1837200 1137935 )
NEW met3 ( 2176080 1137010 ) ( 2216160 1137010 )
NEW met2 ( 2176080 1137010 ) ( 2176080 1139785 )
NEW met4 ( 2216160 1137010 ) ( 2216160 1295370 )
NEW met2 ( 1893360 1137935 ) ( 1893360 1139785 )
NEW met1 ( 1837200 1137935 ) ( 1893360 1137935 )
NEW met1 ( 1893360 1139785 ) ( 2176080 1139785 )
NEW met2 ( 2105040 1263550 0 ) ( 2105040 1295370 )
NEW met3 ( 2105040 1295370 ) ( 2216160 1295370 )
NEW met1 ( 1787760 1137935 ) M1M2_PR
NEW met1 ( 2176080 1139785 ) M1M2_PR
NEW met2 ( 2176080 1137010 ) via2_FR
NEW met3 ( 2216160 1137010 ) M3M4_PR_M
NEW met3 ( 2216160 1295370 ) M3M4_PR_M
NEW met1 ( 1893360 1137935 ) M1M2_PR
NEW met1 ( 1893360 1139785 ) M1M2_PR
NEW met2 ( 2105040 1295370 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[14\] ( soc mprj_adr_o[14] ) ( mgmt_buffers mprj_adr_o_core[14] )
+ ROUTED met1 ( 2187120 1138305 ) ( 2187120 1138675 )
NEW met1 ( 2178960 1138305 ) ( 2187120 1138305 )
NEW met2 ( 2178960 1138305 ) ( 2178960 1138490 )
NEW met2 ( 2178000 1138490 ) ( 2178960 1138490 )
NEW met2 ( 2178000 1138490 ) ( 2178000 1138675 )
NEW met1 ( 2170320 1138675 ) ( 2178000 1138675 )
NEW met2 ( 2170320 1138675 ) ( 2170320 1199170 0 )
NEW met1 ( 2187120 1138675 ) ( 2512560 1138675 )
NEW met2 ( 2512560 1117770 0 ) ( 2512560 1138675 )
NEW met1 ( 2178960 1138305 ) M1M2_PR
NEW met1 ( 2178000 1138675 ) M1M2_PR
NEW met1 ( 2170320 1138675 ) M1M2_PR
NEW met1 ( 2512560 1138675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[15\] ( soc mprj_adr_o[15] ) ( mgmt_buffers mprj_adr_o_core[15] )
+ ROUTED met2 ( 1436400 1182335 ) ( 1436400 1270765 )
NEW met2 ( 2106720 1263550 0 ) ( 2106960 1263550 )
NEW met2 ( 2106960 1263550 ) ( 2106960 1270765 )
NEW met1 ( 1436400 1270765 ) ( 2106960 1270765 )
NEW met2 ( 1785600 1117770 0 ) ( 1785600 1119250 )
NEW met2 ( 1785600 1119250 ) ( 1786320 1119250 )
NEW met2 ( 1786320 1119250 ) ( 1786320 1140710 )
NEW met2 ( 1786320 1140710 ) ( 1787280 1140710 )
NEW met2 ( 1787280 1140710 ) ( 1787280 1182335 )
NEW met1 ( 1436400 1182335 ) ( 1787280 1182335 )
NEW met1 ( 1436400 1182335 ) M1M2_PR
NEW met1 ( 1787280 1182335 ) M1M2_PR
NEW met1 ( 1436400 1270765 ) M1M2_PR
NEW met1 ( 2106960 1270765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[16\] ( soc mprj_adr_o[16] ) ( mgmt_buffers mprj_adr_o_core[16] )
+ ROUTED met2 ( 2232240 1149405 ) ( 2232240 1173085 )
NEW met1 ( 2218320 1173085 ) ( 2232240 1173085 )
NEW met2 ( 2218320 1173085 ) ( 2218320 1180115 )
NEW met1 ( 2172240 1180115 ) ( 2218320 1180115 )
NEW met2 ( 2172240 1180115 ) ( 2172240 1199170 0 )
NEW met1 ( 2232240 1149405 ) ( 2514000 1149405 )
NEW met2 ( 2514240 1117770 0 ) ( 2514240 1119250 )
NEW met2 ( 2514000 1119250 ) ( 2514240 1119250 )
NEW met2 ( 2514000 1119250 ) ( 2514000 1149405 )
NEW met1 ( 2232240 1149405 ) M1M2_PR
NEW met1 ( 2232240 1173085 ) M1M2_PR
NEW met1 ( 2218320 1173085 ) M1M2_PR
NEW met1 ( 2218320 1180115 ) M1M2_PR
NEW met1 ( 2172240 1180115 ) M1M2_PR
NEW met1 ( 2514000 1149405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[17\] ( soc mprj_adr_o[17] ) ( mgmt_buffers mprj_adr_o_core[17] )
+ ROUTED met2 ( 1783920 1117770 0 ) ( 1783920 1154955 )
NEW met2 ( 2158800 1123690 ) ( 2158800 1128130 )
NEW met3 ( 2158800 1123690 ) ( 2243040 1123690 )
NEW met4 ( 2243040 1123690 ) ( 2243040 1279090 )
NEW met1 ( 1783920 1154955 ) ( 2136720 1154955 )
NEW met2 ( 2136720 1128130 ) ( 2136720 1154955 )
NEW met3 ( 2136720 1128130 ) ( 2158800 1128130 )
NEW met2 ( 2108640 1263550 0 ) ( 2108640 1264290 )
NEW met2 ( 2108640 1264290 ) ( 2108880 1264290 )
NEW met2 ( 2108880 1264290 ) ( 2108880 1279090 )
NEW met3 ( 2108880 1279090 ) ( 2243040 1279090 )
NEW met1 ( 1783920 1154955 ) M1M2_PR
NEW met2 ( 2158800 1128130 ) via2_FR
NEW met2 ( 2158800 1123690 ) via2_FR
NEW met3 ( 2243040 1123690 ) M3M4_PR_M
NEW met3 ( 2243040 1279090 ) M3M4_PR_M
NEW met1 ( 2136720 1154955 ) M1M2_PR
NEW met2 ( 2136720 1128130 ) via2_FR
NEW met2 ( 2108880 1279090 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[18\] ( soc mprj_adr_o[18] ) ( mgmt_buffers mprj_adr_o_core[18] )
+ ROUTED met2 ( 2173920 1197690 ) ( 2174160 1197690 )
NEW met2 ( 2173920 1197690 ) ( 2173920 1199170 0 )
NEW met1 ( 2267760 1150515 ) ( 2267760 1150885 )
NEW met2 ( 2516160 1117770 0 ) ( 2516160 1119065 )
NEW met1 ( 2419440 1119065 ) ( 2516160 1119065 )
NEW met2 ( 2419440 1119065 ) ( 2419440 1150885 )
NEW met1 ( 2267760 1150885 ) ( 2419440 1150885 )
NEW met1 ( 2174160 1150515 ) ( 2196240 1150515 )
NEW met1 ( 2196240 1150515 ) ( 2196240 1150885 )
NEW met1 ( 2196240 1150885 ) ( 2199120 1150885 )
NEW met1 ( 2199120 1150515 ) ( 2199120 1150885 )
NEW met2 ( 2174160 1150515 ) ( 2174160 1197690 )
NEW met1 ( 2199120 1150515 ) ( 2267760 1150515 )
NEW met1 ( 2516160 1119065 ) M1M2_PR
NEW met1 ( 2419440 1119065 ) M1M2_PR
NEW met1 ( 2419440 1150885 ) M1M2_PR
NEW met1 ( 2174160 1150515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[19\] ( soc mprj_adr_o[19] ) ( mgmt_buffers mprj_adr_o_core[19] )
+ ROUTED met2 ( 1781520 1176230 ) ( 1781520 1185295 )
NEW met2 ( 1781520 1176230 ) ( 1782000 1176230 )
NEW met2 ( 1436880 1187885 ) ( 1436880 1270395 )
NEW met2 ( 1782000 1117770 0 ) ( 1782000 1176230 )
NEW met2 ( 2110320 1263550 ) ( 2110560 1263550 0 )
NEW met2 ( 2110320 1263550 ) ( 2110320 1270395 )
NEW met1 ( 1436880 1270395 ) ( 2110320 1270395 )
NEW met1 ( 1640880 1187145 ) ( 1640880 1187885 )
NEW met1 ( 1640880 1187145 ) ( 1642320 1187145 )
NEW met1 ( 1642320 1185295 ) ( 1642320 1187145 )
NEW met1 ( 1436880 1187885 ) ( 1640880 1187885 )
NEW met1 ( 1642320 1185295 ) ( 1781520 1185295 )
NEW met1 ( 1436880 1187885 ) M1M2_PR
NEW met1 ( 1781520 1185295 ) M1M2_PR
NEW met1 ( 1436880 1270395 ) M1M2_PR
NEW met1 ( 2110320 1270395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[1\] ( soc mprj_adr_o[1] ) ( mgmt_buffers mprj_adr_o_core[1] )
+ ROUTED met2 ( 2188560 1159025 ) ( 2188560 1167905 )
NEW met1 ( 2176080 1167905 ) ( 2188560 1167905 )
NEW met2 ( 2176080 1167905 ) ( 2176080 1197690 )
NEW met2 ( 2175840 1197690 ) ( 2176080 1197690 )
NEW met2 ( 2175840 1197690 ) ( 2175840 1199170 0 )
NEW met1 ( 2188560 1159025 ) ( 2517840 1159025 )
NEW met2 ( 2517840 1117770 0 ) ( 2517840 1159025 )
NEW met1 ( 2188560 1159025 ) M1M2_PR
NEW met1 ( 2188560 1167905 ) M1M2_PR
NEW met1 ( 2176080 1167905 ) M1M2_PR
NEW met1 ( 2517840 1159025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[20\] ( soc mprj_adr_o[20] ) ( mgmt_buffers mprj_adr_o_core[20] )
+ ROUTED met2 ( 2112240 1263550 0 ) ( 2112240 1280755 )
NEW met2 ( 1482960 1181595 ) ( 1482960 1182150 )
NEW met3 ( 1462560 1182150 ) ( 1482960 1182150 )
NEW met3 ( 1462560 1266510 ) ( 1466160 1266510 )
NEW met2 ( 1466160 1266510 ) ( 1466160 1280385 )
NEW met1 ( 1466160 1280385 ) ( 1552560 1280385 )
NEW met1 ( 1552560 1280385 ) ( 1552560 1280755 )
NEW met4 ( 1462560 1182150 ) ( 1462560 1266510 )
NEW met1 ( 1552560 1280755 ) ( 2112240 1280755 )
NEW met1 ( 1728240 1181225 ) ( 1728240 1181595 )
NEW met2 ( 1728240 1154955 ) ( 1728240 1181225 )
NEW met1 ( 1728240 1154955 ) ( 1780080 1154955 )
NEW met1 ( 1482960 1181595 ) ( 1728240 1181595 )
NEW met2 ( 1780080 1117770 0 ) ( 1780080 1154955 )
NEW met1 ( 2112240 1280755 ) M1M2_PR
NEW met1 ( 1482960 1181595 ) M1M2_PR
NEW met2 ( 1482960 1182150 ) via2_FR
NEW met3 ( 1462560 1182150 ) M3M4_PR_M
NEW met3 ( 1462560 1266510 ) M3M4_PR_M
NEW met2 ( 1466160 1266510 ) via2_FR
NEW met1 ( 1466160 1280385 ) M1M2_PR
NEW met1 ( 1728240 1181225 ) M1M2_PR
NEW met1 ( 1728240 1154955 ) M1M2_PR
NEW met1 ( 1780080 1154955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[21\] ( soc mprj_adr_o[21] ) ( mgmt_buffers mprj_adr_o_core[21] )
+ ROUTED met2 ( 2177520 1162910 ) ( 2177520 1199170 0 )
NEW met3 ( 2177520 1162910 ) ( 2519760 1162910 )
NEW met2 ( 2519760 1117770 0 ) ( 2519760 1162910 )
NEW met2 ( 2177520 1162910 ) via2_FR
NEW met2 ( 2519760 1162910 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[22\] ( soc mprj_adr_o[22] ) ( mgmt_buffers mprj_adr_o_core[22] )
+ ROUTED met1 ( 1760400 1183445 ) ( 1760400 1183815 )
NEW met1 ( 1760400 1183445 ) ( 1777680 1183445 )
NEW met2 ( 1425360 1193065 ) ( 1425360 1268545 )
NEW met2 ( 1778160 1117770 0 ) ( 1778160 1125910 )
NEW met2 ( 1777680 1125910 ) ( 1778160 1125910 )
NEW met2 ( 1777680 1125910 ) ( 1777680 1183445 )
NEW met2 ( 1686000 1190475 ) ( 1686000 1193065 )
NEW met1 ( 1686000 1190475 ) ( 1705680 1190475 )
NEW met2 ( 1705680 1183815 ) ( 1705680 1190475 )
NEW met1 ( 1425360 1193065 ) ( 1686000 1193065 )
NEW met1 ( 1705680 1183815 ) ( 1760400 1183815 )
NEW met2 ( 2114160 1263550 0 ) ( 2114160 1268545 )
NEW met1 ( 1425360 1268545 ) ( 2114160 1268545 )
NEW met1 ( 1425360 1193065 ) M1M2_PR
NEW met1 ( 1777680 1183445 ) M1M2_PR
NEW met1 ( 1425360 1268545 ) M1M2_PR
NEW met1 ( 1686000 1193065 ) M1M2_PR
NEW met1 ( 1686000 1190475 ) M1M2_PR
NEW met1 ( 1705680 1190475 ) M1M2_PR
NEW met1 ( 1705680 1183815 ) M1M2_PR
NEW met1 ( 2114160 1268545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[23\] ( soc mprj_adr_o[23] ) ( mgmt_buffers mprj_adr_o_core[23] )
+ ROUTED met2 ( 2179440 1159210 ) ( 2179440 1199170 0 )
NEW met3 ( 2179440 1159210 ) ( 2521680 1159210 )
NEW met2 ( 2521680 1117770 0 ) ( 2521680 1159210 )
NEW met2 ( 2179440 1159210 ) via2_FR
NEW met2 ( 2521680 1159210 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[24\] ( soc mprj_adr_o[24] ) ( mgmt_buffers mprj_adr_o_core[24] )
+ ROUTED met2 ( 1435920 1180855 ) ( 1435920 1269655 )
NEW met2 ( 1776480 1117770 0 ) ( 1776480 1119250 )
NEW met2 ( 1776240 1119250 ) ( 1776480 1119250 )
NEW met2 ( 1776240 1119250 ) ( 1776240 1180855 )
NEW met2 ( 2116080 1263550 0 ) ( 2116080 1269655 )
NEW met1 ( 1435920 1269655 ) ( 2116080 1269655 )
NEW met1 ( 1435920 1180855 ) ( 1776240 1180855 )
NEW met1 ( 1435920 1180855 ) M1M2_PR
NEW met1 ( 1776240 1180855 ) M1M2_PR
NEW met1 ( 1435920 1269655 ) M1M2_PR
NEW met1 ( 2116080 1269655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[25\] ( soc mprj_adr_o[25] ) ( mgmt_buffers mprj_adr_o_core[25] )
+ ROUTED met2 ( 2181360 1167535 ) ( 2181360 1199170 0 )
NEW met2 ( 2523600 1117770 0 ) ( 2523600 1148665 )
NEW met2 ( 2268240 1148665 ) ( 2268240 1167535 )
NEW met1 ( 2181360 1167535 ) ( 2268240 1167535 )
NEW met1 ( 2268240 1148665 ) ( 2523600 1148665 )
NEW met1 ( 2181360 1167535 ) M1M2_PR
NEW met1 ( 2523600 1148665 ) M1M2_PR
NEW met1 ( 2268240 1167535 ) M1M2_PR
NEW met1 ( 2268240 1148665 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[26\] ( soc mprj_adr_o[26] ) ( mgmt_buffers mprj_adr_o_core[26] )
+ ROUTED met1 ( 1774800 1140155 ) ( 1804560 1140155 )
NEW met2 ( 1804560 1140155 ) ( 1804560 1140895 )
NEW met2 ( 1774560 1117770 0 ) ( 1774560 1119250 )
NEW met2 ( 1774560 1119250 ) ( 1774800 1119250 )
NEW met2 ( 1774800 1119250 ) ( 1774800 1140155 )
NEW met3 ( 2155920 1131090 ) ( 2191440 1131090 )
NEW met2 ( 2191440 1130350 ) ( 2191440 1131090 )
NEW met2 ( 2191440 1130350 ) ( 2192880 1130350 )
NEW met2 ( 2155920 1131090 ) ( 2155920 1140895 )
NEW met1 ( 2177040 1264845 ) ( 2177040 1265215 )
NEW met1 ( 1804560 1140895 ) ( 2155920 1140895 )
NEW met2 ( 2117760 1263550 0 ) ( 2118000 1263550 )
NEW met2 ( 2118000 1263550 ) ( 2118000 1265215 )
NEW met1 ( 2118000 1265215 ) ( 2177040 1265215 )
NEW met3 ( 2192880 1130350 ) ( 2268000 1130350 )
NEW met2 ( 2226960 1264845 ) ( 2226960 1265030 )
NEW met3 ( 2226960 1264290 ) ( 2226960 1265030 )
NEW met3 ( 2226960 1264290 ) ( 2268000 1264290 )
NEW met3 ( 2268000 1264290 ) ( 2268000 1265030 )
NEW met1 ( 2177040 1264845 ) ( 2226960 1264845 )
NEW met4 ( 2268000 1130350 ) ( 2268000 1265030 )
NEW met1 ( 1774800 1140155 ) M1M2_PR
NEW met1 ( 1804560 1140155 ) M1M2_PR
NEW met1 ( 1804560 1140895 ) M1M2_PR
NEW met1 ( 2155920 1140895 ) M1M2_PR
NEW met2 ( 2155920 1131090 ) via2_FR
NEW met2 ( 2191440 1131090 ) via2_FR
NEW met2 ( 2192880 1130350 ) via2_FR
NEW met1 ( 2118000 1265215 ) M1M2_PR
NEW met3 ( 2268000 1130350 ) M3M4_PR_M
NEW met1 ( 2226960 1264845 ) M1M2_PR
NEW met2 ( 2226960 1265030 ) via2_FR
NEW met3 ( 2268000 1265030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_adr_o_core\[27\] ( soc mprj_adr_o[27] ) ( mgmt_buffers mprj_adr_o_core[27] )
+ ROUTED met2 ( 2183280 1168275 ) ( 2183280 1199170 0 )
NEW met2 ( 2333040 1159395 ) ( 2333040 1168275 )
NEW met1 ( 2183280 1168275 ) ( 2333040 1168275 )
NEW met1 ( 2333040 1159395 ) ( 2525040 1159395 )
NEW met2 ( 2525280 1117770 0 ) ( 2525280 1119250 )
NEW met2 ( 2525040 1119250 ) ( 2525280 1119250 )
NEW met2 ( 2525040 1119250 ) ( 2525040 1159395 )
NEW met1 ( 2183280 1168275 ) M1M2_PR
NEW met1 ( 2333040 1168275 ) M1M2_PR
NEW met1 ( 2333040 1159395 ) M1M2_PR
NEW met1 ( 2525040 1159395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[28\] ( soc mprj_adr_o[28] ) ( mgmt_buffers mprj_adr_o_core[28] )
+ ROUTED met2 ( 1771920 1185110 ) ( 1771920 1193805 )
NEW met2 ( 1771920 1185110 ) ( 1772880 1185110 )
NEW met2 ( 1772880 1117770 0 ) ( 1772880 1185110 )
NEW met2 ( 2119680 1263550 0 ) ( 2119680 1264290 )
NEW met2 ( 2119440 1264290 ) ( 2119680 1264290 )
NEW met2 ( 2119440 1264290 ) ( 2119440 1278905 )
NEW met3 ( 1440240 1246530 ) ( 1442160 1246530 )
NEW met2 ( 1440240 1246530 ) ( 1440240 1279275 )
NEW met1 ( 1504080 1278905 ) ( 1504080 1279275 )
NEW met1 ( 1440240 1279275 ) ( 1504080 1279275 )
NEW met1 ( 1504080 1278905 ) ( 2119440 1278905 )
NEW met3 ( 1442160 1211010 ) ( 1443360 1211010 )
NEW met4 ( 1443360 1196950 ) ( 1443360 1211010 )
NEW met3 ( 1443360 1196950 ) ( 1447920 1196950 )
NEW met2 ( 1447920 1193805 ) ( 1447920 1196950 )
NEW met2 ( 1442160 1211010 ) ( 1442160 1246530 )
NEW met1 ( 1447920 1193805 ) ( 1771920 1193805 )
NEW met1 ( 1771920 1193805 ) M1M2_PR
NEW met1 ( 2119440 1278905 ) M1M2_PR
NEW met2 ( 1442160 1246530 ) via2_FR
NEW met2 ( 1440240 1246530 ) via2_FR
NEW met1 ( 1440240 1279275 ) M1M2_PR
NEW met2 ( 1442160 1211010 ) via2_FR
NEW met3 ( 1443360 1211010 ) M3M4_PR_M
NEW met3 ( 1443360 1196950 ) M3M4_PR_M
NEW met2 ( 1447920 1196950 ) via2_FR
NEW met1 ( 1447920 1193805 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[29\] ( soc mprj_adr_o[29] ) ( mgmt_buffers mprj_adr_o_core[29] )
+ ROUTED met2 ( 2216400 1151810 ) ( 2216400 1151995 )
NEW met2 ( 2215440 1151810 ) ( 2216400 1151810 )
NEW met2 ( 2215440 1151255 ) ( 2215440 1151810 )
NEW met1 ( 2185200 1151255 ) ( 2215440 1151255 )
NEW met2 ( 2185200 1151255 ) ( 2185200 1197690 )
NEW met2 ( 2184960 1197690 ) ( 2185200 1197690 )
NEW met2 ( 2184960 1197690 ) ( 2184960 1199170 0 )
NEW met2 ( 2476080 1150885 ) ( 2476080 1151995 )
NEW met1 ( 2476080 1150885 ) ( 2526960 1150885 )
NEW met1 ( 2216400 1151995 ) ( 2476080 1151995 )
NEW met2 ( 2527200 1117770 0 ) ( 2527200 1119250 )
NEW met2 ( 2526960 1119250 ) ( 2527200 1119250 )
NEW met2 ( 2526960 1119250 ) ( 2526960 1150885 )
NEW met1 ( 2216400 1151995 ) M1M2_PR
NEW met1 ( 2215440 1151255 ) M1M2_PR
NEW met1 ( 2185200 1151255 ) M1M2_PR
NEW met1 ( 2476080 1151995 ) M1M2_PR
NEW met1 ( 2476080 1150885 ) M1M2_PR
NEW met1 ( 2526960 1150885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[2\] ( soc mprj_adr_o[2] ) ( mgmt_buffers mprj_adr_o_core[2] )
+ ROUTED met4 ( 1433760 1145890 ) ( 1433760 1281310 )
NEW met2 ( 1770960 1117770 0 ) ( 1770960 1145890 )
NEW met3 ( 1433760 1145890 ) ( 1770960 1145890 )
NEW met2 ( 2121360 1263550 ) ( 2121360 1281310 )
NEW met2 ( 2121360 1263550 ) ( 2121600 1263550 0 )
NEW met3 ( 1433760 1281310 ) ( 2121360 1281310 )
NEW met3 ( 1433760 1145890 ) M3M4_PR_M
NEW met2 ( 1770960 1145890 ) via2_FR
NEW met3 ( 1433760 1281310 ) M3M4_PR_M
NEW met2 ( 2121360 1281310 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[30\] ( soc mprj_adr_o[30] ) ( mgmt_buffers mprj_adr_o_core[30] )
+ ROUTED met2 ( 2187120 1168645 ) ( 2187120 1197690 )
NEW met2 ( 2186880 1197690 ) ( 2187120 1197690 )
NEW met2 ( 2186880 1197690 ) ( 2186880 1199170 0 )
NEW met2 ( 2375760 1160135 ) ( 2375760 1168645 )
NEW met1 ( 2187120 1168645 ) ( 2375760 1168645 )
NEW met1 ( 2375760 1160135 ) ( 2528880 1160135 )
NEW met2 ( 2528880 1117770 0 ) ( 2528880 1160135 )
NEW met1 ( 2187120 1168645 ) M1M2_PR
NEW met1 ( 2375760 1168645 ) M1M2_PR
NEW met1 ( 2375760 1160135 ) M1M2_PR
NEW met1 ( 2528880 1160135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[31\] ( soc mprj_adr_o[31] ) ( mgmt_buffers mprj_adr_o_core[31] )
+ ROUTED met4 ( 1434720 1186590 ) ( 1434720 1282050 )
NEW met3 ( 1434720 1186590 ) ( 1768560 1186590 )
NEW met2 ( 2123280 1263550 0 ) ( 2123280 1282050 )
NEW met3 ( 1434720 1282050 ) ( 2123280 1282050 )
NEW met2 ( 1769040 1117770 0 ) ( 1769040 1144595 )
NEW met2 ( 1768560 1144595 ) ( 1769040 1144595 )
NEW met2 ( 1768560 1144595 ) ( 1768560 1186590 )
NEW met3 ( 1434720 1186590 ) M3M4_PR_M
NEW met2 ( 1768560 1186590 ) via2_FR
NEW met3 ( 1434720 1282050 ) M3M4_PR_M
NEW met2 ( 2123280 1282050 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[3\] ( soc mprj_adr_o[3] ) ( mgmt_buffers mprj_adr_o_core[3] )
+ ROUTED met2 ( 2187600 1138305 ) ( 2187600 1179930 )
NEW met2 ( 2187600 1179930 ) ( 2188560 1179930 )
NEW met2 ( 2188560 1179930 ) ( 2188560 1199170 0 )
NEW met1 ( 2187600 1138305 ) ( 2530800 1138305 )
NEW met2 ( 2530800 1117770 0 ) ( 2530800 1138305 )
NEW met1 ( 2187600 1138305 ) M1M2_PR
NEW met1 ( 2530800 1138305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[4\] ( soc mprj_adr_o[4] ) ( mgmt_buffers mprj_adr_o_core[4] )
+ ROUTED met2 ( 1422960 1157175 ) ( 1422960 1296295 )
NEW met2 ( 1767120 1117770 0 ) ( 1767120 1157175 )
NEW met1 ( 1422960 1157175 ) ( 1767120 1157175 )
NEW met2 ( 2125200 1263550 0 ) ( 2125200 1296295 )
NEW met1 ( 1422960 1296295 ) ( 2125200 1296295 )
NEW met1 ( 1422960 1157175 ) M1M2_PR
NEW met1 ( 1767120 1157175 ) M1M2_PR
NEW met1 ( 1422960 1296295 ) M1M2_PR
NEW met1 ( 2125200 1296295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[5\] ( soc mprj_adr_o[5] ) ( mgmt_buffers mprj_adr_o_core[5] )
+ ROUTED met2 ( 2202960 1156990 ) ( 2202960 1167905 )
NEW met1 ( 2190480 1167905 ) ( 2202960 1167905 )
NEW met2 ( 2190480 1167905 ) ( 2190480 1199170 0 )
NEW met3 ( 2202960 1156990 ) ( 2532720 1156990 )
NEW met2 ( 2532720 1117770 0 ) ( 2532720 1156990 )
NEW met2 ( 2202960 1156990 ) via2_FR
NEW met1 ( 2202960 1167905 ) M1M2_PR
NEW met1 ( 2190480 1167905 ) M1M2_PR
NEW met2 ( 2532720 1156990 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[6\] ( soc mprj_adr_o[6] ) ( mgmt_buffers mprj_adr_o_core[6] )
+ ROUTED met4 ( 1436640 1145150 ) ( 1436640 1272430 )
NEW met2 ( 1765440 1117770 0 ) ( 1765440 1119250 )
NEW met2 ( 1765200 1119250 ) ( 1765440 1119250 )
NEW met2 ( 1765200 1119250 ) ( 1765200 1145150 )
NEW met3 ( 1436640 1145150 ) ( 1765200 1145150 )
NEW met2 ( 2127120 1263550 0 ) ( 2127120 1272430 )
NEW met3 ( 1436640 1272430 ) ( 2127120 1272430 )
NEW met3 ( 1436640 1145150 ) M3M4_PR_M
NEW met2 ( 1765200 1145150 ) via2_FR
NEW met3 ( 1436640 1272430 ) M3M4_PR_M
NEW met2 ( 2127120 1272430 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[7\] ( soc mprj_adr_o[7] ) ( mgmt_buffers mprj_adr_o_core[7] )
+ ROUTED met2 ( 2192400 1159950 ) ( 2192400 1199170 0 )
NEW met2 ( 2535120 1137750 ) ( 2535120 1159950 )
NEW met2 ( 2534640 1137750 ) ( 2535120 1137750 )
NEW met3 ( 2192400 1159950 ) ( 2535120 1159950 )
NEW met2 ( 2534640 1117770 0 ) ( 2534640 1137750 )
NEW met2 ( 2192400 1159950 ) via2_FR
NEW met2 ( 2535120 1159950 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_core\[8\] ( soc mprj_adr_o[8] ) ( mgmt_buffers mprj_adr_o_core[8] )
+ ROUTED met1 ( 1413840 1225625 ) ( 1416720 1225625 )
NEW met2 ( 1413840 1142745 ) ( 1413840 1225625 )
NEW met1 ( 1415760 1254855 ) ( 1416720 1254855 )
NEW met2 ( 1415760 1254855 ) ( 1415760 1325155 )
NEW met2 ( 1416720 1225625 ) ( 1416720 1254855 )
NEW met2 ( 1763520 1117770 0 ) ( 1763520 1119250 )
NEW met2 ( 1763280 1119250 ) ( 1763520 1119250 )
NEW met2 ( 1763280 1119250 ) ( 1763280 1142745 )
NEW met1 ( 1413840 1142745 ) ( 1763280 1142745 )
NEW met2 ( 2128800 1263550 0 ) ( 2128800 1265030 )
NEW met2 ( 2128080 1265030 ) ( 2128800 1265030 )
NEW met2 ( 2128080 1265030 ) ( 2128080 1325155 )
NEW met1 ( 1415760 1325155 ) ( 2128080 1325155 )
NEW met1 ( 1416720 1225625 ) M1M2_PR
NEW met1 ( 1413840 1225625 ) M1M2_PR
NEW met1 ( 1413840 1142745 ) M1M2_PR
NEW met1 ( 1763280 1142745 ) M1M2_PR
NEW met1 ( 1416720 1254855 ) M1M2_PR
NEW met1 ( 1415760 1254855 ) M1M2_PR
NEW met1 ( 1415760 1325155 ) M1M2_PR
NEW met1 ( 2128080 1325155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_core\[9\] ( soc mprj_adr_o[9] ) ( mgmt_buffers mprj_adr_o_core[9] )
+ ROUTED met2 ( 2194320 1178265 ) ( 2194320 1199170 0 )
NEW met2 ( 2472240 1149775 ) ( 2472240 1178265 )
NEW met1 ( 2472240 1149775 ) ( 2536080 1149775 )
NEW met1 ( 2194320 1178265 ) ( 2472240 1178265 )
NEW met2 ( 2536320 1117770 0 ) ( 2536320 1119250 )
NEW met2 ( 2536080 1119250 ) ( 2536320 1119250 )
NEW met2 ( 2536080 1119250 ) ( 2536080 1149775 )
NEW met1 ( 2194320 1178265 ) M1M2_PR
NEW met1 ( 2472240 1178265 ) M1M2_PR
NEW met1 ( 2472240 1149775 ) M1M2_PR
NEW met1 ( 2536080 1149775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[0\] ( mprj wbs_adr_i[0] ) ( mgmt_buffers mprj_adr_o_user[0] )
+ ROUTED met2 ( 365040 1317570 ) ( 365040 1377510 0 )
NEW met2 ( 1670160 1287230 ) ( 1670160 1317570 )
NEW met3 ( 365040 1317570 ) ( 1670160 1317570 )
NEW met2 ( 2130480 1265030 ) ( 2130480 1287230 )
NEW met2 ( 2130480 1265030 ) ( 2130720 1265030 )
NEW met2 ( 2130720 1263550 0 ) ( 2130720 1265030 )
NEW met3 ( 1670160 1287230 ) ( 2130480 1287230 )
NEW met2 ( 365040 1317570 ) via2_FR
NEW met2 ( 1670160 1317570 ) via2_FR
NEW met2 ( 1670160 1287230 ) via2_FR
NEW met2 ( 2130480 1287230 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[10\] ( mprj wbs_adr_i[10] ) ( mgmt_buffers mprj_adr_o_user[10] )
+ ROUTED met2 ( 567120 1318310 ) ( 567120 1377510 0 )
NEW met2 ( 1792560 1284085 ) ( 1792560 1286675 )
NEW met1 ( 1710000 1284085 ) ( 1710000 1284455 )
NEW met1 ( 1654800 1284455 ) ( 1710000 1284455 )
NEW met2 ( 1654800 1284455 ) ( 1654800 1318310 )
NEW met3 ( 567120 1318310 ) ( 1654800 1318310 )
NEW met1 ( 1710000 1284085 ) ( 1792560 1284085 )
NEW met2 ( 2132400 1263550 ) ( 2132640 1263550 0 )
NEW met2 ( 2132400 1263550 ) ( 2132400 1286675 )
NEW met1 ( 1792560 1286675 ) ( 2132400 1286675 )
NEW met2 ( 567120 1318310 ) via2_FR
NEW met1 ( 1792560 1284085 ) M1M2_PR
NEW met1 ( 1792560 1286675 ) M1M2_PR
NEW met1 ( 1654800 1284455 ) M1M2_PR
NEW met2 ( 1654800 1318310 ) via2_FR
NEW met1 ( 2132400 1286675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[11\] ( mprj wbs_adr_i[11] ) ( mgmt_buffers mprj_adr_o_user[11] )
+ ROUTED met2 ( 584880 1319050 ) ( 584880 1377510 0 )
NEW met1 ( 1698960 1287045 ) ( 1698960 1287415 )
NEW met1 ( 1655760 1287045 ) ( 1698960 1287045 )
NEW met2 ( 1655760 1287045 ) ( 1655760 1319050 )
NEW met3 ( 584880 1319050 ) ( 1655760 1319050 )
NEW met2 ( 2134320 1263550 0 ) ( 2134320 1287415 )
NEW met1 ( 1698960 1287415 ) ( 2134320 1287415 )
NEW met2 ( 584880 1319050 ) via2_FR
NEW met1 ( 1655760 1287045 ) M1M2_PR
NEW met2 ( 1655760 1319050 ) via2_FR
NEW met1 ( 2134320 1287415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[12\] ( mprj wbs_adr_i[12] ) ( mgmt_buffers mprj_adr_o_user[12] )
+ ROUTED met2 ( 602640 1319790 ) ( 602640 1377510 0 )
NEW met1 ( 1699440 1286675 ) ( 1699440 1287045 )
NEW met1 ( 1653840 1286675 ) ( 1699440 1286675 )
NEW met2 ( 1653840 1286675 ) ( 1653840 1319790 )
NEW met3 ( 602640 1319790 ) ( 1653840 1319790 )
NEW met2 ( 2136240 1263550 0 ) ( 2136240 1287045 )
NEW met1 ( 1699440 1287045 ) ( 2136240 1287045 )
NEW met2 ( 602640 1319790 ) via2_FR
NEW met1 ( 1653840 1286675 ) M1M2_PR
NEW met2 ( 1653840 1319790 ) via2_FR
NEW met1 ( 2136240 1287045 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[13\] ( mprj wbs_adr_i[13] ) ( mgmt_buffers mprj_adr_o_user[13] )
+ ROUTED met2 ( 620400 1315165 ) ( 620400 1377510 0 )
NEW met2 ( 1627440 1286305 ) ( 1627440 1315165 )
NEW met1 ( 835440 1315165 ) ( 835440 1315535 )
NEW met1 ( 1653360 1286305 ) ( 1653360 1287785 )
NEW met1 ( 1627440 1286305 ) ( 1653360 1286305 )
NEW met2 ( 2138160 1263550 0 ) ( 2138160 1287785 )
NEW met1 ( 1653360 1287785 ) ( 2138160 1287785 )
NEW met1 ( 1008240 1314425 ) ( 1008240 1315165 )
NEW met1 ( 1438800 1315165 ) ( 1438800 1315535 )
NEW met1 ( 1438800 1315165 ) ( 1627440 1315165 )
NEW met2 ( 705840 1314425 ) ( 705840 1315165 )
NEW met1 ( 705840 1314425 ) ( 747120 1314425 )
NEW met2 ( 747120 1314425 ) ( 747120 1315165 )
NEW met1 ( 620400 1315165 ) ( 705840 1315165 )
NEW met1 ( 747120 1315165 ) ( 835440 1315165 )
NEW met1 ( 948240 1315165 ) ( 948240 1315535 )
NEW met1 ( 835440 1315535 ) ( 948240 1315535 )
NEW met1 ( 948240 1315165 ) ( 1008240 1315165 )
NEW met1 ( 1310640 1315165 ) ( 1310640 1315535 )
NEW met2 ( 1310640 1315350 ) ( 1310640 1315535 )
NEW met2 ( 1310640 1315350 ) ( 1311600 1315350 )
NEW met2 ( 1311600 1315350 ) ( 1311600 1315535 )
NEW met1 ( 1311600 1315535 ) ( 1438800 1315535 )
NEW met2 ( 1181040 1315535 ) ( 1181040 1316090 )
NEW met2 ( 1181040 1316090 ) ( 1181520 1316090 )
NEW met2 ( 1181520 1315165 ) ( 1181520 1316090 )
NEW met1 ( 1181520 1315165 ) ( 1310640 1315165 )
NEW met1 ( 1080240 1314425 ) ( 1080240 1314795 )
NEW met1 ( 1080240 1314795 ) ( 1108560 1314795 )
NEW met2 ( 1108560 1314610 ) ( 1108560 1314795 )
NEW met2 ( 1108560 1314610 ) ( 1109040 1314610 )
NEW met2 ( 1109040 1314610 ) ( 1109040 1314795 )
NEW met1 ( 1109040 1314795 ) ( 1180560 1314795 )
NEW met1 ( 1180560 1314795 ) ( 1180560 1315535 )
NEW met1 ( 1008240 1314425 ) ( 1080240 1314425 )
NEW met1 ( 1180560 1315535 ) ( 1181040 1315535 )
NEW met1 ( 620400 1315165 ) M1M2_PR
NEW met1 ( 1627440 1315165 ) M1M2_PR
NEW met1 ( 1627440 1286305 ) M1M2_PR
NEW met1 ( 2138160 1287785 ) M1M2_PR
NEW met1 ( 705840 1315165 ) M1M2_PR
NEW met1 ( 705840 1314425 ) M1M2_PR
NEW met1 ( 747120 1314425 ) M1M2_PR
NEW met1 ( 747120 1315165 ) M1M2_PR
NEW met1 ( 1310640 1315535 ) M1M2_PR
NEW met1 ( 1311600 1315535 ) M1M2_PR
NEW met1 ( 1181040 1315535 ) M1M2_PR
NEW met1 ( 1181520 1315165 ) M1M2_PR
NEW met1 ( 1108560 1314795 ) M1M2_PR
NEW met1 ( 1109040 1314795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[14\] ( mprj wbs_adr_i[14] ) ( mgmt_buffers mprj_adr_o_user[14] )
+ ROUTED met2 ( 638640 1348095 ) ( 638640 1377510 0 )
NEW met1 ( 638640 1348095 ) ( 2117040 1348095 )
NEW met2 ( 2139840 1263550 0 ) ( 2140080 1263550 )
NEW met2 ( 2140080 1263550 ) ( 2140080 1290745 )
NEW met1 ( 2117040 1290745 ) ( 2140080 1290745 )
NEW met2 ( 2117040 1290745 ) ( 2117040 1348095 )
NEW met1 ( 638640 1348095 ) M1M2_PR
NEW met1 ( 2117040 1348095 ) M1M2_PR
NEW met1 ( 2140080 1290745 ) M1M2_PR
NEW met1 ( 2117040 1290745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[15\] ( mprj wbs_adr_i[15] ) ( mgmt_buffers mprj_adr_o_user[15] )
+ ROUTED met2 ( 2141760 1263550 0 ) ( 2141760 1264290 )
NEW met2 ( 2141520 1264290 ) ( 2141760 1264290 )
NEW met2 ( 2141520 1264290 ) ( 2141520 1288155 )
NEW met1 ( 1545840 1288155 ) ( 2141520 1288155 )
NEW met2 ( 656400 1303325 ) ( 656400 1377510 0 )
NEW met2 ( 993840 1303325 ) ( 993840 1303510 )
NEW met3 ( 993840 1303510 ) ( 1021920 1303510 )
NEW met3 ( 1021920 1302770 ) ( 1021920 1303510 )
NEW met3 ( 1021920 1302770 ) ( 1094160 1302770 )
NEW met2 ( 1094160 1302770 ) ( 1094160 1302955 )
NEW met1 ( 1094160 1302955 ) ( 1094160 1303325 )
NEW met1 ( 656400 1303325 ) ( 993840 1303325 )
NEW met2 ( 1397040 1302955 ) ( 1397040 1303325 )
NEW met2 ( 1397040 1302955 ) ( 1397520 1302955 )
NEW met2 ( 1397520 1302955 ) ( 1397520 1304805 )
NEW met1 ( 1397520 1304805 ) ( 1497360 1304805 )
NEW met2 ( 1497360 1303325 ) ( 1497360 1304805 )
NEW met1 ( 1094160 1303325 ) ( 1397040 1303325 )
NEW met2 ( 1498320 1302030 ) ( 1498320 1303325 )
NEW met3 ( 1498320 1302030 ) ( 1545840 1302030 )
NEW met1 ( 1497360 1303325 ) ( 1498320 1303325 )
NEW met2 ( 1545840 1288155 ) ( 1545840 1302030 )
NEW met1 ( 1545840 1288155 ) M1M2_PR
NEW met1 ( 2141520 1288155 ) M1M2_PR
NEW met1 ( 656400 1303325 ) M1M2_PR
NEW met1 ( 993840 1303325 ) M1M2_PR
NEW met2 ( 993840 1303510 ) via2_FR
NEW met2 ( 1094160 1302770 ) via2_FR
NEW met1 ( 1094160 1302955 ) M1M2_PR
NEW met1 ( 1397040 1303325 ) M1M2_PR
NEW met1 ( 1397520 1304805 ) M1M2_PR
NEW met1 ( 1497360 1304805 ) M1M2_PR
NEW met1 ( 1497360 1303325 ) M1M2_PR
NEW met1 ( 1498320 1303325 ) M1M2_PR
NEW met2 ( 1498320 1302030 ) via2_FR
NEW met2 ( 1545840 1302030 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[16\] ( mprj wbs_adr_i[16] ) ( mgmt_buffers mprj_adr_o_user[16] )
+ ROUTED met2 ( 674160 1348465 ) ( 674160 1377510 0 )
NEW met1 ( 674160 1348465 ) ( 2117520 1348465 )
NEW met2 ( 2143440 1263550 ) ( 2143680 1263550 0 )
NEW met2 ( 2143440 1263550 ) ( 2143440 1284825 )
NEW met1 ( 2117520 1284825 ) ( 2143440 1284825 )
NEW met2 ( 2117520 1284825 ) ( 2117520 1348465 )
NEW met1 ( 674160 1348465 ) M1M2_PR
NEW met1 ( 2117520 1348465 ) M1M2_PR
NEW met1 ( 2143440 1284825 ) M1M2_PR
NEW met1 ( 2117520 1284825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[17\] ( mprj wbs_adr_i[17] ) ( mgmt_buffers mprj_adr_o_user[17] )
+ ROUTED met2 ( 691920 1303695 ) ( 691920 1377510 0 )
NEW met2 ( 2145360 1263550 0 ) ( 2145360 1288525 )
NEW met1 ( 1528080 1288525 ) ( 2145360 1288525 )
NEW met1 ( 792240 1303695 ) ( 792240 1304065 )
NEW met1 ( 792240 1304065 ) ( 892560 1304065 )
NEW met1 ( 892560 1303695 ) ( 892560 1304065 )
NEW met1 ( 691920 1303695 ) ( 792240 1303695 )
NEW met1 ( 1491120 1303695 ) ( 1491120 1304065 )
NEW met1 ( 1491120 1304065 ) ( 1497360 1304065 )
NEW met1 ( 1497360 1303695 ) ( 1497360 1304065 )
NEW met1 ( 892560 1303695 ) ( 1491120 1303695 )
NEW met1 ( 1497360 1303695 ) ( 1528080 1303695 )
NEW met2 ( 1528080 1288525 ) ( 1528080 1303695 )
NEW met1 ( 691920 1303695 ) M1M2_PR
NEW met1 ( 1528080 1288525 ) M1M2_PR
NEW met1 ( 2145360 1288525 ) M1M2_PR
NEW met1 ( 1528080 1303695 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[18\] ( mprj wbs_adr_i[18] ) ( mgmt_buffers mprj_adr_o_user[18] )
+ ROUTED met2 ( 2147280 1263550 0 ) ( 2147280 1299810 )
NEW met2 ( 2147280 1299810 ) ( 2149680 1299810 )
NEW met2 ( 2149680 1299810 ) ( 2149680 1349205 )
NEW met2 ( 709680 1349205 ) ( 709680 1377510 0 )
NEW met1 ( 709680 1349205 ) ( 2149680 1349205 )
NEW met1 ( 2149680 1349205 ) M1M2_PR
NEW met1 ( 709680 1349205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[19\] ( mprj wbs_adr_i[19] ) ( mgmt_buffers mprj_adr_o_user[19] )
+ ROUTED met2 ( 2149200 1263550 0 ) ( 2149200 1295925 )
NEW met2 ( 727920 1300365 ) ( 727920 1377510 0 )
NEW met2 ( 1526640 1295925 ) ( 1526640 1300365 )
NEW met1 ( 727920 1300365 ) ( 1526640 1300365 )
NEW met1 ( 1526640 1295925 ) ( 2149200 1295925 )
NEW met1 ( 2149200 1295925 ) M1M2_PR
NEW met1 ( 727920 1300365 ) M1M2_PR
NEW met1 ( 1526640 1300365 ) M1M2_PR
NEW met1 ( 1526640 1295925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[1\] ( mprj wbs_adr_i[1] ) ( mgmt_buffers mprj_adr_o_user[1] )
+ ROUTED met2 ( 388560 1349390 ) ( 388560 1377510 0 )
NEW met2 ( 2150880 1263550 0 ) ( 2151120 1263550 )
NEW met2 ( 2151120 1263550 ) ( 2151120 1264290 )
NEW met2 ( 2151120 1264290 ) ( 2152080 1264290 )
NEW met2 ( 2152080 1264290 ) ( 2152080 1317755 )
NEW met3 ( 388560 1349390 ) ( 2118000 1349390 )
NEW met2 ( 2118000 1317755 ) ( 2118000 1349390 )
NEW met1 ( 2118000 1317755 ) ( 2152080 1317755 )
NEW met2 ( 388560 1349390 ) via2_FR
NEW met1 ( 2152080 1317755 ) M1M2_PR
NEW met2 ( 2118000 1349390 ) via2_FR
NEW met1 ( 2118000 1317755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[20\] ( mprj wbs_adr_i[20] ) ( mgmt_buffers mprj_adr_o_user[20] )
+ ROUTED met2 ( 2152800 1263550 0 ) ( 2152800 1264290 )
NEW met2 ( 2152560 1264290 ) ( 2152800 1264290 )
NEW met2 ( 2152560 1264290 ) ( 2152560 1294815 )
NEW met2 ( 1527600 1294815 ) ( 1527600 1304435 )
NEW met1 ( 1527600 1294815 ) ( 2152560 1294815 )
NEW met2 ( 745680 1304435 ) ( 745680 1377510 0 )
NEW met1 ( 745680 1304435 ) ( 1527600 1304435 )
NEW met1 ( 2152560 1294815 ) M1M2_PR
NEW met1 ( 1527600 1304435 ) M1M2_PR
NEW met1 ( 1527600 1294815 ) M1M2_PR
NEW met1 ( 745680 1304435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[21\] ( mprj wbs_adr_i[21] ) ( mgmt_buffers mprj_adr_o_user[21] )
+ ROUTED met2 ( 762960 1350685 ) ( 762960 1377510 0 )
NEW met2 ( 2154480 1263550 ) ( 2154720 1263550 0 )
NEW met2 ( 2154480 1263550 ) ( 2154480 1306655 )
NEW met1 ( 762960 1350685 ) ( 2121360 1350685 )
NEW met2 ( 2121360 1306655 ) ( 2121360 1350685 )
NEW met1 ( 2121360 1306655 ) ( 2154480 1306655 )
NEW met1 ( 762960 1350685 ) M1M2_PR
NEW met1 ( 2154480 1306655 ) M1M2_PR
NEW met1 ( 2121360 1350685 ) M1M2_PR
NEW met1 ( 2121360 1306655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[22\] ( mprj wbs_adr_i[22] ) ( mgmt_buffers mprj_adr_o_user[22] )
+ ROUTED met2 ( 781200 1300735 ) ( 781200 1377510 0 )
NEW met2 ( 2156400 1263550 0 ) ( 2156400 1284455 )
NEW met1 ( 2148720 1284455 ) ( 2156400 1284455 )
NEW met2 ( 2148720 1284455 ) ( 2148720 1295555 )
NEW met2 ( 1527120 1295555 ) ( 1527120 1300735 )
NEW met1 ( 781200 1300735 ) ( 1527120 1300735 )
NEW met1 ( 1527120 1295555 ) ( 2148720 1295555 )
NEW met1 ( 781200 1300735 ) M1M2_PR
NEW met1 ( 2156400 1284455 ) M1M2_PR
NEW met1 ( 2148720 1284455 ) M1M2_PR
NEW met1 ( 2148720 1295555 ) M1M2_PR
NEW met1 ( 1527120 1300735 ) M1M2_PR
NEW met1 ( 1527120 1295555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[23\] ( mprj wbs_adr_i[23] ) ( mgmt_buffers mprj_adr_o_user[23] )
+ ROUTED met2 ( 798960 1351795 ) ( 798960 1377510 0 )
NEW met2 ( 2158320 1263550 0 ) ( 2158320 1336995 )
NEW met1 ( 798960 1351795 ) ( 2122320 1351795 )
NEW met2 ( 2122320 1336995 ) ( 2122320 1351795 )
NEW met1 ( 2122320 1336995 ) ( 2158320 1336995 )
NEW met1 ( 798960 1351795 ) M1M2_PR
NEW met1 ( 2158320 1336995 ) M1M2_PR
NEW met1 ( 2122320 1351795 ) M1M2_PR
NEW met1 ( 2122320 1336995 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[24\] ( mprj wbs_adr_i[24] ) ( mgmt_buffers mprj_adr_o_user[24] )
+ ROUTED met2 ( 817200 1351425 ) ( 817200 1377510 0 )
NEW met2 ( 2160240 1263550 0 ) ( 2160240 1299810 )
NEW met2 ( 2160240 1299810 ) ( 2162160 1299810 )
NEW met2 ( 2162160 1299810 ) ( 2162160 1351425 )
NEW met1 ( 817200 1351425 ) ( 2162160 1351425 )
NEW met1 ( 817200 1351425 ) M1M2_PR
NEW met1 ( 2162160 1351425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[25\] ( mprj wbs_adr_i[25] ) ( mgmt_buffers mprj_adr_o_user[25] )
+ ROUTED met2 ( 834480 1345875 ) ( 834480 1377510 0 )
NEW met2 ( 2161920 1263550 0 ) ( 2162160 1263550 )
NEW met2 ( 2162160 1263550 ) ( 2162160 1264290 )
NEW met2 ( 2162160 1264290 ) ( 2162640 1264290 )
NEW met2 ( 2162640 1264290 ) ( 2162640 1324785 )
NEW met1 ( 834480 1345875 ) ( 2108400 1345875 )
NEW met2 ( 2108400 1324785 ) ( 2108400 1345875 )
NEW met1 ( 2108400 1324785 ) ( 2162640 1324785 )
NEW met1 ( 834480 1345875 ) M1M2_PR
NEW met1 ( 2162640 1324785 ) M1M2_PR
NEW met1 ( 2108400 1345875 ) M1M2_PR
NEW met1 ( 2108400 1324785 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[26\] ( mprj wbs_adr_i[26] ) ( mgmt_buffers mprj_adr_o_user[26] )
+ ROUTED met2 ( 2163840 1263550 0 ) ( 2163840 1264290 )
NEW met2 ( 2163600 1264290 ) ( 2163840 1264290 )
NEW met2 ( 2163600 1264290 ) ( 2163600 1294445 )
NEW met2 ( 852240 1305175 ) ( 852240 1377510 0 )
NEW met2 ( 1512720 1294445 ) ( 1512720 1305175 )
NEW met1 ( 852240 1305175 ) ( 1512720 1305175 )
NEW met1 ( 1512720 1294445 ) ( 2163600 1294445 )
NEW met1 ( 2163600 1294445 ) M1M2_PR
NEW met1 ( 852240 1305175 ) M1M2_PR
NEW met1 ( 1512720 1305175 ) M1M2_PR
NEW met1 ( 1512720 1294445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[27\] ( mprj wbs_adr_i[27] ) ( mgmt_buffers mprj_adr_o_user[27] )
+ ROUTED met2 ( 2165520 1263550 ) ( 2165760 1263550 0 )
NEW met2 ( 2165520 1263550 ) ( 2165520 1352905 )
NEW met1 ( 894480 1352535 ) ( 894480 1352905 )
NEW met1 ( 870480 1352535 ) ( 894480 1352535 )
NEW met2 ( 870480 1352535 ) ( 870480 1377510 0 )
NEW met1 ( 894480 1352905 ) ( 2165520 1352905 )
NEW met1 ( 2165520 1352905 ) M1M2_PR
NEW met1 ( 870480 1352535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[28\] ( mprj wbs_adr_i[28] ) ( mgmt_buffers mprj_adr_o_user[28] )
+ ROUTED met2 ( 2167440 1263550 0 ) ( 2167440 1294075 )
NEW met2 ( 888240 1305915 ) ( 888240 1377510 0 )
NEW met2 ( 1444560 1294075 ) ( 1444560 1305915 )
NEW met1 ( 888240 1305915 ) ( 1444560 1305915 )
NEW met1 ( 1444560 1294075 ) ( 2167440 1294075 )
NEW met1 ( 2167440 1294075 ) M1M2_PR
NEW met1 ( 888240 1305915 ) M1M2_PR
NEW met1 ( 1444560 1305915 ) M1M2_PR
NEW met1 ( 1444560 1294075 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[29\] ( mprj wbs_adr_i[29] ) ( mgmt_buffers mprj_adr_o_user[29] )
+ ROUTED met2 ( 2169360 1263550 0 ) ( 2169360 1295925 )
NEW met1 ( 2149680 1295925 ) ( 2169360 1295925 )
NEW met1 ( 2149680 1295185 ) ( 2149680 1295925 )
NEW met2 ( 906480 1305545 ) ( 906480 1377510 0 )
NEW met2 ( 1512240 1295185 ) ( 1512240 1305545 )
NEW met1 ( 906480 1305545 ) ( 1512240 1305545 )
NEW met1 ( 1512240 1295185 ) ( 2149680 1295185 )
NEW met1 ( 2169360 1295925 ) M1M2_PR
NEW met1 ( 906480 1305545 ) M1M2_PR
NEW met1 ( 1512240 1305545 ) M1M2_PR
NEW met1 ( 1512240 1295185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[2\] ( mprj wbs_adr_i[2] ) ( mgmt_buffers mprj_adr_o_user[2] )
+ ROUTED met2 ( 412560 1331815 ) ( 412560 1377510 0 )
NEW met2 ( 1412880 1287970 ) ( 1412880 1331815 )
NEW met2 ( 2171280 1263550 0 ) ( 2171280 1287970 )
NEW met1 ( 412560 1331815 ) ( 1412880 1331815 )
NEW met3 ( 1412880 1287970 ) ( 2171280 1287970 )
NEW met1 ( 412560 1331815 ) M1M2_PR
NEW met2 ( 1412880 1287970 ) via2_FR
NEW met1 ( 1412880 1331815 ) M1M2_PR
NEW met2 ( 2171280 1287970 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[30\] ( mprj wbs_adr_i[30] ) ( mgmt_buffers mprj_adr_o_user[30] )
+ ROUTED met2 ( 2172960 1263550 0 ) ( 2173200 1263550 )
NEW met2 ( 2173200 1263550 ) ( 2173200 1345135 )
NEW met2 ( 923760 1345505 ) ( 923760 1377510 0 )
NEW met1 ( 2094960 1345135 ) ( 2094960 1345505 )
NEW met1 ( 923760 1345505 ) ( 2094960 1345505 )
NEW met1 ( 2094960 1345135 ) ( 2173200 1345135 )
NEW met1 ( 2173200 1345135 ) M1M2_PR
NEW met1 ( 923760 1345505 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[31\] ( mprj wbs_adr_i[31] ) ( mgmt_buffers mprj_adr_o_user[31] )
+ ROUTED met1 ( 1022640 1344025 ) ( 1022640 1344765 )
NEW met1 ( 941520 1344025 ) ( 1022640 1344025 )
NEW met2 ( 941520 1344025 ) ( 941520 1377510 0 )
NEW met2 ( 2174880 1263550 0 ) ( 2174880 1264475 )
NEW met2 ( 2174640 1264475 ) ( 2174880 1264475 )
NEW met2 ( 2174640 1264475 ) ( 2174640 1313685 )
NEW met2 ( 2088240 1343285 ) ( 2088240 1344765 )
NEW met1 ( 2088240 1343285 ) ( 2131440 1343285 )
NEW met1 ( 1022640 1344765 ) ( 2088240 1344765 )
NEW met2 ( 2131440 1313685 ) ( 2131440 1343285 )
NEW met1 ( 2131440 1313685 ) ( 2174640 1313685 )
NEW met1 ( 941520 1344025 ) M1M2_PR
NEW met1 ( 2174640 1313685 ) M1M2_PR
NEW met1 ( 2088240 1344765 ) M1M2_PR
NEW met1 ( 2088240 1343285 ) M1M2_PR
NEW met1 ( 2131440 1343285 ) M1M2_PR
NEW met1 ( 2131440 1313685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[3\] ( mprj wbs_adr_i[3] ) ( mgmt_buffers mprj_adr_o_user[3] )
+ ROUTED met2 ( 2176560 1263550 ) ( 2176560 1290190 )
NEW met2 ( 2176560 1263550 ) ( 2176800 1263550 0 )
NEW met2 ( 436080 1290190 ) ( 436080 1377510 0 )
NEW met3 ( 436080 1290190 ) ( 2176560 1290190 )
NEW met2 ( 2176560 1290190 ) via2_FR
NEW met2 ( 436080 1290190 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[4\] ( mprj wbs_adr_i[4] ) ( mgmt_buffers mprj_adr_o_user[4] )
+ ROUTED met2 ( 2178480 1263550 0 ) ( 2178480 1290930 )
NEW met2 ( 460080 1290930 ) ( 460080 1377510 0 )
NEW met3 ( 460080 1290930 ) ( 2178480 1290930 )
NEW met2 ( 2178480 1290930 ) via2_FR
NEW met2 ( 460080 1290930 ) via2_FR
+ USE SIGNAL ;
- mprj_adr_o_user\[5\] ( mprj wbs_adr_i[5] ) ( mgmt_buffers mprj_adr_o_user[5] )
+ ROUTED met2 ( 2180400 1263550 0 ) ( 2180400 1300365 )
NEW met2 ( 477840 1352350 ) ( 477840 1377510 0 )
NEW met3 ( 477840 1352350 ) ( 2131920 1352350 )
NEW met2 ( 2131920 1300365 ) ( 2131920 1352350 )
NEW met1 ( 2131920 1300365 ) ( 2180400 1300365 )
NEW met1 ( 2180400 1300365 ) M1M2_PR
NEW met2 ( 477840 1352350 ) via2_FR
NEW met2 ( 2131920 1352350 ) via2_FR
NEW met1 ( 2131920 1300365 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[6\] ( mprj wbs_adr_i[6] ) ( mgmt_buffers mprj_adr_o_user[6] )
+ ROUTED met2 ( 2182320 1263550 0 ) ( 2182320 1289635 )
NEW met2 ( 496080 1289635 ) ( 496080 1377510 0 )
NEW met1 ( 496080 1289635 ) ( 2182320 1289635 )
NEW met1 ( 2182320 1289635 ) M1M2_PR
NEW met1 ( 496080 1289635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[7\] ( mprj wbs_adr_i[7] ) ( mgmt_buffers mprj_adr_o_user[7] )
+ ROUTED met2 ( 2184000 1263550 0 ) ( 2184240 1263550 )
NEW met2 ( 2184240 1263550 ) ( 2184240 1346245 )
NEW met2 ( 513360 1346245 ) ( 513360 1377510 0 )
NEW met1 ( 513360 1346245 ) ( 2184240 1346245 )
NEW met1 ( 2184240 1346245 ) M1M2_PR
NEW met1 ( 513360 1346245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[8\] ( mprj wbs_adr_i[8] ) ( mgmt_buffers mprj_adr_o_user[8] )
+ ROUTED met2 ( 2185920 1263550 0 ) ( 2185920 1264290 )
NEW met2 ( 2185680 1264290 ) ( 2185920 1264290 )
NEW met2 ( 2185680 1264290 ) ( 2185680 1290005 )
NEW met2 ( 531120 1290005 ) ( 531120 1377510 0 )
NEW met1 ( 531120 1290005 ) ( 2185680 1290005 )
NEW met1 ( 2185680 1290005 ) M1M2_PR
NEW met1 ( 531120 1290005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_adr_o_user\[9\] ( mprj wbs_adr_i[9] ) ( mgmt_buffers mprj_adr_o_user[9] )
+ ROUTED met2 ( 549360 1346615 ) ( 549360 1377510 0 )
NEW met2 ( 2187600 1263550 ) ( 2187840 1263550 0 )
NEW met2 ( 2187600 1263550 ) ( 2187600 1312575 )
NEW met1 ( 549360 1346615 ) ( 2132400 1346615 )
NEW met2 ( 2132400 1312575 ) ( 2132400 1346615 )
NEW met1 ( 2132400 1312575 ) ( 2187600 1312575 )
NEW met1 ( 549360 1346615 ) M1M2_PR
NEW met1 ( 2187600 1312575 ) M1M2_PR
NEW met1 ( 2132400 1346615 ) M1M2_PR
NEW met1 ( 2132400 1312575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock ( mprj wb_clk_i ) ( mgmt_buffers user_clock )
+ ROUTED met2 ( 1958160 1283715 ) ( 1958160 1295370 )
NEW met2 ( 329520 1295370 ) ( 329520 1377510 0 )
NEW met3 ( 329520 1295370 ) ( 1958160 1295370 )
NEW met2 ( 2261280 1263550 0 ) ( 2261520 1263550 )
NEW met2 ( 2261520 1263550 ) ( 2261520 1283715 )
NEW met1 ( 1958160 1283715 ) ( 2261520 1283715 )
NEW met1 ( 1958160 1283715 ) M1M2_PR
NEW met2 ( 1958160 1295370 ) via2_FR
NEW met2 ( 329520 1295370 ) via2_FR
NEW met1 ( 2261520 1283715 ) M1M2_PR
+ USE SIGNAL ;
- mprj_clock2 ( mprj user_clock2 ) ( mgmt_buffers user_clock2 )
+ ROUTED met2 ( 3243600 1346245 ) ( 3243600 1377510 0 )
NEW met1 ( 2263440 1346245 ) ( 3243600 1346245 )
NEW met2 ( 2263200 1263550 0 ) ( 2263200 1265030 )
NEW met2 ( 2263200 1265030 ) ( 2263440 1265030 )
NEW met2 ( 2263440 1265030 ) ( 2263440 1346245 )
NEW met1 ( 3243600 1346245 ) M1M2_PR
NEW met1 ( 2263440 1346245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_cyc_o_core ( soc mprj_cyc_o ) ( mgmt_buffers mprj_cyc_o_core )
+ ROUTED met1 ( 2166000 1149775 ) ( 2166000 1150515 )
NEW met1 ( 2166000 1149775 ) ( 2194320 1149775 )
NEW met2 ( 2194320 1149775 ) ( 2194320 1156065 )
NEW met2 ( 2194320 1156065 ) ( 2194800 1156065 )
NEW met2 ( 2194800 1156065 ) ( 2194800 1197690 )
NEW met2 ( 2194800 1197690 ) ( 2196000 1197690 )
NEW met2 ( 2196000 1197690 ) ( 2196000 1199170 0 )
NEW met2 ( 1761840 1117770 0 ) ( 1761840 1150515 )
NEW met1 ( 1761840 1150515 ) ( 2166000 1150515 )
NEW met1 ( 1761840 1150515 ) M1M2_PR
NEW met1 ( 2194320 1149775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_cyc_o_user ( mprj wbs_cyc_i ) ( mgmt_buffers mprj_cyc_o_user )
+ ROUTED met2 ( 346800 1348650 ) ( 346800 1377510 0 )
NEW met2 ( 2189520 1263550 0 ) ( 2189520 1284085 )
NEW met3 ( 346800 1348650 ) ( 2129520 1348650 )
NEW met2 ( 2129520 1284085 ) ( 2129520 1348650 )
NEW met1 ( 2129520 1284085 ) ( 2189520 1284085 )
NEW met2 ( 346800 1348650 ) via2_FR
NEW met1 ( 2189520 1284085 ) M1M2_PR
NEW met2 ( 2129520 1348650 ) via2_FR
NEW met1 ( 2129520 1284085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[0\] ( soc mprj_dat_i[0] ) ( mprj wbs_dat_o[0] )
+ ROUTED met2 ( 376560 1349945 ) ( 376560 1377510 0 )
NEW met2 ( 993840 1095570 ) ( 993840 1108705 )
NEW met3 ( 993840 1095570 ) ( 1022880 1095570 0 )
NEW met1 ( 666960 1349205 ) ( 666960 1349945 )
NEW met1 ( 666960 1349205 ) ( 700560 1349205 )
NEW met1 ( 376560 1349945 ) ( 666960 1349945 )
NEW met1 ( 707760 1108705 ) ( 993840 1108705 )
NEW met1 ( 700560 1178635 ) ( 707760 1178635 )
NEW met2 ( 700560 1178635 ) ( 700560 1349205 )
NEW met2 ( 707760 1108705 ) ( 707760 1178635 )
NEW met1 ( 376560 1349945 ) M1M2_PR
NEW met1 ( 993840 1108705 ) M1M2_PR
NEW met2 ( 993840 1095570 ) via2_FR
NEW met1 ( 700560 1349205 ) M1M2_PR
NEW met1 ( 707760 1108705 ) M1M2_PR
NEW met1 ( 700560 1178635 ) M1M2_PR
NEW met1 ( 707760 1178635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[10\] ( soc mprj_dat_i[10] ) ( mprj wbs_dat_o[10] )
+ ROUTED met2 ( 579120 1345875 ) ( 579120 1377510 0 )
NEW met2 ( 702480 1194730 ) ( 702960 1194730 )
NEW met2 ( 702480 1148295 ) ( 702480 1194730 )
NEW met1 ( 579120 1345875 ) ( 702960 1345875 )
NEW met1 ( 702480 1148295 ) ( 1045680 1148295 )
NEW met2 ( 702960 1194730 ) ( 702960 1345875 )
NEW met2 ( 1045920 1117770 0 ) ( 1045920 1119250 )
NEW met2 ( 1045680 1119250 ) ( 1045920 1119250 )
NEW met2 ( 1045680 1119250 ) ( 1045680 1148295 )
NEW met1 ( 579120 1345875 ) M1M2_PR
NEW met1 ( 702480 1148295 ) M1M2_PR
NEW met1 ( 702960 1345875 ) M1M2_PR
NEW met1 ( 1045680 1148295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[11\] ( soc mprj_dat_i[11] ) ( mprj wbs_dat_o[11] )
+ ROUTED met2 ( 596880 1345505 ) ( 596880 1377510 0 )
NEW met1 ( 596880 1345505 ) ( 703920 1345505 )
NEW met1 ( 703920 1149405 ) ( 1047600 1149405 )
NEW met2 ( 703920 1149405 ) ( 703920 1345505 )
NEW met2 ( 1047840 1117770 0 ) ( 1047840 1119250 )
NEW met2 ( 1047600 1119250 ) ( 1047840 1119250 )
NEW met2 ( 1047600 1119250 ) ( 1047600 1149405 )
NEW met1 ( 596880 1345505 ) M1M2_PR
NEW met1 ( 703920 1149405 ) M1M2_PR
NEW met1 ( 703920 1345505 ) M1M2_PR
NEW met1 ( 1047600 1149405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[12\] ( soc mprj_dat_i[12] ) ( mprj wbs_dat_o[12] )
+ ROUTED met2 ( 614640 1343655 ) ( 614640 1377510 0 )
NEW met2 ( 993840 1093350 ) ( 993840 1093905 )
NEW met3 ( 993840 1093350 ) ( 1022880 1093350 )
NEW met3 ( 1022880 1092980 0 ) ( 1022880 1093350 )
NEW met1 ( 614640 1343655 ) ( 700080 1343655 )
NEW met1 ( 711120 1093905 ) ( 993840 1093905 )
NEW met1 ( 700080 1218225 ) ( 711120 1218225 )
NEW met2 ( 700080 1218225 ) ( 700080 1343655 )
NEW met2 ( 711120 1093905 ) ( 711120 1218225 )
NEW met1 ( 614640 1343655 ) M1M2_PR
NEW met1 ( 993840 1093905 ) M1M2_PR
NEW met2 ( 993840 1093350 ) via2_FR
NEW met1 ( 700080 1343655 ) M1M2_PR
NEW met1 ( 711120 1093905 ) M1M2_PR
NEW met1 ( 700080 1218225 ) M1M2_PR
NEW met1 ( 711120 1218225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[13\] ( soc mprj_dat_i[13] ) ( mprj wbs_dat_o[13] )
+ ROUTED met2 ( 632400 1344765 ) ( 632400 1377510 0 )
NEW met1 ( 632400 1344765 ) ( 703440 1344765 )
NEW met1 ( 703440 1149035 ) ( 1049520 1149035 )
NEW met2 ( 703440 1149035 ) ( 703440 1344765 )
NEW met2 ( 1049520 1117770 0 ) ( 1049520 1149035 )
NEW met1 ( 632400 1344765 ) M1M2_PR
NEW met1 ( 703440 1149035 ) M1M2_PR
NEW met1 ( 703440 1344765 ) M1M2_PR
NEW met1 ( 1049520 1149035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[14\] ( soc mprj_dat_i[14] ) ( mprj wbs_dat_o[14] )
+ ROUTED met2 ( 995280 1090390 ) ( 995280 1094275 )
NEW met3 ( 995280 1090390 ) ( 1022880 1090390 0 )
NEW met1 ( 650640 1352905 ) ( 699600 1352905 )
NEW met2 ( 650640 1352905 ) ( 650640 1377510 0 )
NEW met1 ( 699600 1250415 ) ( 706320 1250415 )
NEW met2 ( 706320 1180855 ) ( 706320 1250415 )
NEW met1 ( 701040 1180855 ) ( 706320 1180855 )
NEW met2 ( 699600 1250415 ) ( 699600 1352905 )
NEW met1 ( 701040 1175675 ) ( 709680 1175675 )
NEW met2 ( 709680 1094275 ) ( 709680 1175675 )
NEW met2 ( 701040 1175675 ) ( 701040 1180855 )
NEW met1 ( 709680 1094275 ) ( 995280 1094275 )
NEW met1 ( 995280 1094275 ) M1M2_PR
NEW met2 ( 995280 1090390 ) via2_FR
NEW met1 ( 699600 1352905 ) M1M2_PR
NEW met1 ( 650640 1352905 ) M1M2_PR
NEW met1 ( 699600 1250415 ) M1M2_PR
NEW met1 ( 706320 1250415 ) M1M2_PR
NEW met1 ( 706320 1180855 ) M1M2_PR
NEW met1 ( 701040 1180855 ) M1M2_PR
NEW met1 ( 701040 1175675 ) M1M2_PR
NEW met1 ( 709680 1175675 ) M1M2_PR
NEW met1 ( 709680 1094275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[15\] ( soc mprj_dat_i[15] ) ( mprj wbs_dat_o[15] )
+ ROUTED met1 ( 701520 1151995 ) ( 705360 1151995 )
NEW met2 ( 705360 1148110 ) ( 705360 1151995 )
NEW met2 ( 705360 1148110 ) ( 705840 1148110 )
NEW met2 ( 705840 1147555 ) ( 705840 1148110 )
NEW met1 ( 667920 1349945 ) ( 701520 1349945 )
NEW met2 ( 667920 1349945 ) ( 667920 1377510 0 )
NEW met1 ( 705840 1147555 ) ( 1051440 1147555 )
NEW met2 ( 1051440 1117770 0 ) ( 1051440 1147555 )
NEW met2 ( 701520 1151995 ) ( 701520 1349945 )
NEW met1 ( 701520 1151995 ) M1M2_PR
NEW met1 ( 705360 1151995 ) M1M2_PR
NEW met1 ( 705840 1147555 ) M1M2_PR
NEW met1 ( 701520 1349945 ) M1M2_PR
NEW met1 ( 667920 1349945 ) M1M2_PR
NEW met1 ( 1051440 1147555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[16\] ( soc mprj_dat_i[16] ) ( mprj wbs_dat_o[16] )
+ ROUTED met2 ( 702000 1195470 ) ( 702480 1195470 )
NEW met2 ( 702000 1147555 ) ( 702000 1195470 )
NEW met1 ( 702000 1147555 ) ( 705360 1147555 )
NEW met1 ( 705360 1147555 ) ( 705360 1147925 )
NEW met1 ( 685680 1353275 ) ( 702480 1353275 )
NEW met2 ( 685680 1353275 ) ( 685680 1377510 0 )
NEW met1 ( 705360 1147925 ) ( 1053360 1147925 )
NEW met2 ( 702480 1195470 ) ( 702480 1353275 )
NEW met2 ( 1053360 1117770 0 ) ( 1053360 1147925 )
NEW met1 ( 702000 1147555 ) M1M2_PR
NEW met1 ( 702480 1353275 ) M1M2_PR
NEW met1 ( 685680 1353275 ) M1M2_PR
NEW met1 ( 1053360 1147925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[17\] ( soc mprj_dat_i[17] ) ( mprj wbs_dat_o[17] )
+ ROUTED met2 ( 994320 1087430 ) ( 994320 1093535 )
NEW met3 ( 994320 1087430 ) ( 1022880 1087430 0 )
NEW met2 ( 704400 1187330 ) ( 705360 1187330 )
NEW met2 ( 703920 1377510 0 ) ( 705360 1377510 )
NEW met2 ( 704400 1093535 ) ( 704400 1187330 )
NEW met1 ( 704400 1093535 ) ( 994320 1093535 )
NEW met2 ( 705360 1187330 ) ( 705360 1377510 )
NEW met1 ( 994320 1093535 ) M1M2_PR
NEW met2 ( 994320 1087430 ) via2_FR
NEW met1 ( 704400 1093535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[18\] ( soc mprj_dat_i[18] ) ( mprj wbs_dat_o[18] )
+ ROUTED met1 ( 721680 1341065 ) ( 732720 1341065 )
NEW met2 ( 721680 1341065 ) ( 721680 1377510 0 )
NEW met1 ( 732720 1149775 ) ( 1055280 1149775 )
NEW met2 ( 732720 1149775 ) ( 732720 1341065 )
NEW met2 ( 1055280 1117770 0 ) ( 1055280 1149775 )
NEW met1 ( 732720 1149775 ) M1M2_PR
NEW met1 ( 732720 1341065 ) M1M2_PR
NEW met1 ( 721680 1341065 ) M1M2_PR
NEW met1 ( 1055280 1149775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[19\] ( soc mprj_dat_i[19] ) ( mprj wbs_dat_o[19] )
+ ROUTED met1 ( 739920 1343285 ) ( 748560 1343285 )
NEW met2 ( 739920 1343285 ) ( 739920 1377510 0 )
NEW met2 ( 748560 1093165 ) ( 748560 1343285 )
NEW met2 ( 994800 1086690 ) ( 994800 1093165 )
NEW met3 ( 994800 1086690 ) ( 1022880 1086690 )
NEW met3 ( 1022880 1084840 0 ) ( 1022880 1086690 )
NEW met1 ( 748560 1093165 ) ( 994800 1093165 )
NEW met1 ( 748560 1093165 ) M1M2_PR
NEW met1 ( 748560 1343285 ) M1M2_PR
NEW met1 ( 739920 1343285 ) M1M2_PR
NEW met1 ( 994800 1093165 ) M1M2_PR
NEW met2 ( 994800 1086690 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[1\] ( soc mprj_dat_i[1] ) ( mprj wbs_dat_o[1] )
+ ROUTED met2 ( 400560 1350315 ) ( 400560 1377510 0 )
NEW met2 ( 697200 1348650 ) ( 697200 1350315 )
NEW met2 ( 697200 1348650 ) ( 697680 1348650 )
NEW met1 ( 400560 1350315 ) ( 697200 1350315 )
NEW met2 ( 1056960 1117770 0 ) ( 1056960 1119250 )
NEW met2 ( 1056720 1119250 ) ( 1056960 1119250 )
NEW met2 ( 1056720 1119250 ) ( 1056720 1146815 )
NEW met2 ( 699600 1147185 ) ( 700080 1147185 )
NEW met1 ( 700080 1146815 ) ( 700080 1147185 )
NEW met1 ( 700080 1146815 ) ( 1056720 1146815 )
NEW met2 ( 697680 1199910 ) ( 699120 1199910 )
NEW met2 ( 699120 1163650 ) ( 699120 1199910 )
NEW met2 ( 699120 1163650 ) ( 699600 1163650 )
NEW met2 ( 697680 1199910 ) ( 697680 1348650 )
NEW met2 ( 699600 1147185 ) ( 699600 1163650 )
NEW met1 ( 400560 1350315 ) M1M2_PR
NEW met1 ( 697200 1350315 ) M1M2_PR
NEW met1 ( 1056720 1146815 ) M1M2_PR
NEW met1 ( 700080 1147185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[20\] ( soc mprj_dat_i[20] ) ( mprj wbs_dat_o[20] )
+ ROUTED met1 ( 757200 1339585 ) ( 762960 1339585 )
NEW met2 ( 757200 1339585 ) ( 757200 1377510 0 )
NEW met2 ( 762960 1150145 ) ( 762960 1339585 )
NEW met1 ( 762960 1150145 ) ( 1058640 1150145 )
NEW met2 ( 1058880 1117770 0 ) ( 1058880 1119250 )
NEW met2 ( 1058640 1119250 ) ( 1058880 1119250 )
NEW met2 ( 1058640 1119250 ) ( 1058640 1150145 )
NEW met1 ( 762960 1150145 ) M1M2_PR
NEW met1 ( 762960 1339585 ) M1M2_PR
NEW met1 ( 757200 1339585 ) M1M2_PR
NEW met1 ( 1058640 1150145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[21\] ( soc mprj_dat_i[21] ) ( mprj wbs_dat_o[21] )
+ ROUTED met2 ( 774960 1377510 0 ) ( 777360 1377510 )
NEW met2 ( 777360 1092795 ) ( 777360 1377510 )
NEW met2 ( 993840 1082250 ) ( 993840 1092795 )
NEW met3 ( 993840 1082250 ) ( 1022880 1082250 0 )
NEW met1 ( 777360 1092795 ) ( 993840 1092795 )
NEW met1 ( 777360 1092795 ) M1M2_PR
NEW met1 ( 993840 1092795 ) M1M2_PR
NEW met2 ( 993840 1082250 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[22\] ( soc mprj_dat_i[22] ) ( mprj wbs_dat_o[22] )
+ ROUTED met1 ( 793200 1353275 ) ( 806160 1353275 )
NEW met2 ( 793200 1353275 ) ( 793200 1377510 0 )
NEW met2 ( 806160 1150515 ) ( 806160 1353275 )
NEW met1 ( 806160 1150515 ) ( 1060560 1150515 )
NEW met2 ( 1060560 1117770 0 ) ( 1060560 1150515 )
NEW met1 ( 806160 1150515 ) M1M2_PR
NEW met1 ( 806160 1353275 ) M1M2_PR
NEW met1 ( 793200 1353275 ) M1M2_PR
NEW met1 ( 1060560 1150515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[23\] ( soc mprj_dat_i[23] ) ( mprj wbs_dat_o[23] )
+ ROUTED met1 ( 810960 1353275 ) ( 820560 1353275 )
NEW met2 ( 810960 1353275 ) ( 810960 1377510 0 )
NEW met2 ( 820560 1079105 ) ( 820560 1353275 )
NEW met2 ( 993840 1079105 ) ( 993840 1079290 )
NEW met3 ( 993840 1079290 ) ( 1022880 1079290 0 )
NEW met1 ( 820560 1079105 ) ( 993840 1079105 )
NEW met1 ( 820560 1079105 ) M1M2_PR
NEW met1 ( 820560 1353275 ) M1M2_PR
NEW met1 ( 810960 1353275 ) M1M2_PR
NEW met1 ( 993840 1079105 ) M1M2_PR
NEW met2 ( 993840 1079290 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[24\] ( soc mprj_dat_i[24] ) ( mprj wbs_dat_o[24] )
+ ROUTED met1 ( 829200 1353275 ) ( 834960 1353275 )
NEW met2 ( 829200 1353275 ) ( 829200 1377510 0 )
NEW met2 ( 834960 1150885 ) ( 834960 1353275 )
NEW met1 ( 834960 1150885 ) ( 1062480 1150885 )
NEW met2 ( 1062480 1117770 0 ) ( 1062480 1150885 )
NEW met1 ( 834960 1150885 ) M1M2_PR
NEW met1 ( 834960 1353275 ) M1M2_PR
NEW met1 ( 829200 1353275 ) M1M2_PR
NEW met1 ( 1062480 1150885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[25\] ( soc mprj_dat_i[25] ) ( mprj wbs_dat_o[25] )
+ ROUTED met2 ( 846480 1377510 0 ) ( 849360 1377510 )
NEW met1 ( 849360 1144595 ) ( 1064400 1144595 )
NEW met2 ( 849360 1144595 ) ( 849360 1377510 )
NEW met2 ( 1064400 1117770 0 ) ( 1064400 1144595 )
NEW met1 ( 849360 1144595 ) M1M2_PR
NEW met1 ( 1064400 1144595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[26\] ( soc mprj_dat_i[26] ) ( mprj wbs_dat_o[26] )
+ ROUTED met2 ( 994320 1078550 ) ( 994320 1078735 )
NEW met3 ( 994320 1078550 ) ( 1022880 1078550 )
NEW met3 ( 1022880 1076700 0 ) ( 1022880 1078550 )
NEW met1 ( 878160 1078735 ) ( 994320 1078735 )
NEW met1 ( 864240 1353275 ) ( 878160 1353275 )
NEW met2 ( 864240 1353275 ) ( 864240 1377510 0 )
NEW met2 ( 878160 1078735 ) ( 878160 1353275 )
NEW met1 ( 878160 1078735 ) M1M2_PR
NEW met1 ( 994320 1078735 ) M1M2_PR
NEW met2 ( 994320 1078550 ) via2_FR
NEW met1 ( 878160 1353275 ) M1M2_PR
NEW met1 ( 864240 1353275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[27\] ( soc mprj_dat_i[27] ) ( mprj wbs_dat_o[27] )
+ ROUTED met1 ( 882480 1353275 ) ( 892560 1353275 )
NEW met2 ( 882480 1353275 ) ( 882480 1377510 0 )
NEW met1 ( 892560 1144225 ) ( 1066320 1144225 )
NEW met2 ( 892560 1144225 ) ( 892560 1353275 )
NEW met2 ( 1066320 1117770 0 ) ( 1066320 1144225 )
NEW met1 ( 892560 1144225 ) M1M2_PR
NEW met1 ( 892560 1353275 ) M1M2_PR
NEW met1 ( 882480 1353275 ) M1M2_PR
NEW met1 ( 1066320 1144225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[28\] ( soc mprj_dat_i[28] ) ( mprj wbs_dat_o[28] )
+ ROUTED met2 ( 993840 1074110 ) ( 993840 1078365 )
NEW met3 ( 993840 1074110 ) ( 1022880 1074110 0 )
NEW met1 ( 906960 1078365 ) ( 993840 1078365 )
NEW met1 ( 900240 1353275 ) ( 906960 1353275 )
NEW met2 ( 900240 1353275 ) ( 900240 1377510 0 )
NEW met2 ( 906960 1078365 ) ( 906960 1353275 )
NEW met1 ( 906960 1078365 ) M1M2_PR
NEW met1 ( 993840 1078365 ) M1M2_PR
NEW met2 ( 993840 1074110 ) via2_FR
NEW met1 ( 906960 1353275 ) M1M2_PR
NEW met1 ( 900240 1353275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[29\] ( soc mprj_dat_i[29] ) ( mprj wbs_dat_o[29] )
+ ROUTED met1 ( 917520 1353275 ) ( 921360 1353275 )
NEW met2 ( 917520 1353275 ) ( 917520 1377510 0 )
NEW met1 ( 921360 1143855 ) ( 1067760 1143855 )
NEW met2 ( 921360 1143855 ) ( 921360 1353275 )
NEW met2 ( 1068000 1117770 0 ) ( 1068000 1119250 )
NEW met2 ( 1067760 1119250 ) ( 1068000 1119250 )
NEW met2 ( 1067760 1119250 ) ( 1067760 1143855 )
NEW met1 ( 921360 1143855 ) M1M2_PR
NEW met1 ( 921360 1353275 ) M1M2_PR
NEW met1 ( 917520 1353275 ) M1M2_PR
NEW met1 ( 1067760 1143855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[2\] ( soc mprj_dat_i[2] ) ( mprj wbs_dat_o[2] )
+ ROUTED met2 ( 424080 1350685 ) ( 424080 1377510 0 )
NEW met1 ( 702000 1196025 ) ( 705840 1196025 )
NEW met2 ( 705840 1181410 ) ( 705840 1196025 )
NEW met2 ( 705360 1181410 ) ( 705840 1181410 )
NEW met2 ( 705360 1152550 ) ( 705360 1181410 )
NEW met2 ( 705360 1152550 ) ( 706320 1152550 )
NEW met2 ( 706320 1146445 ) ( 706320 1152550 )
NEW met1 ( 424080 1350685 ) ( 702000 1350685 )
NEW met1 ( 706320 1146445 ) ( 1069680 1146445 )
NEW met2 ( 702000 1196025 ) ( 702000 1350685 )
NEW met2 ( 1069920 1117770 0 ) ( 1069920 1119250 )
NEW met2 ( 1069680 1119250 ) ( 1069920 1119250 )
NEW met2 ( 1069680 1119250 ) ( 1069680 1146445 )
NEW met1 ( 424080 1350685 ) M1M2_PR
NEW met1 ( 702000 1196025 ) M1M2_PR
NEW met1 ( 705840 1196025 ) M1M2_PR
NEW met1 ( 706320 1146445 ) M1M2_PR
NEW met1 ( 702000 1350685 ) M1M2_PR
NEW met1 ( 1069680 1146445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[30\] ( soc mprj_dat_i[30] ) ( mprj wbs_dat_o[30] )
+ ROUTED met2 ( 994320 1071150 ) ( 994320 1077995 )
NEW met3 ( 994320 1071150 ) ( 1022880 1071150 0 )
NEW met1 ( 935760 1077995 ) ( 994320 1077995 )
NEW met2 ( 935760 1077995 ) ( 935760 1377510 0 )
NEW met1 ( 935760 1077995 ) M1M2_PR
NEW met1 ( 994320 1077995 ) M1M2_PR
NEW met2 ( 994320 1071150 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_i_core\[31\] ( soc mprj_dat_i[31] ) ( mprj wbs_dat_o[31] )
+ ROUTED met1 ( 964560 1143115 ) ( 1029840 1143115 )
NEW met1 ( 1029840 1143115 ) ( 1029840 1143485 )
NEW met1 ( 953520 1342915 ) ( 964560 1342915 )
NEW met2 ( 953520 1342915 ) ( 953520 1377510 0 )
NEW met2 ( 964560 1143115 ) ( 964560 1342915 )
NEW met1 ( 1029840 1143485 ) ( 1071600 1143485 )
NEW met2 ( 1071600 1117770 0 ) ( 1071600 1143485 )
NEW met1 ( 964560 1143115 ) M1M2_PR
NEW met1 ( 964560 1342915 ) M1M2_PR
NEW met1 ( 953520 1342915 ) M1M2_PR
NEW met1 ( 1071600 1143485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[3\] ( soc mprj_dat_i[3] ) ( mprj wbs_dat_o[3] )
+ ROUTED met2 ( 994800 1070410 ) ( 994800 1079475 )
NEW met3 ( 994800 1070410 ) ( 1022880 1070410 )
NEW met3 ( 1022880 1068560 0 ) ( 1022880 1070410 )
NEW met2 ( 448080 1351055 ) ( 448080 1377510 0 )
NEW met1 ( 448080 1351055 ) ( 699120 1351055 )
NEW met1 ( 699120 1250785 ) ( 706800 1250785 )
NEW met2 ( 706800 1180485 ) ( 706800 1250785 )
NEW met2 ( 706320 1180485 ) ( 706800 1180485 )
NEW met2 ( 706320 1180115 ) ( 706320 1180485 )
NEW met2 ( 705840 1180115 ) ( 706320 1180115 )
NEW met2 ( 699120 1250785 ) ( 699120 1351055 )
NEW met1 ( 705840 1163095 ) ( 708720 1163095 )
NEW met2 ( 708720 1079475 ) ( 708720 1163095 )
NEW met2 ( 705840 1163095 ) ( 705840 1180115 )
NEW met1 ( 708720 1079475 ) ( 994800 1079475 )
NEW met1 ( 994800 1079475 ) M1M2_PR
NEW met2 ( 994800 1070410 ) via2_FR
NEW met1 ( 448080 1351055 ) M1M2_PR
NEW met1 ( 699120 1351055 ) M1M2_PR
NEW met1 ( 699120 1250785 ) M1M2_PR
NEW met1 ( 706800 1250785 ) M1M2_PR
NEW met1 ( 705840 1163095 ) M1M2_PR
NEW met1 ( 708720 1163095 ) M1M2_PR
NEW met1 ( 708720 1079475 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[4\] ( soc mprj_dat_i[4] ) ( mprj wbs_dat_o[4] )
+ ROUTED met2 ( 472080 1351425 ) ( 472080 1377510 0 )
NEW met1 ( 472080 1351425 ) ( 701040 1351425 )
NEW met2 ( 1073520 1117770 0 ) ( 1073520 1146075 )
NEW met1 ( 707280 1146075 ) ( 1073520 1146075 )
NEW met1 ( 701040 1265585 ) ( 707760 1265585 )
NEW met2 ( 707760 1179190 ) ( 707760 1265585 )
NEW met2 ( 707280 1179190 ) ( 707760 1179190 )
NEW met2 ( 701040 1265585 ) ( 701040 1351425 )
NEW met2 ( 707280 1146075 ) ( 707280 1179190 )
NEW met1 ( 472080 1351425 ) M1M2_PR
NEW met1 ( 701040 1351425 ) M1M2_PR
NEW met1 ( 1073520 1146075 ) M1M2_PR
NEW met1 ( 707280 1146075 ) M1M2_PR
NEW met1 ( 701040 1265585 ) M1M2_PR
NEW met1 ( 707760 1265585 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[5\] ( soc mprj_dat_i[5] ) ( mprj wbs_dat_o[5] )
+ ROUTED met2 ( 489840 1351795 ) ( 489840 1377510 0 )
NEW met1 ( 702960 1193805 ) ( 704880 1193805 )
NEW met2 ( 702960 1147185 ) ( 702960 1193805 )
NEW met2 ( 664560 1344025 ) ( 664560 1351795 )
NEW met1 ( 664560 1344025 ) ( 704880 1344025 )
NEW met1 ( 489840 1351795 ) ( 664560 1351795 )
NEW met1 ( 702960 1147185 ) ( 1075440 1147185 )
NEW met2 ( 704880 1193805 ) ( 704880 1344025 )
NEW met2 ( 1075440 1117770 0 ) ( 1075440 1147185 )
NEW met1 ( 489840 1351795 ) M1M2_PR
NEW met1 ( 704880 1193805 ) M1M2_PR
NEW met1 ( 702960 1193805 ) M1M2_PR
NEW met1 ( 702960 1147185 ) M1M2_PR
NEW met1 ( 664560 1351795 ) M1M2_PR
NEW met1 ( 664560 1344025 ) M1M2_PR
NEW met1 ( 704880 1344025 ) M1M2_PR
NEW met1 ( 1075440 1147185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[6\] ( soc mprj_dat_i[6] ) ( mprj wbs_dat_o[6] )
+ ROUTED met2 ( 995280 1065970 ) ( 995280 1079845 )
NEW met3 ( 995280 1065970 ) ( 1022880 1065970 0 )
NEW met2 ( 507600 1352165 ) ( 507600 1377510 0 )
NEW met1 ( 507600 1352165 ) ( 698640 1352165 )
NEW met1 ( 696240 1338845 ) ( 698640 1338845 )
NEW met2 ( 698640 1338845 ) ( 698640 1352165 )
NEW met1 ( 696240 1253745 ) ( 701040 1253745 )
NEW met2 ( 696240 1253745 ) ( 696240 1338845 )
NEW met1 ( 706800 1079845 ) ( 995280 1079845 )
NEW met1 ( 701040 1202315 ) ( 707280 1202315 )
NEW met2 ( 707280 1180115 ) ( 707280 1202315 )
NEW met2 ( 706800 1180115 ) ( 707280 1180115 )
NEW met2 ( 701040 1202315 ) ( 701040 1253745 )
NEW met2 ( 706800 1079845 ) ( 706800 1180115 )
NEW met1 ( 995280 1079845 ) M1M2_PR
NEW met2 ( 995280 1065970 ) via2_FR
NEW met1 ( 507600 1352165 ) M1M2_PR
NEW met1 ( 698640 1352165 ) M1M2_PR
NEW met1 ( 696240 1338845 ) M1M2_PR
NEW met1 ( 698640 1338845 ) M1M2_PR
NEW met1 ( 696240 1253745 ) M1M2_PR
NEW met1 ( 701040 1253745 ) M1M2_PR
NEW met1 ( 706800 1079845 ) M1M2_PR
NEW met1 ( 701040 1202315 ) M1M2_PR
NEW met1 ( 707280 1202315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[7\] ( soc mprj_dat_i[7] ) ( mprj wbs_dat_o[7] )
+ ROUTED met2 ( 525360 1352535 ) ( 525360 1377510 0 )
NEW met2 ( 696720 1347910 ) ( 696720 1352535 )
NEW met2 ( 696720 1347910 ) ( 697200 1347910 )
NEW met1 ( 525360 1352535 ) ( 696720 1352535 )
NEW met2 ( 1077360 1117770 0 ) ( 1077360 1145705 )
NEW met2 ( 697200 1146630 ) ( 699600 1146630 )
NEW met2 ( 699600 1146075 ) ( 699600 1146630 )
NEW met2 ( 699600 1146075 ) ( 700080 1146075 )
NEW met1 ( 700080 1145705 ) ( 700080 1146075 )
NEW met1 ( 700080 1145705 ) ( 1077360 1145705 )
NEW met2 ( 697200 1163650 ) ( 698160 1163650 )
NEW met2 ( 698160 1163650 ) ( 698160 1167350 )
NEW met2 ( 697200 1167350 ) ( 698160 1167350 )
NEW met2 ( 697200 1146630 ) ( 697200 1163650 )
NEW met2 ( 697200 1167350 ) ( 697200 1347910 )
NEW met1 ( 525360 1352535 ) M1M2_PR
NEW met1 ( 696720 1352535 ) M1M2_PR
NEW met1 ( 1077360 1145705 ) M1M2_PR
NEW met1 ( 700080 1146075 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[8\] ( soc mprj_dat_i[8] ) ( mprj wbs_dat_o[8] )
+ ROUTED met2 ( 543120 1352905 ) ( 543120 1377510 0 )
NEW met2 ( 993840 1063010 ) ( 993840 1065415 )
NEW met3 ( 993840 1063010 ) ( 1022880 1063010 0 )
NEW met2 ( 650160 1344395 ) ( 650160 1352905 )
NEW met1 ( 650160 1344395 ) ( 698160 1344395 )
NEW met1 ( 543120 1352905 ) ( 650160 1352905 )
NEW met1 ( 700080 1065415 ) ( 993840 1065415 )
NEW met2 ( 700080 1151255 ) ( 701520 1151255 )
NEW met2 ( 701520 1137935 ) ( 701520 1151255 )
NEW met1 ( 700080 1137935 ) ( 701520 1137935 )
NEW met2 ( 700080 1065415 ) ( 700080 1137935 )
NEW met2 ( 698160 1201390 ) ( 700080 1201390 )
NEW met2 ( 698160 1201390 ) ( 698160 1344395 )
NEW met2 ( 700080 1151255 ) ( 700080 1201390 )
NEW met1 ( 543120 1352905 ) M1M2_PR
NEW met1 ( 993840 1065415 ) M1M2_PR
NEW met2 ( 993840 1063010 ) via2_FR
NEW met1 ( 650160 1352905 ) M1M2_PR
NEW met1 ( 650160 1344395 ) M1M2_PR
NEW met1 ( 698160 1344395 ) M1M2_PR
NEW met1 ( 700080 1065415 ) M1M2_PR
NEW met1 ( 701520 1137935 ) M1M2_PR
NEW met1 ( 700080 1137935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_i_core\[9\] ( soc mprj_dat_i[9] ) ( mprj wbs_dat_o[9] )
+ ROUTED met2 ( 561360 1353275 ) ( 561360 1377510 0 )
NEW met2 ( 665040 1351795 ) ( 665040 1353275 )
NEW met1 ( 665040 1351795 ) ( 695760 1351795 )
NEW met2 ( 695760 1347170 ) ( 695760 1351795 )
NEW met2 ( 695760 1347170 ) ( 696720 1347170 )
NEW met1 ( 561360 1353275 ) ( 665040 1353275 )
NEW met2 ( 1079040 1117770 0 ) ( 1079040 1119250 )
NEW met2 ( 1078800 1119250 ) ( 1079040 1119250 )
NEW met2 ( 1078800 1119250 ) ( 1078800 1145335 )
NEW met1 ( 721680 1145335 ) ( 1078800 1145335 )
NEW met1 ( 696720 1251155 ) ( 721680 1251155 )
NEW met2 ( 696720 1251155 ) ( 696720 1347170 )
NEW met2 ( 721680 1145335 ) ( 721680 1251155 )
NEW met1 ( 561360 1353275 ) M1M2_PR
NEW met1 ( 665040 1353275 ) M1M2_PR
NEW met1 ( 665040 1351795 ) M1M2_PR
NEW met1 ( 695760 1351795 ) M1M2_PR
NEW met1 ( 1078800 1145335 ) M1M2_PR
NEW met1 ( 721680 1145335 ) M1M2_PR
NEW met1 ( 696720 1251155 ) M1M2_PR
NEW met1 ( 721680 1251155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[0\] ( soc mprj_dat_o[0] ) ( mgmt_buffers mprj_dat_o_core[0] )
+ ROUTED met2 ( 2199120 1150145 ) ( 2199120 1197690 )
NEW met2 ( 2197920 1197690 ) ( 2199120 1197690 )
NEW met2 ( 2197920 1197690 ) ( 2197920 1199170 0 )
NEW met1 ( 2199120 1150145 ) ( 2538000 1150145 )
NEW met2 ( 2538240 1117770 0 ) ( 2538240 1119250 )
NEW met2 ( 2538000 1119250 ) ( 2538240 1119250 )
NEW met2 ( 2538000 1119250 ) ( 2538000 1150145 )
NEW met1 ( 2199120 1150145 ) M1M2_PR
NEW met1 ( 2538000 1150145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[10\] ( soc mprj_dat_o[10] ) ( mgmt_buffers mprj_dat_o_core[10] )
+ ROUTED met1 ( 2165520 1149405 ) ( 2165520 1150145 )
NEW met1 ( 2165520 1149405 ) ( 2199600 1149405 )
NEW met2 ( 2199600 1149405 ) ( 2199600 1199170 0 )
NEW met2 ( 1759920 1117770 0 ) ( 1759920 1150145 )
NEW met1 ( 1759920 1150145 ) ( 2165520 1150145 )
NEW met1 ( 1759920 1150145 ) M1M2_PR
NEW met1 ( 2199600 1149405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[11\] ( soc mprj_dat_o[11] ) ( mgmt_buffers mprj_dat_o_core[11] )
+ ROUTED met2 ( 2201520 1178635 ) ( 2201520 1199170 0 )
NEW met2 ( 2474160 1147185 ) ( 2474160 1178635 )
NEW met1 ( 2474160 1147185 ) ( 2539920 1147185 )
NEW met1 ( 2201520 1178635 ) ( 2474160 1178635 )
NEW met2 ( 2539920 1117770 0 ) ( 2539920 1147185 )
NEW met1 ( 2201520 1178635 ) M1M2_PR
NEW met1 ( 2474160 1178635 ) M1M2_PR
NEW met1 ( 2474160 1147185 ) M1M2_PR
NEW met1 ( 2539920 1147185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[12\] ( soc mprj_dat_o[12] ) ( mgmt_buffers mprj_dat_o_core[12] )
+ ROUTED met2 ( 1758000 1117770 0 ) ( 1758000 1139415 )
NEW met1 ( 2160720 1137195 ) ( 2203440 1137195 )
NEW met2 ( 2160720 1137195 ) ( 2160720 1139415 )
NEW met2 ( 2203440 1137195 ) ( 2203440 1199170 0 )
NEW met1 ( 1758000 1139415 ) ( 2160720 1139415 )
NEW met1 ( 1758000 1139415 ) M1M2_PR
NEW met1 ( 2160720 1139415 ) M1M2_PR
NEW met1 ( 2160720 1137195 ) M1M2_PR
NEW met1 ( 2203440 1137195 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[13\] ( soc mprj_dat_o[13] ) ( mgmt_buffers mprj_dat_o_core[13] )
+ ROUTED met2 ( 2205360 1154770 ) ( 2205360 1199170 0 )
NEW met3 ( 2205360 1154770 ) ( 2541840 1154770 )
NEW met2 ( 2541840 1117770 0 ) ( 2541840 1154770 )
NEW met2 ( 2205360 1154770 ) via2_FR
NEW met2 ( 2541840 1154770 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[14\] ( soc mprj_dat_o[14] ) ( mgmt_buffers mprj_dat_o_core[14] )
+ ROUTED met2 ( 2206800 1197690 ) ( 2207040 1197690 )
NEW met2 ( 2207040 1197690 ) ( 2207040 1199170 0 )
NEW met2 ( 1756080 1117770 0 ) ( 1756080 1139045 )
NEW met1 ( 2164560 1137565 ) ( 2206800 1137565 )
NEW met2 ( 2164560 1137565 ) ( 2164560 1139045 )
NEW met2 ( 2206800 1137565 ) ( 2206800 1197690 )
NEW met1 ( 1756080 1139045 ) ( 2164560 1139045 )
NEW met1 ( 1756080 1139045 ) M1M2_PR
NEW met1 ( 2164560 1139045 ) M1M2_PR
NEW met1 ( 2164560 1137565 ) M1M2_PR
NEW met1 ( 2206800 1137565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[15\] ( soc mprj_dat_o[15] ) ( mgmt_buffers mprj_dat_o_core[15] )
+ ROUTED met2 ( 2209200 1179005 ) ( 2209200 1197690 )
NEW met2 ( 2208960 1197690 ) ( 2209200 1197690 )
NEW met2 ( 2208960 1197690 ) ( 2208960 1199170 0 )
NEW met2 ( 2467920 1145705 ) ( 2467920 1179005 )
NEW met1 ( 2467920 1145705 ) ( 2543760 1145705 )
NEW met1 ( 2209200 1179005 ) ( 2467920 1179005 )
NEW met2 ( 2543760 1117770 0 ) ( 2543760 1145705 )
NEW met1 ( 2209200 1179005 ) M1M2_PR
NEW met1 ( 2467920 1179005 ) M1M2_PR
NEW met1 ( 2467920 1145705 ) M1M2_PR
NEW met1 ( 2543760 1145705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[16\] ( soc mprj_dat_o[16] ) ( mgmt_buffers mprj_dat_o_core[16] )
+ ROUTED met2 ( 1754400 1117770 0 ) ( 1754400 1119250 )
NEW met2 ( 1754400 1119250 ) ( 1754640 1119250 )
NEW met2 ( 1754640 1119250 ) ( 1754640 1138675 )
NEW met1 ( 2161680 1136825 ) ( 2210640 1136825 )
NEW met2 ( 2161680 1136825 ) ( 2161680 1138675 )
NEW met2 ( 2210640 1136825 ) ( 2210640 1199170 0 )
NEW met1 ( 1754640 1138675 ) ( 2161680 1138675 )
NEW met1 ( 1754640 1138675 ) M1M2_PR
NEW met1 ( 2161680 1138675 ) M1M2_PR
NEW met1 ( 2161680 1136825 ) M1M2_PR
NEW met1 ( 2210640 1136825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[17\] ( soc mprj_dat_o[17] ) ( mgmt_buffers mprj_dat_o_core[17] )
+ ROUTED met2 ( 2237520 1148295 ) ( 2237520 1172715 )
NEW met1 ( 2212560 1172715 ) ( 2237520 1172715 )
NEW met2 ( 2212560 1172715 ) ( 2212560 1199170 0 )
NEW met2 ( 2296560 1142375 ) ( 2296560 1148295 )
NEW met1 ( 2237520 1148295 ) ( 2296560 1148295 )
NEW met1 ( 2296560 1142375 ) ( 2545680 1142375 )
NEW met2 ( 2545680 1117770 0 ) ( 2545680 1142375 )
NEW met1 ( 2237520 1148295 ) M1M2_PR
NEW met1 ( 2237520 1172715 ) M1M2_PR
NEW met1 ( 2212560 1172715 ) M1M2_PR
NEW met1 ( 2296560 1148295 ) M1M2_PR
NEW met1 ( 2296560 1142375 ) M1M2_PR
NEW met1 ( 2545680 1142375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[18\] ( soc mprj_dat_o[18] ) ( mgmt_buffers mprj_dat_o_core[18] )
+ ROUTED met2 ( 1752480 1117770 0 ) ( 1752480 1119250 )
NEW met2 ( 1752480 1119250 ) ( 1752720 1119250 )
NEW met2 ( 1752720 1119250 ) ( 1752720 1144225 )
NEW met1 ( 2161200 1136455 ) ( 2214480 1136455 )
NEW met2 ( 2161200 1136455 ) ( 2161200 1143115 )
NEW met2 ( 2214480 1136455 ) ( 2214480 1199170 0 )
NEW met1 ( 1831920 1143485 ) ( 1831920 1144225 )
NEW met1 ( 1831920 1143485 ) ( 1842480 1143485 )
NEW met1 ( 1842480 1143115 ) ( 1842480 1143485 )
NEW met1 ( 1752720 1144225 ) ( 1831920 1144225 )
NEW met1 ( 1842480 1143115 ) ( 2161200 1143115 )
NEW met1 ( 1752720 1144225 ) M1M2_PR
NEW met1 ( 2161200 1143115 ) M1M2_PR
NEW met1 ( 2161200 1136455 ) M1M2_PR
NEW met1 ( 2214480 1136455 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[19\] ( soc mprj_dat_o[19] ) ( mgmt_buffers mprj_dat_o_core[19] )
+ ROUTED met2 ( 2216400 1179375 ) ( 2216400 1199170 0 )
NEW met2 ( 2468880 1143855 ) ( 2468880 1179375 )
NEW met1 ( 2468880 1143855 ) ( 2547120 1143855 )
NEW met1 ( 2216400 1179375 ) ( 2468880 1179375 )
NEW met2 ( 2547360 1117770 0 ) ( 2547360 1119250 )
NEW met2 ( 2547120 1119250 ) ( 2547360 1119250 )
NEW met2 ( 2547120 1119250 ) ( 2547120 1143855 )
NEW met1 ( 2216400 1179375 ) M1M2_PR
NEW met1 ( 2468880 1179375 ) M1M2_PR
NEW met1 ( 2468880 1143855 ) M1M2_PR
NEW met1 ( 2547120 1143855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[1\] ( soc mprj_dat_o[1] ) ( mgmt_buffers mprj_dat_o_core[1] )
+ ROUTED met1 ( 2165040 1149035 ) ( 2165040 1149775 )
NEW met2 ( 1750800 1117770 0 ) ( 1750800 1149775 )
NEW met1 ( 1750800 1149775 ) ( 2165040 1149775 )
NEW met2 ( 2218320 1149035 ) ( 2218320 1151810 )
NEW met3 ( 2218080 1151810 ) ( 2218320 1151810 )
NEW met4 ( 2218080 1151810 ) ( 2218080 1180670 )
NEW met3 ( 2218080 1180670 ) ( 2218320 1180670 )
NEW met2 ( 2218320 1180670 ) ( 2218320 1197690 )
NEW met2 ( 2218080 1197690 ) ( 2218320 1197690 )
NEW met2 ( 2218080 1197690 ) ( 2218080 1199170 0 )
NEW met1 ( 2165040 1149035 ) ( 2218320 1149035 )
NEW met1 ( 1750800 1149775 ) M1M2_PR
NEW met1 ( 2218320 1149035 ) M1M2_PR
NEW met2 ( 2218320 1151810 ) via2_FR
NEW met3 ( 2218080 1151810 ) M3M4_PR_M
NEW met3 ( 2218080 1180670 ) M3M4_PR_M
NEW met2 ( 2218320 1180670 ) via2_FR
NEW met3 ( 2218320 1151810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 2218080 1180670 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_dat_o_core\[20\] ( soc mprj_dat_o[20] ) ( mgmt_buffers mprj_dat_o_core[20] )
+ ROUTED met2 ( 2549520 1119250 ) ( 2549520 1160690 )
NEW met2 ( 2549280 1119250 ) ( 2549520 1119250 )
NEW met2 ( 2549280 1117770 0 ) ( 2549280 1119250 )
NEW met2 ( 2270160 1160690 ) ( 2270160 1197875 )
NEW met1 ( 2220000 1197875 ) ( 2270160 1197875 )
NEW met2 ( 2220000 1197875 ) ( 2220000 1199170 0 )
NEW met3 ( 2270160 1160690 ) ( 2549520 1160690 )
NEW met2 ( 2549520 1160690 ) via2_FR
NEW met2 ( 2270160 1160690 ) via2_FR
NEW met1 ( 2270160 1197875 ) M1M2_PR
NEW met1 ( 2220000 1197875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[21\] ( soc mprj_dat_o[21] ) ( mgmt_buffers mprj_dat_o_core[21] )
+ ROUTED met1 ( 2164560 1148295 ) ( 2164560 1149405 )
NEW met2 ( 1748880 1117770 0 ) ( 1748880 1149405 )
NEW met1 ( 1748880 1149405 ) ( 2164560 1149405 )
NEW met2 ( 2222640 1148295 ) ( 2222640 1197505 )
NEW met2 ( 2221680 1197505 ) ( 2222640 1197505 )
NEW met2 ( 2221680 1197505 ) ( 2221680 1199170 0 )
NEW met1 ( 2164560 1148295 ) ( 2222640 1148295 )
NEW met1 ( 1748880 1149405 ) M1M2_PR
NEW met1 ( 2222640 1148295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[22\] ( soc mprj_dat_o[22] ) ( mgmt_buffers mprj_dat_o_core[22] )
+ ROUTED met2 ( 2550960 1117770 0 ) ( 2550960 1144595 )
NEW met2 ( 2223600 1180115 ) ( 2223600 1199170 0 )
NEW met2 ( 2475120 1144595 ) ( 2475120 1180115 )
NEW met1 ( 2223600 1180115 ) ( 2475120 1180115 )
NEW met1 ( 2475120 1144595 ) ( 2550960 1144595 )
NEW met1 ( 2550960 1144595 ) M1M2_PR
NEW met1 ( 2223600 1180115 ) M1M2_PR
NEW met1 ( 2475120 1180115 ) M1M2_PR
NEW met1 ( 2475120 1144595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[23\] ( soc mprj_dat_o[23] ) ( mgmt_buffers mprj_dat_o_core[23] )
+ ROUTED met1 ( 1746960 1143115 ) ( 1805040 1143115 )
NEW met2 ( 1805040 1140155 ) ( 1805040 1143115 )
NEW met2 ( 1746960 1117770 0 ) ( 1746960 1143115 )
NEW met2 ( 2225520 1127205 ) ( 2225520 1199170 0 )
NEW met2 ( 2074320 1140155 ) ( 2074320 1144595 )
NEW met1 ( 2074320 1144595 ) ( 2139600 1144595 )
NEW met1 ( 1805040 1140155 ) ( 2074320 1140155 )
NEW met2 ( 2139600 1127205 ) ( 2139600 1144595 )
NEW met1 ( 2139600 1127205 ) ( 2225520 1127205 )
NEW met1 ( 1746960 1143115 ) M1M2_PR
NEW met1 ( 1805040 1143115 ) M1M2_PR
NEW met1 ( 1805040 1140155 ) M1M2_PR
NEW met1 ( 2225520 1127205 ) M1M2_PR
NEW met1 ( 2074320 1140155 ) M1M2_PR
NEW met1 ( 2074320 1144595 ) M1M2_PR
NEW met1 ( 2139600 1144595 ) M1M2_PR
NEW met1 ( 2139600 1127205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[24\] ( soc mprj_dat_o[24] ) ( mgmt_buffers mprj_dat_o_core[24] )
+ ROUTED met2 ( 2552880 1117770 0 ) ( 2552880 1149035 )
NEW met2 ( 2227920 1149035 ) ( 2227920 1149590 )
NEW met2 ( 2227440 1149590 ) ( 2227920 1149590 )
NEW met2 ( 2227440 1149590 ) ( 2227440 1199170 0 )
NEW met1 ( 2227920 1149035 ) ( 2552880 1149035 )
NEW met1 ( 2552880 1149035 ) M1M2_PR
NEW met1 ( 2227920 1149035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[25\] ( soc mprj_dat_o[25] ) ( mgmt_buffers mprj_dat_o_core[25] )
+ ROUTED met1 ( 2164080 1147925 ) ( 2164080 1149035 )
NEW met1 ( 2164080 1147925 ) ( 2229360 1147925 )
NEW met2 ( 2229360 1147925 ) ( 2229360 1197690 )
NEW met2 ( 2229120 1197690 ) ( 2229360 1197690 )
NEW met2 ( 2229120 1197690 ) ( 2229120 1199170 0 )
NEW met2 ( 1745040 1117770 0 ) ( 1745040 1149035 )
NEW met1 ( 1745040 1149035 ) ( 2164080 1149035 )
NEW met1 ( 1745040 1149035 ) M1M2_PR
NEW met1 ( 2229360 1147925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[26\] ( soc mprj_dat_o[26] ) ( mgmt_buffers mprj_dat_o_core[26] )
+ ROUTED met2 ( 2554800 1117770 0 ) ( 2554800 1145335 )
NEW met2 ( 2231280 1172345 ) ( 2231280 1197690 )
NEW met2 ( 2231040 1197690 ) ( 2231280 1197690 )
NEW met2 ( 2231040 1197690 ) ( 2231040 1199170 0 )
NEW met2 ( 2469840 1145335 ) ( 2469840 1172345 )
NEW met1 ( 2231280 1172345 ) ( 2469840 1172345 )
NEW met1 ( 2469840 1145335 ) ( 2554800 1145335 )
NEW met1 ( 2554800 1145335 ) M1M2_PR
NEW met1 ( 2231280 1172345 ) M1M2_PR
NEW met1 ( 2469840 1172345 ) M1M2_PR
NEW met1 ( 2469840 1145335 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[27\] ( soc mprj_dat_o[27] ) ( mgmt_buffers mprj_dat_o_core[27] )
+ ROUTED met1 ( 2163600 1147555 ) ( 2163600 1148665 )
NEW met1 ( 2163600 1147555 ) ( 2234640 1147555 )
NEW met2 ( 2234640 1147555 ) ( 2234640 1167905 )
NEW met1 ( 2232720 1167905 ) ( 2234640 1167905 )
NEW met2 ( 2232720 1167905 ) ( 2232720 1199170 0 )
NEW met2 ( 1743360 1117770 0 ) ( 1743360 1119250 )
NEW met2 ( 1743360 1119250 ) ( 1743600 1119250 )
NEW met2 ( 1743600 1119250 ) ( 1743600 1148665 )
NEW met1 ( 1743600 1148665 ) ( 2163600 1148665 )
NEW met1 ( 1743600 1148665 ) M1M2_PR
NEW met1 ( 2234640 1147555 ) M1M2_PR
NEW met1 ( 2234640 1167905 ) M1M2_PR
NEW met1 ( 2232720 1167905 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[28\] ( soc mprj_dat_o[28] ) ( mgmt_buffers mprj_dat_o_core[28] )
+ ROUTED met2 ( 2556720 1117770 0 ) ( 2556720 1144965 )
NEW met2 ( 2234640 1179745 ) ( 2234640 1199170 0 )
NEW met2 ( 2489520 1144965 ) ( 2489520 1179745 )
NEW met1 ( 2234640 1179745 ) ( 2489520 1179745 )
NEW met1 ( 2489520 1144965 ) ( 2556720 1144965 )
NEW met1 ( 2556720 1144965 ) M1M2_PR
NEW met1 ( 2234640 1179745 ) M1M2_PR
NEW met1 ( 2489520 1179745 ) M1M2_PR
NEW met1 ( 2489520 1144965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[29\] ( soc mprj_dat_o[29] ) ( mgmt_buffers mprj_dat_o_core[29] )
+ ROUTED met2 ( 2163120 1140895 ) ( 2163120 1148295 )
NEW met1 ( 2163120 1140895 ) ( 2236080 1140895 )
NEW met2 ( 2236080 1140895 ) ( 2236080 1187330 )
NEW met2 ( 2236080 1187330 ) ( 2236560 1187330 )
NEW met2 ( 2236560 1187330 ) ( 2236560 1199170 0 )
NEW met1 ( 1741680 1148295 ) ( 2163120 1148295 )
NEW met2 ( 1741440 1117770 0 ) ( 1741440 1119250 )
NEW met2 ( 1741440 1119250 ) ( 1741680 1119250 )
NEW met2 ( 1741680 1119250 ) ( 1741680 1148295 )
NEW met1 ( 2163120 1148295 ) M1M2_PR
NEW met1 ( 2163120 1140895 ) M1M2_PR
NEW met1 ( 2236080 1140895 ) M1M2_PR
NEW met1 ( 1741680 1148295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[2\] ( soc mprj_dat_o[2] ) ( mgmt_buffers mprj_dat_o_core[2] )
+ ROUTED met2 ( 2558400 1117770 0 ) ( 2558400 1119250 )
NEW met2 ( 2558160 1119250 ) ( 2558400 1119250 )
NEW met2 ( 2558160 1119250 ) ( 2558160 1147925 )
NEW met2 ( 2238480 1147925 ) ( 2238480 1199170 0 )
NEW met1 ( 2238480 1147925 ) ( 2558160 1147925 )
NEW met1 ( 2558160 1147925 ) M1M2_PR
NEW met1 ( 2238480 1147925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[30\] ( soc mprj_dat_o[30] ) ( mgmt_buffers mprj_dat_o_core[30] )
+ ROUTED met2 ( 2162160 1143115 ) ( 2162160 1147925 )
NEW met1 ( 2162160 1143115 ) ( 2174640 1143115 )
NEW met2 ( 2174640 1142930 ) ( 2174640 1143115 )
NEW met2 ( 2174640 1142930 ) ( 2175120 1142930 )
NEW met2 ( 2175120 1142375 ) ( 2175120 1142930 )
NEW met1 ( 2175120 1142375 ) ( 2195280 1142375 )
NEW met2 ( 2195280 1142375 ) ( 2195280 1149775 )
NEW met1 ( 2195280 1149775 ) ( 2239440 1149775 )
NEW met2 ( 2239440 1149775 ) ( 2239440 1197690 )
NEW met2 ( 2239440 1197690 ) ( 2240160 1197690 )
NEW met2 ( 2240160 1197690 ) ( 2240160 1199170 0 )
NEW met2 ( 1739760 1117770 0 ) ( 1739760 1147925 )
NEW met2 ( 1840560 1147925 ) ( 1840560 1148110 )
NEW met3 ( 1840560 1148110 ) ( 1866960 1148110 )
NEW met2 ( 1866960 1147925 ) ( 1866960 1148110 )
NEW met1 ( 1739760 1147925 ) ( 1840560 1147925 )
NEW met1 ( 1866960 1147925 ) ( 2162160 1147925 )
NEW met1 ( 2162160 1147925 ) M1M2_PR
NEW met1 ( 2162160 1143115 ) M1M2_PR
NEW met1 ( 2174640 1143115 ) M1M2_PR
NEW met1 ( 2175120 1142375 ) M1M2_PR
NEW met1 ( 2195280 1142375 ) M1M2_PR
NEW met1 ( 2195280 1149775 ) M1M2_PR
NEW met1 ( 2239440 1149775 ) M1M2_PR
NEW met1 ( 1739760 1147925 ) M1M2_PR
NEW met1 ( 1840560 1147925 ) M1M2_PR
NEW met2 ( 1840560 1148110 ) via2_FR
NEW met2 ( 1866960 1148110 ) via2_FR
NEW met1 ( 1866960 1147925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[31\] ( soc mprj_dat_o[31] ) ( mgmt_buffers mprj_dat_o_core[31] )
+ ROUTED met2 ( 2560320 1117770 0 ) ( 2560320 1119250 )
NEW met2 ( 2560080 1119250 ) ( 2560320 1119250 )
NEW met2 ( 2560080 1119250 ) ( 2560080 1144225 )
NEW met2 ( 2242320 1173085 ) ( 2242320 1197690 )
NEW met2 ( 2242080 1197690 ) ( 2242320 1197690 )
NEW met2 ( 2242080 1197690 ) ( 2242080 1199170 0 )
NEW met2 ( 2490480 1144225 ) ( 2490480 1173085 )
NEW met1 ( 2242320 1173085 ) ( 2490480 1173085 )
NEW met1 ( 2490480 1144225 ) ( 2560080 1144225 )
NEW met1 ( 2560080 1144225 ) M1M2_PR
NEW met1 ( 2242320 1173085 ) M1M2_PR
NEW met1 ( 2490480 1173085 ) M1M2_PR
NEW met1 ( 2490480 1144225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[3\] ( soc mprj_dat_o[3] ) ( mgmt_buffers mprj_dat_o_core[3] )
+ ROUTED met2 ( 1792560 1146445 ) ( 1792560 1147555 )
NEW met2 ( 2161680 1139415 ) ( 2161680 1147555 )
NEW met1 ( 2161680 1139415 ) ( 2177040 1139415 )
NEW met1 ( 2177040 1139415 ) ( 2177040 1139785 )
NEW met1 ( 1737840 1146445 ) ( 1792560 1146445 )
NEW met1 ( 1792560 1147555 ) ( 2161680 1147555 )
NEW met2 ( 1737840 1117770 0 ) ( 1737840 1146445 )
NEW met2 ( 2202960 1139785 ) ( 2202960 1144410 )
NEW met3 ( 2202960 1144410 ) ( 2243760 1144410 )
NEW met1 ( 2177040 1139785 ) ( 2202960 1139785 )
NEW met2 ( 2243760 1144410 ) ( 2243760 1199170 0 )
NEW met1 ( 1792560 1146445 ) M1M2_PR
NEW met1 ( 1792560 1147555 ) M1M2_PR
NEW met1 ( 2161680 1147555 ) M1M2_PR
NEW met1 ( 2161680 1139415 ) M1M2_PR
NEW met1 ( 1737840 1146445 ) M1M2_PR
NEW met1 ( 2202960 1139785 ) M1M2_PR
NEW met2 ( 2202960 1144410 ) via2_FR
NEW met2 ( 2243760 1144410 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_core\[4\] ( soc mprj_dat_o[4] ) ( mgmt_buffers mprj_dat_o_core[4] )
+ ROUTED met2 ( 2562000 1117770 0 ) ( 2562000 1147555 )
NEW met2 ( 2245680 1147555 ) ( 2245680 1199170 0 )
NEW met1 ( 2245680 1147555 ) ( 2562000 1147555 )
NEW met1 ( 2562000 1147555 ) M1M2_PR
NEW met1 ( 2245680 1147555 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[5\] ( soc mprj_dat_o[5] ) ( mgmt_buffers mprj_dat_o_core[5] )
+ ROUTED met2 ( 2247600 1146815 ) ( 2247600 1199170 0 )
NEW met2 ( 1735920 1117770 0 ) ( 1735920 1146815 )
NEW met1 ( 1735920 1146815 ) ( 2247600 1146815 )
NEW met1 ( 1735920 1146815 ) M1M2_PR
NEW met1 ( 2247600 1146815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[6\] ( soc mprj_dat_o[6] ) ( mgmt_buffers mprj_dat_o_core[6] )
+ ROUTED met2 ( 2563920 1117770 0 ) ( 2563920 1138305 )
NEW met2 ( 2249520 1177895 ) ( 2249520 1199170 0 )
NEW met2 ( 2534640 1138305 ) ( 2534640 1177895 )
NEW met1 ( 2249520 1177895 ) ( 2534640 1177895 )
NEW met1 ( 2534640 1138305 ) ( 2563920 1138305 )
NEW met1 ( 2563920 1138305 ) M1M2_PR
NEW met1 ( 2249520 1177895 ) M1M2_PR
NEW met1 ( 2534640 1177895 ) M1M2_PR
NEW met1 ( 2534640 1138305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[7\] ( soc mprj_dat_o[7] ) ( mgmt_buffers mprj_dat_o_core[7] )
+ ROUTED met2 ( 1792080 1139230 ) ( 1792080 1141635 )
NEW met3 ( 1792080 1139230 ) ( 1795920 1139230 )
NEW met2 ( 1795920 1138305 ) ( 1795920 1139230 )
NEW met2 ( 2160240 1136270 ) ( 2160720 1136270 )
NEW met2 ( 2160720 1134605 ) ( 2160720 1136270 )
NEW met1 ( 2160720 1134605 ) ( 2215440 1134605 )
NEW met2 ( 2215440 1134605 ) ( 2215440 1136085 )
NEW met2 ( 2160240 1136270 ) ( 2160240 1138305 )
NEW met1 ( 1734000 1141635 ) ( 1792080 1141635 )
NEW met1 ( 1795920 1138305 ) ( 2160240 1138305 )
NEW met2 ( 2250960 1197690 ) ( 2251200 1197690 )
NEW met2 ( 2251200 1197690 ) ( 2251200 1199170 0 )
NEW met2 ( 1734000 1117770 0 ) ( 1734000 1141635 )
NEW met1 ( 2215440 1136085 ) ( 2250960 1136085 )
NEW met2 ( 2250960 1136085 ) ( 2250960 1197690 )
NEW met1 ( 1792080 1141635 ) M1M2_PR
NEW met2 ( 1792080 1139230 ) via2_FR
NEW met2 ( 1795920 1139230 ) via2_FR
NEW met1 ( 1795920 1138305 ) M1M2_PR
NEW met1 ( 2160240 1138305 ) M1M2_PR
NEW met1 ( 2160720 1134605 ) M1M2_PR
NEW met1 ( 2215440 1134605 ) M1M2_PR
NEW met1 ( 2215440 1136085 ) M1M2_PR
NEW met1 ( 1734000 1141635 ) M1M2_PR
NEW met1 ( 2250960 1136085 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[8\] ( soc mprj_dat_o[8] ) ( mgmt_buffers mprj_dat_o_core[8] )
+ ROUTED met2 ( 2565840 1117770 0 ) ( 2565840 1146445 )
NEW met2 ( 2315760 1146445 ) ( 2315760 1151625 )
NEW met1 ( 2259600 1151625 ) ( 2315760 1151625 )
NEW met2 ( 2259600 1151625 ) ( 2259600 1171235 )
NEW met1 ( 2253360 1171235 ) ( 2259600 1171235 )
NEW met2 ( 2253360 1171235 ) ( 2253360 1197690 )
NEW met2 ( 2253120 1197690 ) ( 2253360 1197690 )
NEW met2 ( 2253120 1197690 ) ( 2253120 1199170 0 )
NEW met1 ( 2315760 1146445 ) ( 2565840 1146445 )
NEW met1 ( 2565840 1146445 ) M1M2_PR
NEW met1 ( 2315760 1146445 ) M1M2_PR
NEW met1 ( 2315760 1151625 ) M1M2_PR
NEW met1 ( 2259600 1151625 ) M1M2_PR
NEW met1 ( 2259600 1171235 ) M1M2_PR
NEW met1 ( 2253360 1171235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_core\[9\] ( soc mprj_dat_o[9] ) ( mgmt_buffers mprj_dat_o_core[9] )
+ ROUTED met1 ( 1788720 1144965 ) ( 1788720 1145335 )
NEW met1 ( 1732560 1144965 ) ( 1788720 1144965 )
NEW met2 ( 1732320 1117770 0 ) ( 1732320 1119250 )
NEW met2 ( 1732320 1119250 ) ( 1732560 1119250 )
NEW met2 ( 1732560 1119250 ) ( 1732560 1144965 )
NEW met1 ( 1846800 1145335 ) ( 1846800 1146445 )
NEW met1 ( 1788720 1145335 ) ( 1846800 1145335 )
NEW met2 ( 2197680 1151995 ) ( 2197680 1154955 )
NEW met1 ( 2197680 1151995 ) ( 2214000 1151995 )
NEW met2 ( 2214000 1151995 ) ( 2214000 1154030 )
NEW met3 ( 2214000 1154030 ) ( 2254800 1154030 )
NEW met2 ( 2254800 1154030 ) ( 2254800 1199170 0 )
NEW met2 ( 2088240 1146445 ) ( 2088720 1146445 )
NEW met2 ( 2088720 1146445 ) ( 2088720 1156065 )
NEW met1 ( 2088720 1156065 ) ( 2101680 1156065 )
NEW met1 ( 2101680 1156065 ) ( 2101680 1156435 )
NEW met1 ( 2101680 1156435 ) ( 2110320 1156435 )
NEW met2 ( 2110320 1156435 ) ( 2110320 1157915 )
NEW met1 ( 2110320 1157915 ) ( 2142960 1157915 )
NEW met2 ( 2142960 1154955 ) ( 2142960 1157915 )
NEW met1 ( 1846800 1146445 ) ( 2088240 1146445 )
NEW met1 ( 2142960 1154955 ) ( 2197680 1154955 )
NEW met1 ( 1732560 1144965 ) M1M2_PR
NEW met1 ( 2197680 1154955 ) M1M2_PR
NEW met1 ( 2197680 1151995 ) M1M2_PR
NEW met1 ( 2214000 1151995 ) M1M2_PR
NEW met2 ( 2214000 1154030 ) via2_FR
NEW met2 ( 2254800 1154030 ) via2_FR
NEW met1 ( 2088240 1146445 ) M1M2_PR
NEW met1 ( 2088720 1156065 ) M1M2_PR
NEW met1 ( 2110320 1156435 ) M1M2_PR
NEW met1 ( 2110320 1157915 ) M1M2_PR
NEW met1 ( 2142960 1157915 ) M1M2_PR
NEW met1 ( 2142960 1154955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[0\] ( mprj wbs_dat_i[0] ) ( mgmt_buffers mprj_dat_o_user[0] )
+ ROUTED met2 ( 370800 1293890 ) ( 370800 1377510 0 )
NEW met2 ( 2191440 1263550 0 ) ( 2191440 1283345 )
NEW met1 ( 1916400 1283345 ) ( 1916400 1283715 )
NEW met1 ( 1916400 1283715 ) ( 1917840 1283715 )
NEW met1 ( 1917840 1283345 ) ( 1917840 1283715 )
NEW met1 ( 1917840 1283345 ) ( 2191440 1283345 )
NEW met2 ( 1835760 1283345 ) ( 1835760 1293890 )
NEW met3 ( 370800 1293890 ) ( 1835760 1293890 )
NEW met1 ( 1835760 1283345 ) ( 1916400 1283345 )
NEW met2 ( 370800 1293890 ) via2_FR
NEW met1 ( 2191440 1283345 ) M1M2_PR
NEW met1 ( 1835760 1283345 ) M1M2_PR
NEW met2 ( 1835760 1293890 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[10\] ( mprj wbs_dat_i[10] ) ( mgmt_buffers mprj_dat_o_user[10] )
+ ROUTED met2 ( 573360 1346985 ) ( 573360 1377510 0 )
NEW met2 ( 2193360 1263550 0 ) ( 2193360 1328485 )
NEW met1 ( 573360 1346985 ) ( 2137680 1346985 )
NEW met2 ( 2137680 1328485 ) ( 2137680 1346985 )
NEW met1 ( 2137680 1328485 ) ( 2193360 1328485 )
NEW met1 ( 573360 1346985 ) M1M2_PR
NEW met1 ( 2193360 1328485 ) M1M2_PR
NEW met1 ( 2137680 1346985 ) M1M2_PR
NEW met1 ( 2137680 1328485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[11\] ( mprj wbs_dat_i[11] ) ( mgmt_buffers mprj_dat_o_user[11] )
+ ROUTED met2 ( 590640 1290375 ) ( 590640 1377510 0 )
NEW met2 ( 2195040 1263550 0 ) ( 2195040 1264475 )
NEW met2 ( 2194320 1264475 ) ( 2195040 1264475 )
NEW met2 ( 2194320 1264475 ) ( 2194320 1290375 )
NEW met1 ( 590640 1290375 ) ( 2194320 1290375 )
NEW met1 ( 590640 1290375 ) M1M2_PR
NEW met1 ( 2194320 1290375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[12\] ( mprj wbs_dat_i[12] ) ( mgmt_buffers mprj_dat_o_user[12] )
+ ROUTED met2 ( 608400 1347725 ) ( 608400 1377510 0 )
NEW met2 ( 2196960 1263550 0 ) ( 2196960 1265030 )
NEW met2 ( 2194800 1265030 ) ( 2196960 1265030 )
NEW met2 ( 2194800 1265030 ) ( 2194800 1286305 )
NEW met1 ( 608400 1347725 ) ( 2130000 1347725 )
NEW met2 ( 2130000 1286305 ) ( 2130000 1347725 )
NEW met1 ( 2130000 1286305 ) ( 2194800 1286305 )
NEW met1 ( 608400 1347725 ) M1M2_PR
NEW met1 ( 2194800 1286305 ) M1M2_PR
NEW met1 ( 2130000 1347725 ) M1M2_PR
NEW met1 ( 2130000 1286305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[13\] ( mprj wbs_dat_i[13] ) ( mgmt_buffers mprj_dat_o_user[13] )
+ ROUTED met2 ( 626640 1332925 ) ( 626640 1377510 0 )
NEW met2 ( 1389360 1293335 ) ( 1389360 1332925 )
NEW met2 ( 2198640 1263550 ) ( 2198880 1263550 0 )
NEW met2 ( 2198640 1263550 ) ( 2198640 1293335 )
NEW met1 ( 626640 1332925 ) ( 1389360 1332925 )
NEW met1 ( 1389360 1293335 ) ( 2198640 1293335 )
NEW met1 ( 626640 1332925 ) M1M2_PR
NEW met1 ( 1389360 1332925 ) M1M2_PR
NEW met1 ( 1389360 1293335 ) M1M2_PR
NEW met1 ( 2198640 1293335 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[14\] ( mprj wbs_dat_i[14] ) ( mgmt_buffers mprj_dat_o_user[14] )
+ ROUTED met2 ( 2200560 1263550 0 ) ( 2200560 1347355 )
NEW met2 ( 644400 1347355 ) ( 644400 1377510 0 )
NEW met1 ( 644400 1347355 ) ( 2200560 1347355 )
NEW met1 ( 2200560 1347355 ) M1M2_PR
NEW met1 ( 644400 1347355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[15\] ( mprj wbs_dat_i[15] ) ( mgmt_buffers mprj_dat_o_user[15] )
+ ROUTED met2 ( 2202480 1263550 0 ) ( 2202480 1285195 )
NEW met2 ( 662640 1348835 ) ( 662640 1377510 0 )
NEW met1 ( 662640 1348835 ) ( 2116080 1348835 )
NEW met2 ( 2116080 1285195 ) ( 2116080 1348835 )
NEW met1 ( 2116080 1285195 ) ( 2202480 1285195 )
NEW met1 ( 2202480 1285195 ) M1M2_PR
NEW met1 ( 662640 1348835 ) M1M2_PR
NEW met1 ( 2116080 1348835 ) M1M2_PR
NEW met1 ( 2116080 1285195 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[16\] ( mprj wbs_dat_i[16] ) ( mgmt_buffers mprj_dat_o_user[16] )
+ ROUTED met2 ( 1411440 1293705 ) ( 1411440 1333295 )
NEW met2 ( 2204400 1263550 0 ) ( 2204400 1285565 )
NEW met2 ( 679920 1333295 ) ( 679920 1377510 0 )
NEW met1 ( 679920 1333295 ) ( 1411440 1333295 )
NEW met2 ( 2094000 1285565 ) ( 2094000 1293705 )
NEW met1 ( 1411440 1293705 ) ( 2094000 1293705 )
NEW met1 ( 2094000 1285565 ) ( 2204400 1285565 )
NEW met1 ( 1411440 1333295 ) M1M2_PR
NEW met1 ( 1411440 1293705 ) M1M2_PR
NEW met1 ( 2204400 1285565 ) M1M2_PR
NEW met1 ( 679920 1333295 ) M1M2_PR
NEW met1 ( 2094000 1293705 ) M1M2_PR
NEW met1 ( 2094000 1285565 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[17\] ( mprj wbs_dat_i[17] ) ( mgmt_buffers mprj_dat_o_user[17] )
+ ROUTED met2 ( 2206080 1263550 0 ) ( 2206320 1263550 )
NEW met2 ( 2206320 1263550 ) ( 2206320 1290745 )
NEW met2 ( 697680 1349575 ) ( 697680 1377510 0 )
NEW met1 ( 697680 1349575 ) ( 2129040 1349575 )
NEW met1 ( 2129040 1284455 ) ( 2141040 1284455 )
NEW met2 ( 2141040 1284455 ) ( 2141040 1290745 )
NEW met2 ( 2129040 1284455 ) ( 2129040 1349575 )
NEW met1 ( 2141040 1290745 ) ( 2206320 1290745 )
NEW met1 ( 2206320 1290745 ) M1M2_PR
NEW met1 ( 697680 1349575 ) M1M2_PR
NEW met1 ( 2129040 1349575 ) M1M2_PR
NEW met1 ( 2129040 1284455 ) M1M2_PR
NEW met1 ( 2141040 1284455 ) M1M2_PR
NEW met1 ( 2141040 1290745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[18\] ( mprj wbs_dat_i[18] ) ( mgmt_buffers mprj_dat_o_user[18] )
+ ROUTED met2 ( 2208000 1263550 0 ) ( 2208000 1264290 )
NEW met2 ( 2207760 1264290 ) ( 2208000 1264290 )
NEW met2 ( 2207760 1264290 ) ( 2207760 1291115 )
NEW met2 ( 715920 1290745 ) ( 715920 1377510 0 )
NEW met1 ( 2094960 1290745 ) ( 2094960 1291115 )
NEW met1 ( 715920 1290745 ) ( 2094960 1290745 )
NEW met1 ( 2094960 1291115 ) ( 2207760 1291115 )
NEW met1 ( 2207760 1291115 ) M1M2_PR
NEW met1 ( 715920 1290745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[19\] ( mprj wbs_dat_i[19] ) ( mgmt_buffers mprj_dat_o_user[19] )
+ ROUTED met2 ( 2209680 1263550 ) ( 2209920 1263550 0 )
NEW met2 ( 2209680 1263550 ) ( 2209680 1284825 )
NEW met2 ( 733680 1349945 ) ( 733680 1377510 0 )
NEW met2 ( 2131440 1348095 ) ( 2131440 1349945 )
NEW met1 ( 2131440 1348095 ) ( 2144880 1348095 )
NEW met1 ( 733680 1349945 ) ( 2131440 1349945 )
NEW met2 ( 2144880 1284825 ) ( 2144880 1348095 )
NEW met1 ( 2144880 1284825 ) ( 2209680 1284825 )
NEW met1 ( 2209680 1284825 ) M1M2_PR
NEW met1 ( 733680 1349945 ) M1M2_PR
NEW met1 ( 2131440 1349945 ) M1M2_PR
NEW met1 ( 2131440 1348095 ) M1M2_PR
NEW met1 ( 2144880 1348095 ) M1M2_PR
NEW met1 ( 2144880 1284825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[1\] ( mprj wbs_dat_i[1] ) ( mgmt_buffers mprj_dat_o_user[1] )
+ ROUTED met2 ( 394800 1292410 ) ( 394800 1377510 0 )
NEW met2 ( 2211600 1263550 0 ) ( 2211600 1282975 )
NEW met2 ( 1838160 1282975 ) ( 1838160 1292410 )
NEW met3 ( 394800 1292410 ) ( 1838160 1292410 )
NEW met1 ( 1838160 1282975 ) ( 2211600 1282975 )
NEW met2 ( 394800 1292410 ) via2_FR
NEW met1 ( 2211600 1282975 ) M1M2_PR
NEW met1 ( 1838160 1282975 ) M1M2_PR
NEW met2 ( 1838160 1292410 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[20\] ( mprj wbs_dat_i[20] ) ( mgmt_buffers mprj_dat_o_user[20] )
+ ROUTED met2 ( 751440 1350315 ) ( 751440 1377510 0 )
NEW met2 ( 2213520 1263550 0 ) ( 2213520 1285935 )
NEW met1 ( 751440 1350315 ) ( 2136720 1350315 )
NEW met2 ( 2136720 1285935 ) ( 2136720 1350315 )
NEW met1 ( 2136720 1285935 ) ( 2213520 1285935 )
NEW met1 ( 751440 1350315 ) M1M2_PR
NEW met1 ( 2213520 1285935 ) M1M2_PR
NEW met1 ( 2136720 1350315 ) M1M2_PR
NEW met1 ( 2136720 1285935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[21\] ( mprj wbs_dat_i[21] ) ( mgmt_buffers mprj_dat_o_user[21] )
+ ROUTED met2 ( 769200 1291115 ) ( 769200 1377510 0 )
NEW met2 ( 2215440 1263550 0 ) ( 2215440 1293705 )
NEW met2 ( 2094480 1291115 ) ( 2094480 1293705 )
NEW met1 ( 769200 1291115 ) ( 2094480 1291115 )
NEW met1 ( 2094480 1293705 ) ( 2215440 1293705 )
NEW met1 ( 769200 1291115 ) M1M2_PR
NEW met1 ( 2215440 1293705 ) M1M2_PR
NEW met1 ( 2094480 1291115 ) M1M2_PR
NEW met1 ( 2094480 1293705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[22\] ( mprj wbs_dat_i[22] ) ( mgmt_buffers mprj_dat_o_user[22] )
+ ROUTED met2 ( 786960 1351055 ) ( 786960 1377510 0 )
NEW met2 ( 2217120 1263550 0 ) ( 2217360 1263550 )
NEW met2 ( 2217360 1263550 ) ( 2217360 1325155 )
NEW met1 ( 2146320 1325155 ) ( 2217360 1325155 )
NEW met2 ( 2146320 1325155 ) ( 2146320 1351055 )
NEW met1 ( 786960 1351055 ) ( 2146320 1351055 )
NEW met1 ( 786960 1351055 ) M1M2_PR
NEW met1 ( 2146320 1351055 ) M1M2_PR
NEW met1 ( 2217360 1325155 ) M1M2_PR
NEW met1 ( 2146320 1325155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[23\] ( mprj wbs_dat_i[23] ) ( mgmt_buffers mprj_dat_o_user[23] )
+ ROUTED met2 ( 805200 1291485 ) ( 805200 1377510 0 )
NEW met2 ( 2219040 1263550 0 ) ( 2219040 1264290 )
NEW met2 ( 2218800 1264290 ) ( 2219040 1264290 )
NEW met2 ( 2218800 1264290 ) ( 2218800 1291485 )
NEW met1 ( 805200 1291485 ) ( 2218800 1291485 )
NEW met1 ( 805200 1291485 ) M1M2_PR
NEW met1 ( 2218800 1291485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[24\] ( mprj wbs_dat_i[24] ) ( mgmt_buffers mprj_dat_o_user[24] )
+ ROUTED met2 ( 822960 1352165 ) ( 822960 1377510 0 )
NEW met2 ( 2220720 1263550 ) ( 2220960 1263550 0 )
NEW met2 ( 2220720 1263550 ) ( 2220720 1287415 )
NEW met1 ( 822960 1352165 ) ( 2140080 1352165 )
NEW met2 ( 2139600 1298330 ) ( 2140080 1298330 )
NEW met2 ( 2139600 1287415 ) ( 2139600 1298330 )
NEW met2 ( 2140080 1298330 ) ( 2140080 1352165 )
NEW met1 ( 2139600 1287415 ) ( 2220720 1287415 )
NEW met1 ( 822960 1352165 ) M1M2_PR
NEW met1 ( 2220720 1287415 ) M1M2_PR
NEW met1 ( 2140080 1352165 ) M1M2_PR
NEW met1 ( 2139600 1287415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[25\] ( mprj wbs_dat_i[25] ) ( mgmt_buffers mprj_dat_o_user[25] )
+ ROUTED met2 ( 1166160 1292225 ) ( 1166160 1308875 )
NEW met2 ( 2222640 1263550 0 ) ( 2222640 1292225 )
NEW met2 ( 840240 1308875 ) ( 840240 1377510 0 )
NEW met1 ( 840240 1308875 ) ( 1166160 1308875 )
NEW met1 ( 1166160 1292225 ) ( 2222640 1292225 )
NEW met1 ( 1166160 1308875 ) M1M2_PR
NEW met1 ( 1166160 1292225 ) M1M2_PR
NEW met1 ( 2222640 1292225 ) M1M2_PR
NEW met1 ( 840240 1308875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[26\] ( mprj wbs_dat_i[26] ) ( mgmt_buffers mprj_dat_o_user[26] )
+ ROUTED met2 ( 2224560 1263550 0 ) ( 2224560 1287045 )
NEW met2 ( 921840 1345505 ) ( 921840 1353275 )
NEW met1 ( 858480 1345505 ) ( 921840 1345505 )
NEW met2 ( 858480 1345505 ) ( 858480 1377510 0 )
NEW met1 ( 921840 1353275 ) ( 2134800 1353275 )
NEW met1 ( 2134800 1287415 ) ( 2136720 1287415 )
NEW met1 ( 2136720 1287045 ) ( 2136720 1287415 )
NEW met2 ( 2134800 1287415 ) ( 2134800 1353275 )
NEW met1 ( 2136720 1287045 ) ( 2224560 1287045 )
NEW met1 ( 2224560 1287045 ) M1M2_PR
NEW met1 ( 921840 1353275 ) M1M2_PR
NEW met1 ( 921840 1345505 ) M1M2_PR
NEW met1 ( 858480 1345505 ) M1M2_PR
NEW met1 ( 2134800 1353275 ) M1M2_PR
NEW met1 ( 2134800 1287415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[27\] ( mprj wbs_dat_i[27] ) ( mgmt_buffers mprj_dat_o_user[27] )
+ ROUTED met2 ( 1170480 1292595 ) ( 1170480 1309245 )
NEW met2 ( 2226480 1263550 0 ) ( 2226480 1292595 )
NEW met2 ( 876240 1309245 ) ( 876240 1377510 0 )
NEW met1 ( 876240 1309245 ) ( 1170480 1309245 )
NEW met1 ( 1170480 1292595 ) ( 2226480 1292595 )
NEW met1 ( 1170480 1309245 ) M1M2_PR
NEW met1 ( 1170480 1292595 ) M1M2_PR
NEW met1 ( 2226480 1292595 ) M1M2_PR
NEW met1 ( 876240 1309245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[28\] ( mprj wbs_dat_i[28] ) ( mgmt_buffers mprj_dat_o_user[28] )
+ ROUTED met2 ( 2228160 1263550 0 ) ( 2228400 1263550 )
NEW met2 ( 2228400 1263550 ) ( 2228400 1295925 )
NEW met1 ( 2202960 1295925 ) ( 2228400 1295925 )
NEW met2 ( 2202960 1295925 ) ( 2202960 1352535 )
NEW met2 ( 895920 1352535 ) ( 895920 1377510 )
NEW met2 ( 894480 1377510 0 ) ( 895920 1377510 )
NEW met1 ( 895920 1352535 ) ( 2202960 1352535 )
NEW met1 ( 2202960 1352535 ) M1M2_PR
NEW met1 ( 2228400 1295925 ) M1M2_PR
NEW met1 ( 2202960 1295925 ) M1M2_PR
NEW met1 ( 895920 1352535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[29\] ( mprj wbs_dat_i[29] ) ( mgmt_buffers mprj_dat_o_user[29] )
+ ROUTED met2 ( 1389840 1292965 ) ( 1389840 1335145 )
NEW met2 ( 2230080 1263550 0 ) ( 2230080 1264290 )
NEW met2 ( 2229840 1264290 ) ( 2230080 1264290 )
NEW met2 ( 2229840 1264290 ) ( 2229840 1288525 )
NEW met1 ( 2150640 1288525 ) ( 2229840 1288525 )
NEW met2 ( 2150640 1288525 ) ( 2150640 1292965 )
NEW met2 ( 912240 1335145 ) ( 912240 1377510 0 )
NEW met1 ( 912240 1335145 ) ( 1389840 1335145 )
NEW met1 ( 1389840 1292965 ) ( 2150640 1292965 )
NEW met1 ( 1389840 1335145 ) M1M2_PR
NEW met1 ( 1389840 1292965 ) M1M2_PR
NEW met1 ( 2229840 1288525 ) M1M2_PR
NEW met1 ( 2150640 1288525 ) M1M2_PR
NEW met1 ( 2150640 1292965 ) M1M2_PR
NEW met1 ( 912240 1335145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[2\] ( mprj wbs_dat_i[2] ) ( mgmt_buffers mprj_dat_o_user[2] )
+ ROUTED met2 ( 418320 1350130 ) ( 418320 1377510 0 )
NEW met2 ( 2231760 1263550 ) ( 2232000 1263550 0 )
NEW met2 ( 2231760 1263550 ) ( 2231760 1288155 )
NEW met3 ( 418320 1350130 ) ( 2142480 1350130 )
NEW met2 ( 2142480 1288155 ) ( 2142480 1350130 )
NEW met1 ( 2142480 1288155 ) ( 2231760 1288155 )
NEW met2 ( 418320 1350130 ) via2_FR
NEW met1 ( 2231760 1288155 ) M1M2_PR
NEW met2 ( 2142480 1350130 ) via2_FR
NEW met1 ( 2142480 1288155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[30\] ( mprj wbs_dat_i[30] ) ( mgmt_buffers mprj_dat_o_user[30] )
+ ROUTED met2 ( 1008240 1291855 ) ( 1008240 1307765 )
NEW met2 ( 2233680 1263550 0 ) ( 2233680 1291855 )
NEW met2 ( 929520 1307765 ) ( 929520 1377510 0 )
NEW met1 ( 929520 1307765 ) ( 1008240 1307765 )
NEW met1 ( 1008240 1291855 ) ( 2233680 1291855 )
NEW met1 ( 1008240 1307765 ) M1M2_PR
NEW met1 ( 1008240 1291855 ) M1M2_PR
NEW met1 ( 2233680 1291855 ) M1M2_PR
NEW met1 ( 929520 1307765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[31\] ( mprj wbs_dat_i[31] ) ( mgmt_buffers mprj_dat_o_user[31] )
+ ROUTED met1 ( 1022160 1344765 ) ( 1022160 1345135 )
NEW met1 ( 947760 1344765 ) ( 1022160 1344765 )
NEW met2 ( 947760 1344765 ) ( 947760 1377510 0 )
NEW met2 ( 2235600 1263550 0 ) ( 2235600 1292965 )
NEW met1 ( 2151120 1292965 ) ( 2235600 1292965 )
NEW met2 ( 2151120 1292965 ) ( 2151120 1344395 )
NEW met2 ( 2094480 1340695 ) ( 2094480 1345135 )
NEW met1 ( 2094480 1340695 ) ( 2132880 1340695 )
NEW met2 ( 2132880 1340695 ) ( 2132880 1344395 )
NEW met1 ( 1022160 1345135 ) ( 2094480 1345135 )
NEW met1 ( 2132880 1344395 ) ( 2151120 1344395 )
NEW met1 ( 947760 1344765 ) M1M2_PR
NEW met1 ( 2151120 1344395 ) M1M2_PR
NEW met1 ( 2235600 1292965 ) M1M2_PR
NEW met1 ( 2151120 1292965 ) M1M2_PR
NEW met1 ( 2094480 1345135 ) M1M2_PR
NEW met1 ( 2094480 1340695 ) M1M2_PR
NEW met1 ( 2132880 1340695 ) M1M2_PR
NEW met1 ( 2132880 1344395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[3\] ( mprj wbs_dat_i[3] ) ( mgmt_buffers mprj_dat_o_user[3] )
+ ROUTED met2 ( 2237520 1263550 0 ) ( 2237520 1288710 )
NEW met2 ( 442320 1288710 ) ( 442320 1377510 0 )
NEW met3 ( 442320 1288710 ) ( 2237520 1288710 )
NEW met2 ( 2237520 1288710 ) via2_FR
NEW met2 ( 442320 1288710 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[4\] ( mprj wbs_dat_i[4] ) ( mgmt_buffers mprj_dat_o_user[4] )
+ ROUTED met2 ( 2239200 1263550 0 ) ( 2239440 1263550 )
NEW met2 ( 2239440 1263550 ) ( 2239440 1295555 )
NEW met1 ( 2150640 1295555 ) ( 2239440 1295555 )
NEW met2 ( 2150640 1295555 ) ( 2150640 1351610 )
NEW met2 ( 465840 1351610 ) ( 465840 1377510 0 )
NEW met3 ( 465840 1351610 ) ( 2150640 1351610 )
NEW met2 ( 2150640 1351610 ) via2_FR
NEW met1 ( 2239440 1295555 ) M1M2_PR
NEW met1 ( 2150640 1295555 ) M1M2_PR
NEW met2 ( 465840 1351610 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[5\] ( mprj wbs_dat_i[5] ) ( mgmt_buffers mprj_dat_o_user[5] )
+ ROUTED met2 ( 2240880 1265030 ) ( 2240880 1289450 )
NEW met2 ( 2240880 1265030 ) ( 2241120 1265030 )
NEW met2 ( 2241120 1263550 0 ) ( 2241120 1265030 )
NEW met2 ( 484080 1289450 ) ( 484080 1377510 0 )
NEW met3 ( 484080 1289450 ) ( 2240880 1289450 )
NEW met2 ( 2240880 1289450 ) via2_FR
NEW met2 ( 484080 1289450 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[6\] ( mprj wbs_dat_i[6] ) ( mgmt_buffers mprj_dat_o_user[6] )
+ ROUTED met2 ( 2242800 1263550 ) ( 2243040 1263550 0 )
NEW met2 ( 2242800 1263550 ) ( 2242800 1287785 )
NEW met1 ( 2151600 1287785 ) ( 2242800 1287785 )
NEW met2 ( 2151600 1287785 ) ( 2151600 1353090 )
NEW met2 ( 501360 1353090 ) ( 501360 1377510 0 )
NEW met3 ( 501360 1353090 ) ( 2151600 1353090 )
NEW met2 ( 2151600 1353090 ) via2_FR
NEW met1 ( 2242800 1287785 ) M1M2_PR
NEW met1 ( 2151600 1287785 ) M1M2_PR
NEW met2 ( 501360 1353090 ) via2_FR
+ USE SIGNAL ;
- mprj_dat_o_user\[7\] ( mprj wbs_dat_i[7] ) ( mgmt_buffers mprj_dat_o_user[7] )
+ ROUTED met2 ( 2244720 1263550 0 ) ( 2244720 1288895 )
NEW met2 ( 519600 1288895 ) ( 519600 1377510 0 )
NEW met1 ( 519600 1288895 ) ( 2244720 1288895 )
NEW met1 ( 2244720 1288895 ) M1M2_PR
NEW met1 ( 519600 1288895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[8\] ( mprj wbs_dat_i[8] ) ( mgmt_buffers mprj_dat_o_user[8] )
+ ROUTED met2 ( 537360 1345690 ) ( 537360 1377510 0 )
NEW met2 ( 2179920 1295185 ) ( 2179920 1345690 )
NEW met3 ( 537360 1345690 ) ( 2179920 1345690 )
NEW met2 ( 2246640 1263550 0 ) ( 2246640 1264290 )
NEW met2 ( 2246640 1264290 ) ( 2247120 1264290 )
NEW met2 ( 2247120 1264290 ) ( 2247120 1295185 )
NEW met1 ( 2179920 1295185 ) ( 2247120 1295185 )
NEW met2 ( 537360 1345690 ) via2_FR
NEW met2 ( 2179920 1345690 ) via2_FR
NEW met1 ( 2179920 1295185 ) M1M2_PR
NEW met1 ( 2247120 1295185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_dat_o_user\[9\] ( mprj wbs_dat_i[9] ) ( mgmt_buffers mprj_dat_o_user[9] )
+ ROUTED met2 ( 555120 1289265 ) ( 555120 1377510 0 )
NEW met2 ( 2248560 1263550 0 ) ( 2248560 1270210 )
NEW met2 ( 2248080 1270210 ) ( 2248560 1270210 )
NEW met2 ( 2248080 1270210 ) ( 2248080 1289265 )
NEW met1 ( 555120 1289265 ) ( 2248080 1289265 )
NEW met1 ( 555120 1289265 ) M1M2_PR
NEW met1 ( 2248080 1289265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[0\] ( padframe mprj_io_analog_en[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_en )
+ ROUTED met2 ( 3372720 596070 ) ( 3373200 596070 )
NEW met2 ( 3372720 596070 ) ( 3372720 616050 )
NEW met3 ( 3372720 616050 ) ( 3373920 616050 )
NEW met3 ( 3373920 616050 ) ( 3373920 616790 0 )
NEW met1 ( 3373200 531875 ) ( 3377040 531875 )
NEW met2 ( 3377040 529100 0 ) ( 3377040 531875 )
NEW met2 ( 3373200 531875 ) ( 3373200 596070 )
NEW met2 ( 3372720 616050 ) via2_FR
NEW met1 ( 3373200 531875 ) M1M2_PR
NEW met1 ( 3377040 531875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[10\] ( padframe mprj_io_analog_en[10] ) ( gpio_control_in\[10\] pad_gpio_ana_en )
+ ROUTED met1 ( 3360720 3443035 ) ( 3377520 3443035 )
NEW met2 ( 3377520 3443035 ) ( 3377520 3445070 0 )
NEW met1 ( 3360720 3089315 ) ( 3369360 3089315 )
NEW met2 ( 3369360 3081730 ) ( 3369360 3089315 )
NEW met3 ( 3369360 3081730 ) ( 3373920 3081730 0 )
NEW met2 ( 3360720 3089315 ) ( 3360720 3443035 )
NEW met1 ( 3360720 3443035 ) M1M2_PR
NEW met1 ( 3377520 3443035 ) M1M2_PR
NEW met1 ( 3360720 3089315 ) M1M2_PR
NEW met1 ( 3369360 3089315 ) M1M2_PR
NEW met2 ( 3369360 3081730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[11\] ( padframe mprj_io_analog_en[11] ) ( gpio_control_in\[11\] pad_gpio_ana_en )
+ ROUTED met1 ( 3361680 3667995 ) ( 3377520 3667995 )
NEW met2 ( 3377520 3667995 ) ( 3377520 3670030 0 )
NEW met1 ( 3361680 3308355 ) ( 3373680 3308355 )
NEW met2 ( 3373680 3308170 ) ( 3373680 3308355 )
NEW met3 ( 3373680 3308170 ) ( 3373920 3308170 )
NEW met3 ( 3373920 3306690 0 ) ( 3373920 3308170 )
NEW met2 ( 3361680 3308355 ) ( 3361680 3667995 )
NEW met1 ( 3361680 3667995 ) M1M2_PR
NEW met1 ( 3377520 3667995 ) M1M2_PR
NEW met1 ( 3361680 3308355 ) M1M2_PR
NEW met1 ( 3373680 3308355 ) M1M2_PR
NEW met2 ( 3373680 3308170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[12\] ( padframe mprj_io_analog_en[12] ) ( gpio_control_in\[12\] pad_gpio_ana_en )
+ ROUTED met1 ( 3360720 3534055 ) ( 3374160 3534055 )
NEW met2 ( 3374160 3533870 ) ( 3374160 3534055 )
NEW met3 ( 3373920 3533870 ) ( 3374160 3533870 )
NEW met3 ( 3373920 3533130 0 ) ( 3373920 3533870 )
NEW met1 ( 3360720 3892955 ) ( 3377040 3892955 )
NEW met2 ( 3377040 3892955 ) ( 3377040 3895155 0 )
NEW met2 ( 3360720 3534055 ) ( 3360720 3892955 )
NEW met1 ( 3360720 3534055 ) M1M2_PR
NEW met1 ( 3374160 3534055 ) M1M2_PR
NEW met2 ( 3374160 3533870 ) via2_FR
NEW met1 ( 3360720 3892955 ) M1M2_PR
NEW met1 ( 3377040 3892955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[13\] ( padframe mprj_io_analog_en[13] ) ( gpio_control_in\[13\] pad_gpio_ana_en )
+ ROUTED met3 ( 3368400 3758090 ) ( 3373920 3758090 0 )
NEW met1 ( 3368400 4339175 ) ( 3377040 4339175 )
NEW met2 ( 3377040 4339175 ) ( 3377040 4341210 0 )
NEW met2 ( 3368400 3758090 ) ( 3368400 4339175 )
NEW met2 ( 3368400 3758090 ) via2_FR
NEW met1 ( 3368400 4339175 ) M1M2_PR
NEW met1 ( 3377040 4339175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[14\] ( padframe mprj_io_analog_en[14] ) ( gpio_control_in\[14\] pad_gpio_ana_en )
+ ROUTED met3 ( 3384480 4649790 0 ) ( 3384480 4651270 )
NEW met3 ( 3376080 4766710 ) ( 3384480 4766710 )
NEW met2 ( 3376080 4766710 ) ( 3376080 4785025 )
NEW met1 ( 3376080 4785025 ) ( 3377520 4785025 )
NEW met2 ( 3377520 4785025 ) ( 3377520 4787060 0 )
NEW met4 ( 3384480 4651270 ) ( 3384480 4766710 )
NEW met3 ( 3384480 4651270 ) M3M4_PR_M
NEW met3 ( 3384480 4766710 ) M3M4_PR_M
NEW met2 ( 3376080 4766710 ) via2_FR
NEW met1 ( 3376080 4785025 ) M1M2_PR
NEW met1 ( 3377520 4785025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[15\] ( padframe mprj_io_analog_en[15] ) ( gpio_control_in\[15\] pad_gpio_ana_en )
+ ROUTED met2 ( 2853360 4969285 ) ( 2853360 5019235 )
NEW met2 ( 2758800 5019050 ) ( 2758800 5019235 )
NEW met3 ( 2757600 5019050 0 ) ( 2758800 5019050 )
NEW met1 ( 2758800 5019235 ) ( 2853360 5019235 )
NEW met2 ( 3180720 4969285 ) ( 3180720 4977610 0 )
NEW met1 ( 2853360 4969285 ) ( 3180720 4969285 )
NEW met1 ( 2853360 5019235 ) M1M2_PR
NEW met1 ( 2853360 4969285 ) M1M2_PR
NEW met1 ( 2758800 5019235 ) M1M2_PR
NEW met2 ( 2758800 5019050 ) via2_FR
NEW met1 ( 3180720 4969285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[16\] ( padframe mprj_io_analog_en[16] ) ( gpio_control_in\[16\] pad_gpio_ana_en )
+ ROUTED met2 ( 2670480 4977610 ) ( 2671920 4977610 0 )
NEW met3 ( 2605200 4977610 ) ( 2670480 4977610 )
NEW met2 ( 2605200 4977610 ) ( 2605200 5018495 )
NEW met2 ( 2502000 5018310 ) ( 2502000 5018495 )
NEW met3 ( 2500800 5018310 0 ) ( 2502000 5018310 )
NEW met1 ( 2502000 5018495 ) ( 2605200 5018495 )
NEW met1 ( 2605200 5018495 ) M1M2_PR
NEW met2 ( 2670480 4977610 ) via2_FR
NEW met2 ( 2605200 4977610 ) via2_FR
NEW met1 ( 2502000 5018495 ) M1M2_PR
NEW met2 ( 2502000 5018310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[17\] ( padframe mprj_io_analog_en[17] ) ( gpio_control_in\[17\] pad_gpio_ana_en )
+ ROUTED met2 ( 2413680 4977055 ) ( 2413680 4977610 )
NEW met2 ( 2413680 4977610 ) ( 2414880 4977610 0 )
NEW met2 ( 2346480 4993890 ) ( 2347440 4993890 )
NEW met2 ( 2347440 4976315 ) ( 2347440 4993890 )
NEW met1 ( 2347440 4976315 ) ( 2383920 4976315 )
NEW met1 ( 2383920 4976315 ) ( 2383920 4977055 )
NEW met2 ( 2346480 4993890 ) ( 2346480 5018865 )
NEW met1 ( 2383920 4977055 ) ( 2413680 4977055 )
NEW met2 ( 2117040 5018865 ) ( 2117040 5019050 )
NEW met3 ( 2115840 5019050 0 ) ( 2117040 5019050 )
NEW met1 ( 2117040 5018865 ) ( 2346480 5018865 )
NEW met1 ( 2413680 4977055 ) M1M2_PR
NEW met1 ( 2346480 5018865 ) M1M2_PR
NEW met1 ( 2347440 4976315 ) M1M2_PR
NEW met1 ( 2117040 5018865 ) M1M2_PR
NEW met2 ( 2117040 5019050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[18\] ( padframe mprj_io_analog_en[18] ) ( gpio_control_in\[18\] pad_gpio_ana_en )
+ ROUTED met2 ( 1780080 5018865 ) ( 1780080 5019050 )
NEW met3 ( 1778880 5019050 0 ) ( 1780080 5019050 )
NEW met2 ( 1968720 4974650 ) ( 1968720 4977610 )
NEW met2 ( 1968720 4977610 ) ( 1969920 4977610 0 )
NEW met2 ( 1895280 4974650 ) ( 1895280 4997035 )
NEW met1 ( 1885680 4997035 ) ( 1895280 4997035 )
NEW met2 ( 1885680 4997035 ) ( 1885680 5018865 )
NEW met1 ( 1780080 5018865 ) ( 1885680 5018865 )
NEW met3 ( 1895280 4974650 ) ( 1968720 4974650 )
NEW met1 ( 1780080 5018865 ) M1M2_PR
NEW met2 ( 1780080 5019050 ) via2_FR
NEW met2 ( 1968720 4974650 ) via2_FR
NEW met2 ( 1895280 4974650 ) via2_FR
NEW met1 ( 1895280 4997035 ) M1M2_PR
NEW met1 ( 1885680 4997035 ) M1M2_PR
NEW met1 ( 1885680 5018865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[19\] ( padframe mprj_io_analog_en[19] ) ( gpio_control_in\[19\] pad_gpio_ana_en )
+ ROUTED met2 ( 1460880 4979090 0 ) ( 1462800 4979090 )
NEW met3 ( 1462800 4979090 ) ( 1497360 4979090 )
NEW met2 ( 1497360 4979090 ) ( 1497360 4979275 )
NEW met1 ( 1497360 4979275 ) ( 1523760 4979275 )
NEW met2 ( 1523760 4979090 ) ( 1523760 4979275 )
NEW met3 ( 1523760 4979090 ) ( 1526880 4979090 0 )
NEW met2 ( 1462800 4979090 ) via2_FR
NEW met2 ( 1497360 4979090 ) via2_FR
NEW met1 ( 1497360 4979275 ) M1M2_PR
NEW met1 ( 1523760 4979275 ) M1M2_PR
NEW met2 ( 1523760 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[1\] ( padframe mprj_io_analog_en[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_en )
+ ROUTED met3 ( 3372720 841750 ) ( 3373920 841750 )
NEW met3 ( 3373920 841750 ) ( 3373920 842860 0 )
NEW met1 ( 3372720 757575 ) ( 3377040 757575 )
NEW met2 ( 3377040 755170 0 ) ( 3377040 757575 )
NEW met2 ( 3372720 757575 ) ( 3372720 841750 )
NEW met2 ( 3372720 841750 ) via2_FR
NEW met1 ( 3372720 757575 ) M1M2_PR
NEW met1 ( 3377040 757575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[20\] ( padframe mprj_io_analog_en[20] ) ( gpio_control_in\[20\] pad_gpio_ana_en )
+ ROUTED met2 ( 1202880 4977610 0 ) ( 1204080 4977610 )
NEW met3 ( 1204080 4977610 ) ( 1268640 4977610 )
NEW met3 ( 1268640 4977610 ) ( 1268640 4978350 )
NEW met3 ( 1268640 4978350 ) ( 1269600 4978350 )
NEW met3 ( 1269600 4978350 ) ( 1269600 4979090 )
NEW met3 ( 1268640 4979090 0 ) ( 1269600 4979090 )
NEW met2 ( 1204080 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[21\] ( padframe mprj_io_analog_en[21] ) ( gpio_control_in\[21\] pad_gpio_ana_en )
+ ROUTED met2 ( 945840 4978350 0 ) ( 947280 4978350 )
NEW met3 ( 947280 4978350 ) ( 1011840 4978350 )
NEW met3 ( 1011840 4978350 ) ( 1011840 4979090 0 )
NEW met2 ( 947280 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[22\] ( padframe mprj_io_analog_en[22] ) ( gpio_control_in\[22\] pad_gpio_ana_en )
+ ROUTED met2 ( 751920 5011650 ) ( 751920 5011835 )
NEW met3 ( 751920 5011650 ) ( 755040 5011650 0 )
NEW met2 ( 688800 4979090 0 ) ( 690000 4979090 )
NEW met3 ( 690000 4979090 ) ( 720240 4979090 )
NEW met2 ( 720240 4979090 ) ( 720240 5011835 )
NEW met1 ( 720240 5011835 ) ( 751920 5011835 )
NEW met1 ( 751920 5011835 ) M1M2_PR
NEW met2 ( 751920 5011650 ) via2_FR
NEW met2 ( 690000 4979090 ) via2_FR
NEW met2 ( 720240 4979090 ) via2_FR
NEW met1 ( 720240 5011835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[23\] ( padframe mprj_io_analog_en[23] ) ( gpio_control_in\[23\] pad_gpio_ana_en )
+ ROUTED met2 ( 432720 4976870 ) ( 432720 4977610 )
NEW met3 ( 432720 4976870 ) ( 494640 4976870 )
NEW met2 ( 494640 4976870 ) ( 494640 4978350 )
NEW met3 ( 494640 4978350 ) ( 497760 4978350 )
NEW met3 ( 497760 4978350 ) ( 497760 4979090 0 )
NEW met2 ( 431760 4977610 0 ) ( 432720 4977610 )
NEW met2 ( 432720 4976870 ) via2_FR
NEW met2 ( 494640 4976870 ) via2_FR
NEW met2 ( 494640 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[24\] ( padframe mprj_io_analog_en[24] ) ( gpio_control_in\[24\] pad_gpio_ana_en )
+ ROUTED met3 ( 204000 4819990 ) ( 209040 4819990 )
NEW met2 ( 209040 4819990 ) ( 209040 4821840 0 )
NEW met3 ( 204000 4462570 ) ( 204960 4462570 )
NEW met3 ( 204960 4461090 0 ) ( 204960 4462570 )
NEW met4 ( 204000 4462570 ) ( 204000 4819990 )
NEW met3 ( 204000 4819990 ) M3M4_PR_M
NEW met2 ( 209040 4819990 ) via2_FR
NEW met3 ( 204000 4462570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[25\] ( padframe mprj_io_analog_en[25] ) ( gpio_control_in\[25\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 3972845 0 ) ( 210960 3975095 )
NEW met1 ( 210960 3975095 ) ( 213840 3975095 )
NEW met2 ( 213840 4020790 ) ( 214800 4020790 )
NEW met2 ( 214800 4020790 ) ( 214800 4036330 )
NEW met3 ( 211680 4036330 ) ( 214800 4036330 )
NEW met3 ( 211680 4036330 ) ( 211680 4038920 0 )
NEW met2 ( 213840 3975095 ) ( 213840 4020790 )
NEW met1 ( 210960 3975095 ) M1M2_PR
NEW met1 ( 213840 3975095 ) M1M2_PR
NEW met2 ( 214800 4036330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[26\] ( padframe mprj_io_analog_en[26] ) ( gpio_control_in\[26\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 3756980 0 ) ( 210480 3759015 )
NEW met1 ( 210480 3759015 ) ( 213840 3759015 )
NEW met3 ( 211680 3822840 0 ) ( 213840 3822840 )
NEW met2 ( 213840 3759015 ) ( 213840 3822840 )
NEW met1 ( 210480 3759015 ) M1M2_PR
NEW met1 ( 213840 3759015 ) M1M2_PR
NEW met2 ( 213840 3822840 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[27\] ( padframe mprj_io_analog_en[27] ) ( gpio_control_in\[27\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 3540900 0 ) ( 210480 3542750 )
NEW met3 ( 210480 3542750 ) ( 215520 3542750 )
NEW met4 ( 215520 3542750 ) ( 215520 3607130 )
NEW met3 ( 211680 3607130 0 ) ( 215520 3607130 )
NEW met2 ( 210480 3542750 ) via2_FR
NEW met3 ( 215520 3542750 ) M3M4_PR_M
NEW met3 ( 215520 3607130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[28\] ( padframe mprj_io_analog_en[28] ) ( gpio_control_in\[28\] pad_gpio_ana_en )
+ ROUTED met2 ( 215280 3373290 ) ( 215760 3373290 )
NEW met2 ( 215760 3373290 ) ( 215760 3391050 )
NEW met3 ( 211680 3391050 0 ) ( 215760 3391050 )
NEW met2 ( 210960 3324820 0 ) ( 210960 3326670 )
NEW met3 ( 210960 3326670 ) ( 215520 3326670 )
NEW met4 ( 215520 3326670 ) ( 215520 3354790 )
NEW met3 ( 215280 3354790 ) ( 215520 3354790 )
NEW met2 ( 215280 3354790 ) ( 215280 3373290 )
NEW met2 ( 215760 3391050 ) via2_FR
NEW met2 ( 210960 3326670 ) via2_FR
NEW met3 ( 215520 3326670 ) M3M4_PR_M
NEW met3 ( 215520 3354790 ) M3M4_PR_M
NEW met2 ( 215280 3354790 ) via2_FR
NEW met3 ( 215520 3354790 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_en\[29\] ( padframe mprj_io_analog_en[29] ) ( gpio_control_in\[29\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 3108740 0 ) ( 210960 3110590 )
NEW met3 ( 210960 3110590 ) ( 212640 3110590 )
NEW met4 ( 212640 3110590 ) ( 212640 3172010 )
NEW met3 ( 211680 3172010 ) ( 212640 3172010 )
NEW met3 ( 211680 3172010 ) ( 211680 3174970 0 )
NEW met2 ( 210960 3110590 ) via2_FR
NEW met3 ( 212640 3110590 ) M3M4_PR_M
NEW met3 ( 212640 3172010 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_en\[2\] ( padframe mprj_io_analog_en[2] ) ( gpio_control_in\[2\] pad_gpio_ana_en )
+ ROUTED met2 ( 3377040 980130 0 ) ( 3377040 982905 )
NEW met1 ( 3373680 982905 ) ( 3377040 982905 )
NEW met3 ( 3373680 1066710 ) ( 3373920 1066710 )
NEW met3 ( 3373920 1066710 ) ( 3373920 1067820 0 )
NEW met2 ( 3373680 982905 ) ( 3373680 1066710 )
NEW met1 ( 3377040 982905 ) M1M2_PR
NEW met1 ( 3373680 982905 ) M1M2_PR
NEW met2 ( 3373680 1066710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[30\] ( padframe mprj_io_analog_en[30] ) ( gpio_control_in\[30\] pad_gpio_ana_en )
+ ROUTED met2 ( 212880 2959630 ) ( 213360 2959630 )
NEW met3 ( 211680 2959630 ) ( 212880 2959630 )
NEW met3 ( 211680 2958890 0 ) ( 211680 2959630 )
NEW met2 ( 210960 2892845 0 ) ( 210960 2895065 )
NEW met1 ( 210960 2895065 ) ( 215760 2895065 )
NEW met2 ( 215760 2895065 ) ( 215760 2923925 )
NEW met1 ( 212880 2923925 ) ( 215760 2923925 )
NEW met2 ( 212880 2923925 ) ( 212880 2924110 )
NEW met2 ( 212880 2924110 ) ( 213360 2924110 )
NEW met2 ( 213360 2924110 ) ( 213360 2959630 )
NEW met2 ( 212880 2959630 ) via2_FR
NEW met1 ( 210960 2895065 ) M1M2_PR
NEW met1 ( 215760 2895065 ) M1M2_PR
NEW met1 ( 215760 2923925 ) M1M2_PR
NEW met1 ( 212880 2923925 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[31\] ( padframe mprj_io_analog_en[31] ) ( gpio_control_in\[31\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 2676950 0 ) ( 210480 2678985 )
NEW met1 ( 210480 2678985 ) ( 215760 2678985 )
NEW met3 ( 211680 2742810 0 ) ( 215760 2742810 )
NEW met2 ( 215760 2678985 ) ( 215760 2742810 )
NEW met1 ( 210480 2678985 ) M1M2_PR
NEW met1 ( 215760 2678985 ) M1M2_PR
NEW met2 ( 215760 2742810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[32\] ( padframe mprj_io_analog_en[32] ) ( gpio_control_in\[32\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 2038845 0 ) ( 210960 2041105 )
NEW met1 ( 210960 2041105 ) ( 214320 2041105 )
NEW met3 ( 211680 2104930 0 ) ( 214320 2104930 )
NEW met2 ( 214320 2041105 ) ( 214320 2104930 )
NEW met1 ( 210960 2041105 ) M1M2_PR
NEW met1 ( 214320 2041105 ) M1M2_PR
NEW met2 ( 214320 2104930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[33\] ( padframe mprj_io_analog_en[33] ) ( gpio_control_in\[33\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1822845 0 ) ( 210960 1825025 )
NEW met1 ( 210960 1825025 ) ( 213840 1825025 )
NEW met3 ( 211680 1888850 0 ) ( 213840 1888850 )
NEW met2 ( 213840 1825025 ) ( 213840 1888850 )
NEW met1 ( 210960 1825025 ) M1M2_PR
NEW met1 ( 213840 1825025 ) M1M2_PR
NEW met2 ( 213840 1888850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[34\] ( padframe mprj_io_analog_en[34] ) ( gpio_control_in\[34\] pad_gpio_ana_en )
+ ROUTED met2 ( 210480 1606910 0 ) ( 210480 1608945 )
NEW met1 ( 210480 1608945 ) ( 215760 1608945 )
NEW met2 ( 215760 1608945 ) ( 215760 1640950 )
NEW met2 ( 215280 1640950 ) ( 215760 1640950 )
NEW met3 ( 211680 1672770 0 ) ( 215280 1672770 )
NEW met2 ( 215280 1640950 ) ( 215280 1672770 )
NEW met1 ( 210480 1608945 ) M1M2_PR
NEW met1 ( 215760 1608945 ) M1M2_PR
NEW met2 ( 215280 1672770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[35\] ( padframe mprj_io_analog_en[35] ) ( gpio_control_in\[35\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1390830 0 ) ( 210960 1392865 )
NEW met1 ( 210960 1392865 ) ( 212880 1392865 )
NEW met3 ( 211680 1454470 ) ( 212880 1454470 )
NEW met3 ( 211680 1454470 ) ( 211680 1456690 0 )
NEW met2 ( 212880 1392865 ) ( 212880 1454470 )
NEW met1 ( 210960 1392865 ) M1M2_PR
NEW met1 ( 212880 1392865 ) M1M2_PR
NEW met2 ( 212880 1454470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[36\] ( padframe mprj_io_analog_en[36] ) ( gpio_control_in\[36\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 1174750 0 ) ( 210960 1177155 )
NEW met1 ( 210960 1177155 ) ( 215280 1177155 )
NEW met3 ( 211680 1240610 0 ) ( 215280 1240610 )
NEW met2 ( 215280 1177155 ) ( 215280 1240610 )
NEW met1 ( 210960 1177155 ) M1M2_PR
NEW met1 ( 215280 1177155 ) M1M2_PR
NEW met2 ( 215280 1240610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[37\] ( padframe mprj_io_analog_en[37] ) ( gpio_control_in\[37\] pad_gpio_ana_en )
+ ROUTED met2 ( 210960 958845 0 ) ( 210960 961075 )
NEW met1 ( 210960 961075 ) ( 215280 961075 )
NEW met2 ( 215280 961075 ) ( 215280 1023050 )
NEW met3 ( 211680 1023050 ) ( 215280 1023050 )
NEW met3 ( 211680 1023050 ) ( 211680 1024900 0 )
NEW met1 ( 210960 961075 ) M1M2_PR
NEW met1 ( 215280 961075 ) M1M2_PR
NEW met2 ( 215280 1023050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[3\] ( padframe mprj_io_analog_en[3] ) ( gpio_control_in\[3\] pad_gpio_ana_en )
+ ROUTED met1 ( 3371760 1208605 ) ( 3377520 1208605 )
NEW met2 ( 3377520 1206200 0 ) ( 3377520 1208605 )
NEW met3 ( 3371760 1293890 ) ( 3373920 1293890 0 )
NEW met2 ( 3371760 1208605 ) ( 3371760 1293890 )
NEW met1 ( 3371760 1208605 ) M1M2_PR
NEW met1 ( 3377520 1208605 ) M1M2_PR
NEW met2 ( 3371760 1293890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[4\] ( padframe mprj_io_analog_en[4] ) ( gpio_control_in\[4\] pad_gpio_ana_en )
+ ROUTED met3 ( 3371280 1518850 ) ( 3373920 1518850 0 )
NEW met1 ( 3371280 1433935 ) ( 3377040 1433935 )
NEW met2 ( 3377040 1431160 0 ) ( 3377040 1433935 )
NEW met2 ( 3371280 1433935 ) ( 3371280 1518850 )
NEW met2 ( 3371280 1518850 ) via2_FR
NEW met1 ( 3371280 1433935 ) M1M2_PR
NEW met1 ( 3377040 1433935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[5\] ( padframe mprj_io_analog_en[5] ) ( gpio_control_in\[5\] pad_gpio_ana_en )
+ ROUTED met1 ( 3375120 1658895 ) ( 3377040 1658895 )
NEW met2 ( 3377040 1656120 0 ) ( 3377040 1658895 )
NEW met3 ( 3374880 1743070 ) ( 3375120 1743070 )
NEW met3 ( 3374880 1743070 ) ( 3374880 1743810 0 )
NEW met2 ( 3375120 1658895 ) ( 3375120 1743070 )
NEW met1 ( 3375120 1658895 ) M1M2_PR
NEW met1 ( 3377040 1658895 ) M1M2_PR
NEW met2 ( 3375120 1743070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[6\] ( padframe mprj_io_analog_en[6] ) ( gpio_control_in\[6\] pad_gpio_ana_en )
+ ROUTED met1 ( 3372720 1884225 ) ( 3377520 1884225 )
NEW met2 ( 3377520 1882190 0 ) ( 3377520 1884225 )
NEW met3 ( 3372720 1968770 ) ( 3373920 1968770 )
NEW met3 ( 3373920 1968770 ) ( 3373920 1969880 0 )
NEW met2 ( 3372720 1884225 ) ( 3372720 1968770 )
NEW met1 ( 3372720 1884225 ) M1M2_PR
NEW met1 ( 3377520 1884225 ) M1M2_PR
NEW met2 ( 3372720 1968770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_en\[7\] ( padframe mprj_io_analog_en[7] ) ( gpio_control_in\[7\] pad_gpio_ana_en )
+ ROUTED met3 ( 3375600 2412030 ) ( 3375840 2412030 )
NEW met3 ( 3375840 2410920 0 ) ( 3375840 2412030 )
NEW met2 ( 3374640 2591850 ) ( 3375120 2591850 )
NEW met2 ( 3375120 2491210 ) ( 3375120 2591850 )
NEW met2 ( 3375120 2491210 ) ( 3375600 2491210 )
NEW met2 ( 3375600 2412030 ) ( 3375600 2491210 )
NEW met1 ( 3374640 2765935 ) ( 3377040 2765935 )
NEW met2 ( 3377040 2765935 ) ( 3377040 2768155 0 )
NEW met2 ( 3374640 2591850 ) ( 3374640 2765935 )
NEW met2 ( 3375600 2412030 ) via2_FR
NEW met1 ( 3374640 2765935 ) M1M2_PR
NEW met1 ( 3377040 2765935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[8\] ( padframe mprj_io_analog_en[8] ) ( gpio_control_in\[8\] pad_gpio_ana_en )
+ ROUTED met1 ( 3363600 2634585 ) ( 3369360 2634585 )
NEW met2 ( 3369360 2631070 ) ( 3369360 2634585 )
NEW met3 ( 3369360 2631070 ) ( 3373920 2631070 0 )
NEW met1 ( 3363600 2992005 ) ( 3377520 2992005 )
NEW met2 ( 3377520 2992005 ) ( 3377520 2994040 0 )
NEW met2 ( 3363600 2634585 ) ( 3363600 2992005 )
NEW met1 ( 3363600 2634585 ) M1M2_PR
NEW met1 ( 3369360 2634585 ) M1M2_PR
NEW met2 ( 3369360 2631070 ) via2_FR
NEW met1 ( 3363600 2992005 ) M1M2_PR
NEW met1 ( 3377520 2992005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_en\[9\] ( padframe mprj_io_analog_en[9] ) ( gpio_control_in\[9\] pad_gpio_ana_en )
+ ROUTED met1 ( 3363120 2856955 ) ( 3373680 2856955 )
NEW met2 ( 3373680 2856770 ) ( 3373680 2856955 )
NEW met3 ( 3373680 2856770 ) ( 3373920 2856770 )
NEW met3 ( 3373920 2856030 0 ) ( 3373920 2856770 )
NEW met1 ( 3363120 3216965 ) ( 3377040 3216965 )
NEW met2 ( 3377040 3216965 ) ( 3377040 3219155 0 )
NEW met2 ( 3363120 2856955 ) ( 3363120 3216965 )
NEW met1 ( 3363120 2856955 ) M1M2_PR
NEW met1 ( 3373680 2856955 ) M1M2_PR
NEW met2 ( 3373680 2856770 ) via2_FR
NEW met1 ( 3363120 3216965 ) M1M2_PR
NEW met1 ( 3377040 3216965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[0\] ( padframe mprj_io_analog_pol[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_pol )
+ ROUTED met2 ( 3374160 598290 ) ( 3374640 598290 )
NEW met2 ( 3374640 598290 ) ( 3374640 619750 )
NEW met3 ( 3374640 619750 ) ( 3374880 619750 )
NEW met3 ( 3374880 619750 ) ( 3374880 621230 0 )
NEW met1 ( 3374160 537795 ) ( 3377040 537795 )
NEW met2 ( 3377040 535595 0 ) ( 3377040 537795 )
NEW met2 ( 3374160 537795 ) ( 3374160 598290 )
NEW met2 ( 3374640 619750 ) via2_FR
NEW met1 ( 3374160 537795 ) M1M2_PR
NEW met1 ( 3377040 537795 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[10\] ( padframe mprj_io_analog_pol[10] ) ( gpio_control_in\[10\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3375600 3428050 ) ( 3385440 3428050 )
NEW met2 ( 3375600 3428050 ) ( 3375600 3448955 )
NEW met1 ( 3375600 3448955 ) ( 3377040 3448955 )
NEW met2 ( 3377040 3448955 ) ( 3377040 3451730 0 )
NEW met3 ( 3385440 3086170 0 ) ( 3385440 3089130 )
NEW met4 ( 3385440 3089130 ) ( 3385440 3428050 )
NEW met3 ( 3385440 3428050 ) M3M4_PR_M
NEW met2 ( 3375600 3428050 ) via2_FR
NEW met1 ( 3375600 3448955 ) M1M2_PR
NEW met1 ( 3377040 3448955 ) M1M2_PR
NEW met3 ( 3385440 3089130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[11\] ( padframe mprj_io_analog_pol[11] ) ( gpio_control_in\[11\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3376080 3662630 ) ( 3384480 3662630 )
NEW met2 ( 3376080 3662630 ) ( 3376080 3674655 )
NEW met1 ( 3376080 3674655 ) ( 3377040 3674655 )
NEW met2 ( 3377040 3674655 ) ( 3377040 3676690 0 )
NEW met3 ( 3384480 3311130 0 ) ( 3384480 3311870 )
NEW met4 ( 3384480 3311870 ) ( 3384480 3662630 )
NEW met3 ( 3384480 3662630 ) M3M4_PR_M
NEW met2 ( 3376080 3662630 ) via2_FR
NEW met1 ( 3376080 3674655 ) M1M2_PR
NEW met1 ( 3377040 3674655 ) M1M2_PR
NEW met3 ( 3384480 3311870 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[12\] ( padframe mprj_io_analog_pol[12] ) ( gpio_control_in\[12\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3363600 3537015 ) ( 3372240 3537015 )
NEW met2 ( 3372240 3536830 ) ( 3372240 3537015 )
NEW met3 ( 3372240 3536830 ) ( 3373920 3536830 0 )
NEW met1 ( 3363600 3898875 ) ( 3377040 3898875 )
NEW met2 ( 3377040 3898875 ) ( 3377040 3901650 0 )
NEW met2 ( 3363600 3537015 ) ( 3363600 3898875 )
NEW met1 ( 3363600 3537015 ) M1M2_PR
NEW met1 ( 3372240 3537015 ) M1M2_PR
NEW met2 ( 3372240 3536830 ) via2_FR
NEW met1 ( 3363600 3898875 ) M1M2_PR
NEW met1 ( 3377040 3898875 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[13\] ( padframe mprj_io_analog_pol[13] ) ( gpio_control_in\[13\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3389280 3761790 0 ) ( 3389280 3764750 )
NEW met2 ( 3374160 3915710 ) ( 3375120 3915710 )
NEW met2 ( 3374160 3886850 ) ( 3374160 3915710 )
NEW met3 ( 3374160 3886850 ) ( 3384480 3886850 )
NEW met4 ( 3384480 3865390 ) ( 3384480 3886850 )
NEW met3 ( 3384480 3865390 ) ( 3389280 3865390 )
NEW met4 ( 3389280 3764750 ) ( 3389280 3865390 )
NEW met1 ( 3375120 4345095 ) ( 3377520 4345095 )
NEW met2 ( 3377520 4345095 ) ( 3377520 4347500 0 )
NEW met2 ( 3375120 3915710 ) ( 3375120 4345095 )
NEW met3 ( 3389280 3764750 ) M3M4_PR_M
NEW met2 ( 3374160 3886850 ) via2_FR
NEW met3 ( 3384480 3886850 ) M3M4_PR_M
NEW met3 ( 3384480 3865390 ) M3M4_PR_M
NEW met3 ( 3389280 3865390 ) M3M4_PR_M
NEW met1 ( 3375120 4345095 ) M1M2_PR
NEW met1 ( 3377520 4345095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[14\] ( padframe mprj_io_analog_pol[14] ) ( gpio_control_in\[14\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3385440 4654230 0 ) ( 3385440 4657190 )
NEW met3 ( 3375600 4767450 ) ( 3385440 4767450 )
NEW met2 ( 3375600 4767450 ) ( 3375600 4792055 )
NEW met1 ( 3375600 4792055 ) ( 3377040 4792055 )
NEW met2 ( 3377040 4792055 ) ( 3377040 4793720 0 )
NEW met4 ( 3385440 4657190 ) ( 3385440 4767450 )
NEW met3 ( 3385440 4657190 ) M3M4_PR_M
NEW met3 ( 3385440 4767450 ) M3M4_PR_M
NEW met2 ( 3375600 4767450 ) via2_FR
NEW met1 ( 3375600 4792055 ) M1M2_PR
NEW met1 ( 3377040 4792055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[15\] ( padframe mprj_io_analog_pol[15] ) ( gpio_control_in\[15\] pad_gpio_ana_pol )
+ ROUTED met1 ( 2865360 5011095 ) ( 2866800 5011095 )
NEW met2 ( 2866800 4988155 ) ( 2866800 5011095 )
NEW met2 ( 2865360 5011095 ) ( 2865360 5018495 )
NEW met2 ( 2763120 5018310 ) ( 2763120 5018495 )
NEW met3 ( 2761920 5018310 0 ) ( 2763120 5018310 )
NEW met1 ( 2763120 5018495 ) ( 2865360 5018495 )
NEW met2 ( 2953680 4988155 ) ( 2953680 4997775 )
NEW met1 ( 2866800 4988155 ) ( 2953680 4988155 )
NEW met2 ( 3173040 4979090 ) ( 3174480 4979090 0 )
NEW met2 ( 3173040 4978905 ) ( 3173040 4979090 )
NEW met1 ( 3166320 4978905 ) ( 3173040 4978905 )
NEW met1 ( 3166320 4978905 ) ( 3166320 4980015 )
NEW met1 ( 3126960 4980015 ) ( 3166320 4980015 )
NEW met2 ( 3126960 4980015 ) ( 3126960 4997775 )
NEW met1 ( 2953680 4997775 ) ( 3126960 4997775 )
NEW met1 ( 2865360 5018495 ) M1M2_PR
NEW met1 ( 2865360 5011095 ) M1M2_PR
NEW met1 ( 2866800 5011095 ) M1M2_PR
NEW met1 ( 2866800 4988155 ) M1M2_PR
NEW met1 ( 2763120 5018495 ) M1M2_PR
NEW met2 ( 2763120 5018310 ) via2_FR
NEW met1 ( 2953680 4988155 ) M1M2_PR
NEW met1 ( 2953680 4997775 ) M1M2_PR
NEW met1 ( 3173040 4978905 ) M1M2_PR
NEW met1 ( 3126960 4980015 ) M1M2_PR
NEW met1 ( 3126960 4997775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[16\] ( padframe mprj_io_analog_pol[16] ) ( gpio_control_in\[16\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2664240 4979090 ) ( 2665440 4979090 0 )
NEW met3 ( 2597520 4979090 ) ( 2664240 4979090 )
NEW met2 ( 2597520 4979090 ) ( 2597520 5019235 )
NEW met2 ( 2505840 5019050 ) ( 2505840 5019235 )
NEW met3 ( 2505120 5019050 0 ) ( 2505840 5019050 )
NEW met1 ( 2505840 5019235 ) ( 2597520 5019235 )
NEW met1 ( 2597520 5019235 ) M1M2_PR
NEW met2 ( 2664240 4979090 ) via2_FR
NEW met2 ( 2597520 4979090 ) via2_FR
NEW met1 ( 2505840 5019235 ) M1M2_PR
NEW met2 ( 2505840 5019050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[17\] ( padframe mprj_io_analog_pol[17] ) ( gpio_control_in\[17\] pad_gpio_ana_pol )
+ ROUTED met2 ( 2204400 5026265 ) ( 2204400 5026450 )
NEW met2 ( 2204400 5026450 ) ( 2205360 5026450 )
NEW met2 ( 2205360 5026265 ) ( 2205360 5026450 )
NEW met3 ( 2390880 4979090 ) ( 2390880 4979830 )
NEW met3 ( 2390880 4979090 ) ( 2407440 4979090 )
NEW met2 ( 2407440 4979090 ) ( 2408400 4979090 0 )
NEW met1 ( 2205360 5026265 ) ( 2360400 5026265 )
NEW met2 ( 2360400 4979830 ) ( 2360400 5026265 )
NEW met3 ( 2360400 4979830 ) ( 2390880 4979830 )
NEW met2 ( 2122800 5026265 ) ( 2122800 5026450 )
NEW met3 ( 2120160 5026450 0 ) ( 2122800 5026450 )
NEW met1 ( 2122800 5026265 ) ( 2204400 5026265 )
NEW met1 ( 2204400 5026265 ) M1M2_PR
NEW met1 ( 2205360 5026265 ) M1M2_PR
NEW met2 ( 2407440 4979090 ) via2_FR
NEW met1 ( 2360400 5026265 ) M1M2_PR
NEW met2 ( 2360400 4979830 ) via2_FR
NEW met1 ( 2122800 5026265 ) M1M2_PR
NEW met2 ( 2122800 5026450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[18\] ( padframe mprj_io_analog_pol[18] ) ( gpio_control_in\[18\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1783920 5019605 ) ( 1783920 5019790 )
NEW met3 ( 1783200 5019790 0 ) ( 1783920 5019790 )
NEW met2 ( 1963440 4975390 ) ( 1963440 4977610 0 )
NEW met2 ( 1910160 4975390 ) ( 1910160 4985565 )
NEW met1 ( 1886160 4985565 ) ( 1910160 4985565 )
NEW met2 ( 1886160 4985565 ) ( 1886160 5019605 )
NEW met1 ( 1783920 5019605 ) ( 1886160 5019605 )
NEW met3 ( 1910160 4975390 ) ( 1963440 4975390 )
NEW met1 ( 1783920 5019605 ) M1M2_PR
NEW met2 ( 1783920 5019790 ) via2_FR
NEW met2 ( 1963440 4975390 ) via2_FR
NEW met2 ( 1910160 4975390 ) via2_FR
NEW met1 ( 1910160 4985565 ) M1M2_PR
NEW met1 ( 1886160 4985565 ) M1M2_PR
NEW met1 ( 1886160 5019605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[19\] ( padframe mprj_io_analog_pol[19] ) ( gpio_control_in\[19\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1454400 4979090 0 ) ( 1456080 4979090 )
NEW met2 ( 1456080 4978905 ) ( 1456080 4979090 )
NEW met1 ( 1456080 4978905 ) ( 1528560 4978905 )
NEW met2 ( 1528560 4978905 ) ( 1528560 4979830 )
NEW met3 ( 1528560 4979830 ) ( 1530720 4979830 0 )
NEW met1 ( 1456080 4978905 ) M1M2_PR
NEW met1 ( 1528560 4978905 ) M1M2_PR
NEW met2 ( 1528560 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[1\] ( padframe mprj_io_analog_pol[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3371280 846930 ) ( 3373920 846930 0 )
NEW met1 ( 3371280 763125 ) ( 3377040 763125 )
NEW met2 ( 3377040 761460 0 ) ( 3377040 763125 )
NEW met2 ( 3371280 763125 ) ( 3371280 846930 )
NEW met2 ( 3371280 846930 ) via2_FR
NEW met1 ( 3371280 763125 ) M1M2_PR
NEW met1 ( 3377040 763125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[20\] ( padframe mprj_io_analog_pol[20] ) ( gpio_control_in\[20\] pad_gpio_ana_pol )
+ ROUTED met2 ( 1196400 4979090 0 ) ( 1197840 4979090 )
NEW met3 ( 1197840 4979090 ) ( 1252560 4979090 )
NEW met2 ( 1252560 4978905 ) ( 1252560 4979090 )
NEW met1 ( 1252560 4978905 ) ( 1270320 4978905 )
NEW met2 ( 1270320 4978905 ) ( 1270320 4979090 )
NEW met3 ( 1270320 4979090 ) ( 1272960 4979090 0 )
NEW met2 ( 1197840 4979090 ) via2_FR
NEW met2 ( 1252560 4979090 ) via2_FR
NEW met1 ( 1252560 4978905 ) M1M2_PR
NEW met1 ( 1270320 4978905 ) M1M2_PR
NEW met2 ( 1270320 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[21\] ( padframe mprj_io_analog_pol[21] ) ( gpio_control_in\[21\] pad_gpio_ana_pol )
+ ROUTED met2 ( 939360 4979090 0 ) ( 940560 4979090 )
NEW met2 ( 940560 4978905 ) ( 940560 4979090 )
NEW met1 ( 940560 4978905 ) ( 1014480 4978905 )
NEW met2 ( 1014480 4978905 ) ( 1014480 4979090 )
NEW met3 ( 1014480 4979090 ) ( 1016160 4979090 0 )
NEW met1 ( 940560 4978905 ) M1M2_PR
NEW met1 ( 1014480 4978905 ) M1M2_PR
NEW met2 ( 1014480 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[22\] ( padframe mprj_io_analog_pol[22] ) ( gpio_control_in\[22\] pad_gpio_ana_pol )
+ ROUTED met2 ( 757680 5012945 ) ( 757680 5013130 )
NEW met3 ( 757680 5013130 ) ( 758880 5013130 0 )
NEW met2 ( 682320 4979090 0 ) ( 683760 4979090 )
NEW met3 ( 683760 4979090 ) ( 684000 4979090 )
NEW met3 ( 684000 4979090 ) ( 684000 4979830 )
NEW met3 ( 684000 4979830 ) ( 721200 4979830 )
NEW met2 ( 721200 4979830 ) ( 721200 5012945 )
NEW met1 ( 721200 5012945 ) ( 757680 5012945 )
NEW met1 ( 757680 5012945 ) M1M2_PR
NEW met2 ( 757680 5013130 ) via2_FR
NEW met2 ( 683760 4979090 ) via2_FR
NEW met2 ( 721200 4979830 ) via2_FR
NEW met1 ( 721200 5012945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[23\] ( padframe mprj_io_analog_pol[23] ) ( gpio_control_in\[23\] pad_gpio_ana_pol )
+ ROUTED met2 ( 425520 4978350 0 ) ( 426960 4978350 )
NEW met2 ( 426960 4978350 ) ( 426960 4978535 )
NEW met1 ( 426960 4978535 ) ( 498960 4978535 )
NEW met2 ( 498960 4978535 ) ( 498960 4979090 )
NEW met3 ( 498960 4979090 ) ( 502080 4979090 0 )
NEW met1 ( 426960 4978535 ) M1M2_PR
NEW met1 ( 498960 4978535 ) M1M2_PR
NEW met2 ( 498960 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[24\] ( padframe mprj_io_analog_pol[24] ) ( gpio_control_in\[24\] pad_gpio_ana_pol )
+ ROUTED met3 ( 205920 4464790 0 ) ( 205920 4467750 )
NEW met3 ( 205920 4814070 ) ( 209040 4814070 )
NEW met2 ( 209040 4814070 ) ( 209040 4815405 0 )
NEW met4 ( 205920 4467750 ) ( 205920 4814070 )
NEW met3 ( 205920 4467750 ) M3M4_PR_M
NEW met3 ( 205920 4814070 ) M3M4_PR_M
NEW met2 ( 209040 4814070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[25\] ( padframe mprj_io_analog_pol[25] ) ( gpio_control_in\[25\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 3966400 0 ) ( 210960 3969175 )
NEW met1 ( 210960 3969175 ) ( 212400 3969175 )
NEW met2 ( 212400 3969175 ) ( 212400 3989710 )
NEW met3 ( 211680 3989710 ) ( 212400 3989710 )
NEW met4 ( 211680 3989710 ) ( 211680 4000070 )
NEW met3 ( 211440 4000070 ) ( 211680 4000070 )
NEW met2 ( 211440 4000070 ) ( 211440 4000810 )
NEW met2 ( 210960 4000810 ) ( 211440 4000810 )
NEW met2 ( 210960 4000810 ) ( 210960 4041510 )
NEW met3 ( 210720 4041510 ) ( 210960 4041510 )
NEW met3 ( 210720 4041510 ) ( 210720 4042990 0 )
NEW met1 ( 210960 3969175 ) M1M2_PR
NEW met1 ( 212400 3969175 ) M1M2_PR
NEW met2 ( 212400 3989710 ) via2_FR
NEW met3 ( 211680 3989710 ) M3M4_PR_M
NEW met3 ( 211680 4000070 ) M3M4_PR_M
NEW met2 ( 211440 4000070 ) via2_FR
NEW met2 ( 210960 4041510 ) via2_FR
NEW met3 ( 211680 4000070 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[26\] ( padframe mprj_io_analog_pol[26] ) ( gpio_control_in\[26\] pad_gpio_ana_pol )
+ ROUTED met2 ( 215760 3764750 ) ( 216240 3764750 )
NEW met2 ( 216240 3764750 ) ( 216240 3765490 )
NEW met2 ( 216240 3765490 ) ( 216720 3765490 )
NEW met2 ( 216720 3765490 ) ( 216720 3784915 )
NEW met1 ( 210480 3784915 ) ( 216720 3784915 )
NEW met2 ( 210480 3784915 ) ( 210480 3826170 )
NEW met3 ( 210480 3826170 ) ( 210720 3826170 )
NEW met3 ( 210720 3826170 ) ( 210720 3826910 0 )
NEW met2 ( 210960 3750320 0 ) ( 210960 3751985 )
NEW met1 ( 210960 3751985 ) ( 215760 3751985 )
NEW met2 ( 215760 3751985 ) ( 215760 3764750 )
NEW met1 ( 216720 3784915 ) M1M2_PR
NEW met1 ( 210480 3784915 ) M1M2_PR
NEW met2 ( 210480 3826170 ) via2_FR
NEW met1 ( 210960 3751985 ) M1M2_PR
NEW met1 ( 215760 3751985 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[27\] ( padframe mprj_io_analog_pol[27] ) ( gpio_control_in\[27\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 3534405 0 ) ( 210960 3536830 )
NEW met3 ( 210960 3536830 ) ( 212640 3536830 )
NEW met4 ( 212640 3536830 ) ( 212640 3607870 )
NEW met3 ( 211680 3607870 ) ( 212640 3607870 )
NEW met3 ( 211680 3607870 ) ( 211680 3610830 0 )
NEW met2 ( 210960 3536830 ) via2_FR
NEW met3 ( 212640 3536830 ) M3M4_PR_M
NEW met3 ( 212640 3607870 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[28\] ( padframe mprj_io_analog_pol[28] ) ( gpio_control_in\[28\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210480 3318530 0 ) ( 210480 3320010 )
NEW met2 ( 210480 3320010 ) ( 210960 3320010 )
NEW met2 ( 210960 3320010 ) ( 210960 3320935 )
NEW met1 ( 210960 3320935 ) ( 215760 3320935 )
NEW met3 ( 211680 3341470 ) ( 215760 3341470 )
NEW met4 ( 211680 3341470 ) ( 211680 3391790 )
NEW met3 ( 211680 3391790 ) ( 211680 3394750 0 )
NEW met2 ( 215760 3320935 ) ( 215760 3341470 )
NEW met1 ( 210960 3320935 ) M1M2_PR
NEW met1 ( 215760 3320935 ) M1M2_PR
NEW met2 ( 215760 3341470 ) via2_FR
NEW met3 ( 211680 3341470 ) M3M4_PR_M
NEW met3 ( 211680 3391790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_pol\[29\] ( padframe mprj_io_analog_pol[29] ) ( gpio_control_in\[29\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210480 3102450 0 ) ( 210480 3104670 )
NEW met3 ( 210480 3104670 ) ( 210720 3104670 )
NEW met3 ( 207840 3121690 ) ( 210720 3121690 )
NEW met4 ( 207840 3121690 ) ( 207840 3175710 )
NEW met3 ( 207840 3175710 ) ( 207840 3178670 0 )
NEW met4 ( 210720 3104670 ) ( 210720 3121690 )
NEW met2 ( 210480 3104670 ) via2_FR
NEW met3 ( 210720 3104670 ) M3M4_PR_M
NEW met3 ( 210720 3121690 ) M3M4_PR_M
NEW met3 ( 207840 3121690 ) M3M4_PR_M
NEW met3 ( 207840 3175710 ) M3M4_PR_M
NEW met3 ( 210480 3104670 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_pol\[2\] ( padframe mprj_io_analog_pol[2] ) ( gpio_control_in\[2\] pad_gpio_ana_pol )
+ ROUTED met2 ( 3377040 986595 0 ) ( 3377040 988085 )
NEW met1 ( 3374160 988085 ) ( 3377040 988085 )
NEW met3 ( 3373920 1071150 ) ( 3374160 1071150 )
NEW met3 ( 3373920 1071150 ) ( 3373920 1071890 0 )
NEW met2 ( 3374160 988085 ) ( 3374160 1071150 )
NEW met1 ( 3377040 988085 ) M1M2_PR
NEW met1 ( 3374160 988085 ) M1M2_PR
NEW met2 ( 3374160 1071150 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[30\] ( padframe mprj_io_analog_pol[30] ) ( gpio_control_in\[30\] pad_gpio_ana_pol )
+ ROUTED met3 ( 211680 2961850 ) ( 212400 2961850 )
NEW met3 ( 211680 2961850 ) ( 211680 2962960 0 )
NEW met2 ( 210960 2886370 0 ) ( 210960 2888405 )
NEW met1 ( 210960 2888405 ) ( 215280 2888405 )
NEW met2 ( 215280 2888405 ) ( 215280 2930585 )
NEW met1 ( 212400 2930585 ) ( 215280 2930585 )
NEW met2 ( 212400 2930585 ) ( 212400 2961850 )
NEW met2 ( 212400 2961850 ) via2_FR
NEW met1 ( 210960 2888405 ) M1M2_PR
NEW met1 ( 215280 2888405 ) M1M2_PR
NEW met1 ( 215280 2930585 ) M1M2_PR
NEW met1 ( 212400 2930585 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[31\] ( padframe mprj_io_analog_pol[31] ) ( gpio_control_in\[31\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 2670290 0 ) ( 210960 2672325 )
NEW met1 ( 210960 2672325 ) ( 215280 2672325 )
NEW met3 ( 211680 2746510 ) ( 215280 2746510 )
NEW met3 ( 211680 2746510 ) ( 211680 2746880 0 )
NEW met2 ( 215280 2672325 ) ( 215280 2746510 )
NEW met1 ( 210960 2672325 ) M1M2_PR
NEW met1 ( 215280 2672325 ) M1M2_PR
NEW met2 ( 215280 2746510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[32\] ( padframe mprj_io_analog_pol[32] ) ( gpio_control_in\[32\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 2032410 0 ) ( 210960 2034445 )
NEW met1 ( 210960 2034445 ) ( 215280 2034445 )
NEW met3 ( 211680 2106410 ) ( 215280 2106410 )
NEW met3 ( 211680 2106410 ) ( 211680 2109000 0 )
NEW met2 ( 215280 2034445 ) ( 215280 2106410 )
NEW met1 ( 210960 2034445 ) M1M2_PR
NEW met1 ( 215280 2034445 ) M1M2_PR
NEW met2 ( 215280 2106410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[33\] ( padframe mprj_io_analog_pol[33] ) ( gpio_control_in\[33\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 1816330 0 ) ( 210960 1818365 )
NEW met1 ( 210960 1818365 ) ( 215760 1818365 )
NEW met3 ( 211680 1892550 ) ( 215760 1892550 )
NEW met3 ( 211680 1892550 ) ( 211680 1892920 0 )
NEW met2 ( 215760 1818365 ) ( 215760 1892550 )
NEW met1 ( 210960 1818365 ) M1M2_PR
NEW met1 ( 215760 1818365 ) M1M2_PR
NEW met2 ( 215760 1892550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[34\] ( padframe mprj_io_analog_pol[34] ) ( gpio_control_in\[34\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 1600405 0 ) ( 210960 1603025 )
NEW met1 ( 210960 1603025 ) ( 215280 1603025 )
NEW met2 ( 215280 1603025 ) ( 215280 1614865 )
NEW met1 ( 215280 1614865 ) ( 216720 1614865 )
NEW met2 ( 216720 1614865 ) ( 216720 1641505 )
NEW met1 ( 215760 1641505 ) ( 216720 1641505 )
NEW met3 ( 211680 1677210 0 ) ( 215760 1677210 )
NEW met2 ( 215760 1641505 ) ( 215760 1677210 )
NEW met1 ( 210960 1603025 ) M1M2_PR
NEW met1 ( 215280 1603025 ) M1M2_PR
NEW met1 ( 215280 1614865 ) M1M2_PR
NEW met1 ( 216720 1614865 ) M1M2_PR
NEW met1 ( 216720 1641505 ) M1M2_PR
NEW met1 ( 215760 1641505 ) M1M2_PR
NEW met2 ( 215760 1677210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[35\] ( padframe mprj_io_analog_pol[35] ) ( gpio_control_in\[35\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210480 1384540 0 ) ( 210480 1386945 )
NEW met1 ( 210480 1386945 ) ( 214320 1386945 )
NEW met3 ( 211680 1461130 0 ) ( 214320 1461130 )
NEW met2 ( 214320 1386945 ) ( 214320 1461130 )
NEW met1 ( 210480 1386945 ) M1M2_PR
NEW met1 ( 214320 1386945 ) M1M2_PR
NEW met2 ( 214320 1461130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[36\] ( padframe mprj_io_analog_pol[36] ) ( gpio_control_in\[36\] pad_gpio_ana_pol )
+ ROUTED met1 ( 210480 1223775 ) ( 212880 1223775 )
NEW met3 ( 210480 1242090 ) ( 210720 1242090 )
NEW met3 ( 210720 1242090 ) ( 210720 1245050 0 )
NEW met2 ( 210480 1223775 ) ( 210480 1242090 )
NEW met2 ( 210480 1168460 0 ) ( 210480 1170125 )
NEW met1 ( 210480 1170125 ) ( 210480 1170865 )
NEW met1 ( 210480 1170865 ) ( 212400 1170865 )
NEW met2 ( 212400 1170865 ) ( 212400 1174010 )
NEW met2 ( 211920 1174010 ) ( 212400 1174010 )
NEW met2 ( 211920 1174010 ) ( 211920 1191955 )
NEW met1 ( 211920 1191955 ) ( 212880 1191955 )
NEW met1 ( 212880 1191955 ) ( 212880 1192325 )
NEW met2 ( 212880 1192325 ) ( 212880 1223775 )
NEW met1 ( 210480 1223775 ) M1M2_PR
NEW met1 ( 212880 1223775 ) M1M2_PR
NEW met2 ( 210480 1242090 ) via2_FR
NEW met1 ( 210480 1170125 ) M1M2_PR
NEW met1 ( 212400 1170865 ) M1M2_PR
NEW met1 ( 211920 1191955 ) M1M2_PR
NEW met1 ( 212880 1192325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[37\] ( padframe mprj_io_analog_pol[37] ) ( gpio_control_in\[37\] pad_gpio_ana_pol )
+ ROUTED met2 ( 210960 952380 0 ) ( 210960 955155 )
NEW met1 ( 210960 955155 ) ( 215760 955155 )
NEW met2 ( 215760 955155 ) ( 215760 1028970 )
NEW met3 ( 211680 1028970 0 ) ( 215760 1028970 )
NEW met1 ( 210960 955155 ) M1M2_PR
NEW met1 ( 215760 955155 ) M1M2_PR
NEW met2 ( 215760 1028970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[3\] ( padframe mprj_io_analog_pol[3] ) ( gpio_control_in\[3\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3375120 1214895 ) ( 3377040 1214895 )
NEW met2 ( 3377040 1212490 0 ) ( 3377040 1214895 )
NEW met3 ( 3374880 1296850 ) ( 3375120 1296850 )
NEW met3 ( 3374880 1296850 ) ( 3374880 1297960 0 )
NEW met2 ( 3375120 1214895 ) ( 3375120 1296850 )
NEW met1 ( 3375120 1214895 ) M1M2_PR
NEW met1 ( 3377040 1214895 ) M1M2_PR
NEW met2 ( 3375120 1296850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[4\] ( padframe mprj_io_analog_pol[4] ) ( gpio_control_in\[4\] pad_gpio_ana_pol )
+ ROUTED met3 ( 3370320 1522550 ) ( 3373920 1522550 )
NEW met3 ( 3373920 1522550 ) ( 3373920 1522920 0 )
NEW met1 ( 3370320 1438745 ) ( 3377040 1438745 )
NEW met2 ( 3377040 1437595 0 ) ( 3377040 1438745 )
NEW met2 ( 3370320 1438745 ) ( 3370320 1522550 )
NEW met2 ( 3370320 1522550 ) via2_FR
NEW met1 ( 3370320 1438745 ) M1M2_PR
NEW met1 ( 3377040 1438745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[5\] ( padframe mprj_io_analog_pol[5] ) ( gpio_control_in\[5\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3373680 1663705 ) ( 3377040 1663705 )
NEW met2 ( 3377040 1662595 0 ) ( 3377040 1663705 )
NEW met3 ( 3373680 1746770 ) ( 3373920 1746770 )
NEW met3 ( 3373920 1746770 ) ( 3373920 1747880 0 )
NEW met2 ( 3373680 1663705 ) ( 3373680 1746770 )
NEW met1 ( 3373680 1663705 ) M1M2_PR
NEW met1 ( 3377040 1663705 ) M1M2_PR
NEW met2 ( 3373680 1746770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[6\] ( padframe mprj_io_analog_pol[6] ) ( gpio_control_in\[6\] pad_gpio_ana_pol )
+ ROUTED met2 ( 3377040 1888480 0 ) ( 3377040 1890885 )
NEW met1 ( 3373680 1890885 ) ( 3377040 1890885 )
NEW met2 ( 3373680 1890885 ) ( 3373680 1972470 )
NEW met3 ( 3373680 1972470 ) ( 3373920 1972470 )
NEW met3 ( 3373920 1972470 ) ( 3373920 1973950 0 )
NEW met1 ( 3377040 1890885 ) M1M2_PR
NEW met1 ( 3373680 1890885 ) M1M2_PR
NEW met2 ( 3373680 1972470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_pol\[7\] ( padframe mprj_io_analog_pol[7] ) ( gpio_control_in\[7\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3370320 2490285 ) ( 3375120 2490285 )
NEW met2 ( 3375120 2415730 ) ( 3375120 2490285 )
NEW met3 ( 3374880 2415730 ) ( 3375120 2415730 )
NEW met3 ( 3374880 2414990 0 ) ( 3374880 2415730 )
NEW met1 ( 3370320 2772595 ) ( 3377040 2772595 )
NEW met2 ( 3377040 2772595 ) ( 3377040 2774630 0 )
NEW met2 ( 3370320 2490285 ) ( 3370320 2772595 )
NEW met1 ( 3370320 2490285 ) M1M2_PR
NEW met1 ( 3375120 2490285 ) M1M2_PR
NEW met2 ( 3375120 2415730 ) via2_FR
NEW met1 ( 3370320 2772595 ) M1M2_PR
NEW met1 ( 3377040 2772595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[8\] ( padframe mprj_io_analog_pol[8] ) ( gpio_control_in\[8\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3356880 2634215 ) ( 3368880 2634215 )
NEW met2 ( 3368880 2634215 ) ( 3368880 2634770 )
NEW met3 ( 3368880 2634770 ) ( 3373920 2634770 0 )
NEW met1 ( 3356880 2999035 ) ( 3377040 2999035 )
NEW met2 ( 3377040 2999035 ) ( 3377040 3000700 0 )
NEW met2 ( 3356880 2634215 ) ( 3356880 2999035 )
NEW met1 ( 3356880 2634215 ) M1M2_PR
NEW met1 ( 3368880 2634215 ) M1M2_PR
NEW met2 ( 3368880 2634770 ) via2_FR
NEW met1 ( 3356880 2999035 ) M1M2_PR
NEW met1 ( 3377040 2999035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_pol\[9\] ( padframe mprj_io_analog_pol[9] ) ( gpio_control_in\[9\] pad_gpio_ana_pol )
+ ROUTED met1 ( 3358320 2859915 ) ( 3372240 2859915 )
NEW met2 ( 3372240 2859730 ) ( 3372240 2859915 )
NEW met3 ( 3372240 2859730 ) ( 3373920 2859730 0 )
NEW met1 ( 3358320 3222885 ) ( 3377520 3222885 )
NEW met2 ( 3377520 3222885 ) ( 3377520 3225660 0 )
NEW met2 ( 3358320 2859915 ) ( 3358320 3222885 )
NEW met1 ( 3358320 2859915 ) M1M2_PR
NEW met1 ( 3372240 2859915 ) M1M2_PR
NEW met2 ( 3372240 2859730 ) via2_FR
NEW met1 ( 3358320 3222885 ) M1M2_PR
NEW met1 ( 3377520 3222885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[0\] ( padframe mprj_io_analog_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3374880 624190 ) ( 3375120 624190 )
NEW met3 ( 3374880 624190 ) ( 3374880 624930 0 )
NEW met2 ( 3375120 550775 ) ( 3377040 550775 0 )
NEW met2 ( 3375120 550775 ) ( 3375120 624190 )
NEW met2 ( 3375120 624190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[10\] ( padframe mprj_io_analog_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3361200 3464495 ) ( 3377040 3464495 )
NEW met2 ( 3377040 3464495 ) ( 3377040 3466900 0 )
NEW met1 ( 3361200 3095975 ) ( 3369360 3095975 )
NEW met2 ( 3369360 3089870 ) ( 3369360 3095975 )
NEW met3 ( 3369360 3089870 ) ( 3373920 3089870 0 )
NEW met2 ( 3361200 3095975 ) ( 3361200 3464495 )
NEW met1 ( 3361200 3464495 ) M1M2_PR
NEW met1 ( 3377040 3464495 ) M1M2_PR
NEW met1 ( 3361200 3095975 ) M1M2_PR
NEW met1 ( 3369360 3095975 ) M1M2_PR
NEW met2 ( 3369360 3089870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[11\] ( padframe mprj_io_analog_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3374640 3441370 ) ( 3385440 3441370 )
NEW met4 ( 3385440 3438225 ) ( 3385440 3441370 )
NEW met4 ( 3385440 3438225 ) ( 3386400 3438225 )
NEW met1 ( 3374640 3689455 ) ( 3377040 3689455 )
NEW met2 ( 3377040 3689455 ) ( 3377040 3691860 0 )
NEW met3 ( 3386400 3314830 0 ) ( 3386400 3317790 )
NEW met4 ( 3386400 3317790 ) ( 3386400 3438225 )
NEW met2 ( 3374640 3441370 ) ( 3374640 3689455 )
NEW met2 ( 3374640 3441370 ) via2_FR
NEW met3 ( 3385440 3441370 ) M3M4_PR_M
NEW met1 ( 3374640 3689455 ) M1M2_PR
NEW met1 ( 3377040 3689455 ) M1M2_PR
NEW met3 ( 3386400 3317790 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[12\] ( padframe mprj_io_analog_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3385440 3541270 0 ) ( 3385440 3542010 )
NEW met3 ( 3375120 3887590 ) ( 3385440 3887590 )
NEW met2 ( 3375120 3887590 ) ( 3375120 3915155 )
NEW met1 ( 3375120 3915155 ) ( 3377040 3915155 )
NEW met2 ( 3377040 3915155 ) ( 3377040 3916820 0 )
NEW met4 ( 3385440 3542010 ) ( 3385440 3887590 )
NEW met3 ( 3385440 3542010 ) M3M4_PR_M
NEW met3 ( 3385440 3887590 ) M3M4_PR_M
NEW met2 ( 3375120 3887590 ) via2_FR
NEW met1 ( 3375120 3915155 ) M1M2_PR
NEW met1 ( 3377040 3915155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[13\] ( padframe mprj_io_analog_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3384480 3766230 0 ) ( 3384480 3769190 )
NEW met3 ( 3371280 3902390 ) ( 3385440 3902390 )
NEW met4 ( 3385440 3901095 ) ( 3385440 3902390 )
NEW met4 ( 3385440 3901095 ) ( 3386400 3901095 )
NEW met4 ( 3386400 3864650 ) ( 3386400 3901095 )
NEW met3 ( 3384480 3864650 ) ( 3386400 3864650 )
NEW met4 ( 3384480 3769190 ) ( 3384480 3864650 )
NEW met1 ( 3371280 4360635 ) ( 3377520 4360635 )
NEW met2 ( 3377520 4360635 ) ( 3377520 4362670 0 )
NEW met2 ( 3371280 3902390 ) ( 3371280 4360635 )
NEW met3 ( 3384480 3769190 ) M3M4_PR_M
NEW met2 ( 3371280 3902390 ) via2_FR
NEW met3 ( 3385440 3902390 ) M3M4_PR_M
NEW met3 ( 3386400 3864650 ) M3M4_PR_M
NEW met3 ( 3384480 3864650 ) M3M4_PR_M
NEW met1 ( 3371280 4360635 ) M1M2_PR
NEW met1 ( 3377520 4360635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[14\] ( padframe mprj_io_analog_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3383520 4657930 0 ) ( 3383520 4659410 )
NEW met3 ( 3378960 4807410 ) ( 3383520 4807410 )
NEW met2 ( 3378960 4807410 ) ( 3378960 4808890 0 )
NEW met4 ( 3383520 4659410 ) ( 3383520 4807410 )
NEW met3 ( 3383520 4659410 ) M3M4_PR_M
NEW met3 ( 3383520 4807410 ) M3M4_PR_M
NEW met2 ( 3378960 4807410 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[15\] ( padframe mprj_io_analog_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ana_sel )
+ ROUTED met1 ( 2812080 5025895 ) ( 2812080 5026265 )
NEW met1 ( 2812080 5026265 ) ( 2859600 5026265 )
NEW met1 ( 2859600 5005175 ) ( 2866320 5005175 )
NEW met2 ( 2866320 4987785 ) ( 2866320 5005175 )
NEW met2 ( 2859600 5005175 ) ( 2859600 5026265 )
NEW met2 ( 3053040 4987785 ) ( 3053040 4998885 )
NEW met2 ( 2768880 5025710 ) ( 2768880 5025895 )
NEW met3 ( 2766240 5025710 0 ) ( 2768880 5025710 )
NEW met1 ( 2768880 5025895 ) ( 2812080 5025895 )
NEW met1 ( 2866320 4987785 ) ( 3053040 4987785 )
NEW met2 ( 3157680 4979090 ) ( 3159120 4979090 0 )
NEW met2 ( 3157680 4978905 ) ( 3157680 4979090 )
NEW met1 ( 3157200 4978905 ) ( 3157680 4978905 )
NEW met1 ( 3157200 4978905 ) ( 3157200 4979645 )
NEW met1 ( 3127440 4979645 ) ( 3157200 4979645 )
NEW met2 ( 3127440 4979645 ) ( 3127440 4998885 )
NEW met1 ( 3053040 4998885 ) ( 3127440 4998885 )
NEW met1 ( 2859600 5026265 ) M1M2_PR
NEW met1 ( 2859600 5005175 ) M1M2_PR
NEW met1 ( 2866320 5005175 ) M1M2_PR
NEW met1 ( 2866320 4987785 ) M1M2_PR
NEW met1 ( 3053040 4987785 ) M1M2_PR
NEW met1 ( 3053040 4998885 ) M1M2_PR
NEW met1 ( 2768880 5025895 ) M1M2_PR
NEW met2 ( 2768880 5025710 ) via2_FR
NEW met1 ( 3157680 4978905 ) M1M2_PR
NEW met1 ( 3127440 4979645 ) M1M2_PR
NEW met1 ( 3127440 4998885 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[16\] ( padframe mprj_io_analog_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2617680 4979645 ) ( 2617680 5003510 )
NEW met1 ( 2617680 4979645 ) ( 2649360 4979645 )
NEW met1 ( 2649360 4978905 ) ( 2649360 4979645 )
NEW met2 ( 2649360 4978905 ) ( 2649360 4979090 )
NEW met2 ( 2649360 4979090 ) ( 2650320 4979090 0 )
NEW met1 ( 2511600 5026265 ) ( 2584080 5026265 )
NEW met2 ( 2511600 5026265 ) ( 2511600 5026450 )
NEW met3 ( 2508960 5026450 0 ) ( 2511600 5026450 )
NEW met2 ( 2584080 5003510 ) ( 2584080 5026265 )
NEW met3 ( 2584080 5003510 ) ( 2617680 5003510 )
NEW met2 ( 2617680 5003510 ) via2_FR
NEW met1 ( 2617680 4979645 ) M1M2_PR
NEW met1 ( 2649360 4978905 ) M1M2_PR
NEW met1 ( 2584080 5026265 ) M1M2_PR
NEW met1 ( 2511600 5026265 ) M1M2_PR
NEW met2 ( 2511600 5026450 ) via2_FR
NEW met2 ( 2584080 5003510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[17\] ( padframe mprj_io_analog_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ana_sel )
+ ROUTED met2 ( 2238960 5025895 ) ( 2238960 5028485 )
NEW met2 ( 2391600 4977425 ) ( 2391600 4977610 )
NEW met2 ( 2391600 4977610 ) ( 2393280 4977610 0 )
NEW met1 ( 2186160 5027745 ) ( 2186160 5028485 )
NEW met2 ( 2186160 5025155 ) ( 2186160 5027745 )
NEW met1 ( 2173680 5025155 ) ( 2186160 5025155 )
NEW met2 ( 2173680 5025155 ) ( 2173680 5026635 )
NEW met1 ( 2186160 5028485 ) ( 2238960 5028485 )
NEW met1 ( 2238960 5025895 ) ( 2346960 5025895 )
NEW met1 ( 2346960 5010355 ) ( 2360880 5010355 )
NEW met2 ( 2360880 4977055 ) ( 2360880 5010355 )
NEW met1 ( 2360880 4977055 ) ( 2383440 4977055 )
NEW met1 ( 2383440 4977055 ) ( 2383440 4977425 )
NEW met2 ( 2346960 5010355 ) ( 2346960 5025895 )
NEW met1 ( 2383440 4977425 ) ( 2391600 4977425 )
NEW met2 ( 2126640 5026450 ) ( 2126640 5026635 )
NEW met3 ( 2124000 5026450 0 ) ( 2126640 5026450 )
NEW met1 ( 2126640 5026635 ) ( 2173680 5026635 )
NEW met1 ( 2238960 5028485 ) M1M2_PR
NEW met1 ( 2238960 5025895 ) M1M2_PR
NEW met1 ( 2391600 4977425 ) M1M2_PR
NEW met1 ( 2186160 5027745 ) M1M2_PR
NEW met1 ( 2186160 5025155 ) M1M2_PR
NEW met1 ( 2173680 5025155 ) M1M2_PR
NEW met1 ( 2173680 5026635 ) M1M2_PR
NEW met1 ( 2346960 5025895 ) M1M2_PR
NEW met1 ( 2346960 5010355 ) M1M2_PR
NEW met1 ( 2360880 5010355 ) M1M2_PR
NEW met1 ( 2360880 4977055 ) M1M2_PR
NEW met1 ( 2126640 5026635 ) M1M2_PR
NEW met2 ( 2126640 5026450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[18\] ( padframe mprj_io_analog_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1790160 5025710 ) ( 1790160 5025895 )
NEW met3 ( 1787040 5025710 0 ) ( 1790160 5025710 )
NEW met2 ( 1947120 4979090 ) ( 1948320 4979090 0 )
NEW met2 ( 1947120 4978905 ) ( 1947120 4979090 )
NEW met1 ( 1947120 4978905 ) ( 1947120 4979275 )
NEW met2 ( 1917840 4979275 ) ( 1917840 5025895 )
NEW met1 ( 1790160 5025895 ) ( 1917840 5025895 )
NEW met1 ( 1917840 4979275 ) ( 1947120 4979275 )
NEW met1 ( 1790160 5025895 ) M1M2_PR
NEW met2 ( 1790160 5025710 ) via2_FR
NEW met1 ( 1947120 4978905 ) M1M2_PR
NEW met1 ( 1917840 5025895 ) M1M2_PR
NEW met1 ( 1917840 4979275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[19\] ( padframe mprj_io_analog_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1439760 4977610 ) ( 1439760 4977795 )
NEW met2 ( 1439280 4977610 0 ) ( 1439760 4977610 )
NEW met2 ( 1511760 4977610 ) ( 1511760 4977795 )
NEW met3 ( 1511760 4977610 ) ( 1535040 4977610 )
NEW met3 ( 1535040 4977610 ) ( 1535040 4979090 0 )
NEW met1 ( 1439760 4977795 ) ( 1511760 4977795 )
NEW met1 ( 1439760 4977795 ) M1M2_PR
NEW met1 ( 1511760 4977795 ) M1M2_PR
NEW met2 ( 1511760 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[1\] ( padframe mprj_io_analog_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3375600 849890 ) ( 3375840 849890 )
NEW met3 ( 3375840 849890 ) ( 3375840 851000 0 )
NEW met2 ( 3375120 811410 ) ( 3375600 811410 )
NEW met2 ( 3375120 776775 ) ( 3375120 811410 )
NEW met2 ( 3375120 776775 ) ( 3377040 776775 0 )
NEW met2 ( 3375600 811410 ) ( 3375600 849890 )
NEW met2 ( 3375600 849890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[20\] ( padframe mprj_io_analog_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ana_sel )
+ ROUTED met2 ( 1181280 4979090 0 ) ( 1182480 4979090 )
NEW met2 ( 1182480 4978905 ) ( 1182480 4979090 )
NEW met1 ( 1182480 4978905 ) ( 1182480 4979275 )
NEW met1 ( 1182480 4979275 ) ( 1274640 4979275 )
NEW met2 ( 1274640 4979090 ) ( 1274640 4979275 )
NEW met3 ( 1274640 4979090 ) ( 1277280 4979090 0 )
NEW met1 ( 1182480 4978905 ) M1M2_PR
NEW met1 ( 1274640 4979275 ) M1M2_PR
NEW met2 ( 1274640 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[21\] ( padframe mprj_io_analog_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ana_sel )
+ ROUTED met3 ( 951840 4976870 ) ( 951840 4977610 )
NEW met3 ( 951840 4976870 ) ( 1016880 4976870 )
NEW met2 ( 1016880 4976870 ) ( 1016880 4979090 )
NEW met3 ( 1016880 4979090 ) ( 1020000 4979090 0 )
NEW met2 ( 924240 4977610 0 ) ( 925680 4977610 )
NEW met3 ( 925680 4977610 ) ( 951840 4977610 )
NEW met2 ( 1016880 4976870 ) via2_FR
NEW met2 ( 1016880 4979090 ) via2_FR
NEW met2 ( 925680 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[22\] ( padframe mprj_io_analog_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ana_sel )
+ ROUTED met2 ( 760080 4979645 ) ( 760080 4979830 )
NEW met3 ( 760080 4979830 ) ( 762720 4979830 0 )
NEW met2 ( 667200 4976315 ) ( 667200 4977610 0 )
NEW met1 ( 667200 4976315 ) ( 722160 4976315 )
NEW met2 ( 722160 4976315 ) ( 722160 4979645 )
NEW met1 ( 722160 4979645 ) ( 760080 4979645 )
NEW met1 ( 760080 4979645 ) M1M2_PR
NEW met2 ( 760080 4979830 ) via2_FR
NEW met1 ( 667200 4976315 ) M1M2_PR
NEW met1 ( 722160 4976315 ) M1M2_PR
NEW met1 ( 722160 4979645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[23\] ( padframe mprj_io_analog_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ana_sel )
+ ROUTED met2 ( 410160 4977610 0 ) ( 411600 4977610 )
NEW met2 ( 411600 4977425 ) ( 411600 4977610 )
NEW met2 ( 498480 4977425 ) ( 498480 4978350 )
NEW met3 ( 498480 4978350 ) ( 505920 4978350 )
NEW met3 ( 505920 4978350 ) ( 505920 4979090 0 )
NEW met1 ( 411600 4977425 ) ( 498480 4977425 )
NEW met1 ( 411600 4977425 ) M1M2_PR
NEW met1 ( 498480 4977425 ) M1M2_PR
NEW met2 ( 498480 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[24\] ( padframe mprj_io_analog_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ana_sel )
+ ROUTED met3 ( 206880 4469230 0 ) ( 206880 4472190 )
NEW met3 ( 206880 4798530 ) ( 209040 4798530 )
NEW met2 ( 209040 4798530 ) ( 209040 4800225 0 )
NEW met4 ( 206880 4472190 ) ( 206880 4798530 )
NEW met3 ( 206880 4472190 ) M3M4_PR_M
NEW met3 ( 206880 4798530 ) M3M4_PR_M
NEW met2 ( 209040 4798530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[25\] ( padframe mprj_io_analog_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 3951230 0 ) ( 211440 3951230 )
NEW met3 ( 210720 3960850 ) ( 211440 3960850 )
NEW met4 ( 210720 3960850 ) ( 210720 4001550 )
NEW met3 ( 210480 4001550 ) ( 210720 4001550 )
NEW met2 ( 210480 4001550 ) ( 210480 4045950 )
NEW met3 ( 210480 4045950 ) ( 210720 4045950 )
NEW met3 ( 210720 4045950 ) ( 210720 4047060 0 )
NEW met2 ( 211440 3951230 ) ( 211440 3960850 )
NEW met2 ( 211440 3960850 ) via2_FR
NEW met3 ( 210720 3960850 ) M3M4_PR_M
NEW met3 ( 210720 4001550 ) M3M4_PR_M
NEW met2 ( 210480 4001550 ) via2_FR
NEW met2 ( 210480 4045950 ) via2_FR
NEW met3 ( 210720 4001550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[26\] ( padframe mprj_io_analog_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ana_sel )
+ ROUTED met3 ( 211680 3771410 ) ( 212400 3771410 )
NEW met4 ( 211680 3771410 ) ( 211680 3785470 )
NEW met3 ( 210960 3785470 ) ( 211680 3785470 )
NEW met2 ( 210960 3785470 ) ( 210960 3829870 )
NEW met3 ( 210720 3829870 ) ( 210960 3829870 )
NEW met3 ( 210720 3829870 ) ( 210720 3830980 0 )
NEW met2 ( 210960 3735150 0 ) ( 210960 3737185 )
NEW met1 ( 210960 3737185 ) ( 212400 3737185 )
NEW met2 ( 212400 3737185 ) ( 212400 3771410 )
NEW met2 ( 212400 3771410 ) via2_FR
NEW met3 ( 211680 3771410 ) M3M4_PR_M
NEW met3 ( 211680 3785470 ) M3M4_PR_M
NEW met2 ( 210960 3785470 ) via2_FR
NEW met2 ( 210960 3829870 ) via2_FR
NEW met1 ( 210960 3737185 ) M1M2_PR
NEW met1 ( 212400 3737185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[27\] ( padframe mprj_io_analog_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ana_sel )
+ ROUTED met3 ( 213600 3558290 ) ( 215280 3558290 )
NEW met2 ( 215280 3558290 ) ( 215280 3615270 )
NEW met3 ( 211680 3615270 0 ) ( 215280 3615270 )
NEW met2 ( 210960 3519225 0 ) ( 211440 3519225 )
NEW met2 ( 211440 3519225 ) ( 211440 3528135 )
NEW met1 ( 211440 3528135 ) ( 215760 3528135 )
NEW met2 ( 215760 3528135 ) ( 215760 3556070 )
NEW met3 ( 213600 3556070 ) ( 215760 3556070 )
NEW met4 ( 213600 3556070 ) ( 213600 3558290 )
NEW met3 ( 213600 3558290 ) M3M4_PR_M
NEW met2 ( 215280 3558290 ) via2_FR
NEW met2 ( 215280 3615270 ) via2_FR
NEW met1 ( 211440 3528135 ) M1M2_PR
NEW met1 ( 215760 3528135 ) M1M2_PR
NEW met2 ( 215760 3556070 ) via2_FR
NEW met3 ( 213600 3556070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_analog_sel\[28\] ( padframe mprj_io_analog_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210480 3303360 0 ) ( 210480 3304470 )
NEW met2 ( 210480 3304470 ) ( 210960 3304470 )
NEW met2 ( 210960 3304470 ) ( 210960 3305395 )
NEW met1 ( 210960 3305395 ) ( 214320 3305395 )
NEW met2 ( 214320 3305395 ) ( 214320 3323525 )
NEW met1 ( 214320 3323525 ) ( 218160 3323525 )
NEW met3 ( 211680 3399190 0 ) ( 218160 3399190 )
NEW met2 ( 218160 3323525 ) ( 218160 3399190 )
NEW met1 ( 210960 3305395 ) M1M2_PR
NEW met1 ( 214320 3305395 ) M1M2_PR
NEW met1 ( 214320 3323525 ) M1M2_PR
NEW met1 ( 218160 3323525 ) M1M2_PR
NEW met2 ( 218160 3399190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[29\] ( padframe mprj_io_analog_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ana_sel )
+ ROUTED met3 ( 209760 3181630 ) ( 210000 3181630 )
NEW met3 ( 209760 3181630 ) ( 209760 3183110 0 )
NEW met3 ( 210000 3137970 ) ( 211680 3137970 )
NEW met4 ( 211680 3103930 ) ( 211680 3137970 )
NEW met3 ( 211680 3103930 ) ( 212400 3103930 )
NEW met2 ( 212400 3089315 ) ( 212400 3103930 )
NEW met1 ( 210480 3089315 ) ( 212400 3089315 )
NEW met2 ( 210480 3087280 0 ) ( 210480 3089315 )
NEW met2 ( 210000 3137970 ) ( 210000 3181630 )
NEW met2 ( 210000 3181630 ) via2_FR
NEW met2 ( 210000 3137970 ) via2_FR
NEW met3 ( 211680 3137970 ) M3M4_PR_M
NEW met3 ( 211680 3103930 ) M3M4_PR_M
NEW met2 ( 212400 3103930 ) via2_FR
NEW met1 ( 212400 3089315 ) M1M2_PR
NEW met1 ( 210480 3089315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[2\] ( padframe mprj_io_analog_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3374640 1001775 ) ( 3377040 1001775 0 )
NEW met3 ( 3374640 1074850 ) ( 3374880 1074850 )
NEW met3 ( 3374880 1074850 ) ( 3374880 1075960 0 )
NEW met2 ( 3374640 1001775 ) ( 3374640 1074850 )
NEW met2 ( 3374640 1074850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[30\] ( padframe mprj_io_analog_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ana_sel )
+ ROUTED met3 ( 211680 2967030 0 ) ( 215760 2967030 )
NEW met2 ( 210960 2871200 0 ) ( 211440 2871200 )
NEW met2 ( 211440 2871200 ) ( 211440 2880265 )
NEW met1 ( 211440 2880265 ) ( 214800 2880265 )
NEW met2 ( 214800 2880265 ) ( 214800 2891550 )
NEW met3 ( 214560 2891550 ) ( 214800 2891550 )
NEW met4 ( 214560 2891550 ) ( 214560 2921890 )
NEW met3 ( 214560 2921890 ) ( 214800 2921890 )
NEW met2 ( 214800 2921890 ) ( 214800 2932250 )
NEW met2 ( 214800 2932250 ) ( 215760 2932250 )
NEW met2 ( 215760 2932250 ) ( 215760 2967030 )
NEW met2 ( 215760 2967030 ) via2_FR
NEW met1 ( 211440 2880265 ) M1M2_PR
NEW met1 ( 214800 2880265 ) M1M2_PR
NEW met2 ( 214800 2891550 ) via2_FR
NEW met3 ( 214560 2891550 ) M3M4_PR_M
NEW met3 ( 214560 2921890 ) M3M4_PR_M
NEW met2 ( 214800 2921890 ) via2_FR
NEW met3 ( 214800 2891550 ) RECT ( 0 -150 380 150 )
NEW met3 ( 214560 2921890 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[31\] ( padframe mprj_io_analog_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 2655120 0 ) ( 210960 2656785 )
NEW met1 ( 210960 2656785 ) ( 215760 2656785 )
NEW met2 ( 215760 2656785 ) ( 215760 2678430 )
NEW met2 ( 215760 2678430 ) ( 216720 2678430 )
NEW met1 ( 210960 2704885 ) ( 216720 2704885 )
NEW met2 ( 210960 2704885 ) ( 210960 2750210 )
NEW met3 ( 210720 2750210 ) ( 210960 2750210 )
NEW met3 ( 210720 2750210 ) ( 210720 2750950 0 )
NEW met2 ( 216720 2678430 ) ( 216720 2704885 )
NEW met1 ( 210960 2656785 ) M1M2_PR
NEW met1 ( 215760 2656785 ) M1M2_PR
NEW met1 ( 216720 2704885 ) M1M2_PR
NEW met1 ( 210960 2704885 ) M1M2_PR
NEW met2 ( 210960 2750210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[32\] ( padframe mprj_io_analog_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 2017240 0 ) ( 210960 2019275 )
NEW met1 ( 210960 2019275 ) ( 214800 2019275 )
NEW met3 ( 211680 2113070 0 ) ( 214800 2113070 )
NEW met2 ( 214800 2019275 ) ( 214800 2113070 )
NEW met1 ( 210960 2019275 ) M1M2_PR
NEW met1 ( 214800 2019275 ) M1M2_PR
NEW met2 ( 214800 2113070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[33\] ( padframe mprj_io_analog_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 1801160 0 ) ( 211440 1801160 )
NEW met2 ( 211440 1801160 ) ( 211440 1810595 )
NEW met1 ( 211440 1810595 ) ( 215280 1810595 )
NEW met2 ( 215280 1810595 ) ( 215280 1830575 )
NEW met1 ( 215280 1830575 ) ( 216720 1830575 )
NEW met1 ( 211440 1850925 ) ( 216720 1850925 )
NEW met2 ( 211440 1850925 ) ( 211440 1894030 )
NEW met3 ( 211440 1894030 ) ( 211680 1894030 )
NEW met3 ( 211680 1894030 ) ( 211680 1896990 0 )
NEW met2 ( 216720 1830575 ) ( 216720 1850925 )
NEW met1 ( 211440 1810595 ) M1M2_PR
NEW met1 ( 215280 1810595 ) M1M2_PR
NEW met1 ( 215280 1830575 ) M1M2_PR
NEW met1 ( 216720 1830575 ) M1M2_PR
NEW met1 ( 216720 1850925 ) M1M2_PR
NEW met1 ( 211440 1850925 ) M1M2_PR
NEW met2 ( 211440 1894030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[34\] ( padframe mprj_io_analog_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ana_sel )
+ ROUTED met2 ( 209520 1585225 0 ) ( 209520 1586930 )
NEW met3 ( 209520 1586930 ) ( 209760 1586930 )
NEW met4 ( 209760 1586930 ) ( 209760 1677950 )
NEW met3 ( 209760 1677950 ) ( 209760 1680910 0 )
NEW met2 ( 209520 1586930 ) via2_FR
NEW met3 ( 209760 1586930 ) M3M4_PR_M
NEW met3 ( 209760 1677950 ) M3M4_PR_M
NEW met3 ( 209520 1586930 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[35\] ( padframe mprj_io_analog_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ana_sel )
+ ROUTED met2 ( 213360 1398970 ) ( 213840 1398970 )
NEW met2 ( 213360 1398970 ) ( 213360 1407850 )
NEW met3 ( 211680 1407850 ) ( 213360 1407850 )
NEW met4 ( 211680 1407850 ) ( 211680 1418210 )
NEW met3 ( 211440 1418210 ) ( 211680 1418210 )
NEW met2 ( 210960 1369225 0 ) ( 211440 1369225 )
NEW met2 ( 211440 1369225 ) ( 211440 1372515 )
NEW met1 ( 211440 1372515 ) ( 213840 1372515 )
NEW met2 ( 213840 1372515 ) ( 213840 1398970 )
NEW met3 ( 211440 1461870 ) ( 211680 1461870 )
NEW met3 ( 211680 1461870 ) ( 211680 1464830 0 )
NEW met2 ( 211440 1418210 ) ( 211440 1461870 )
NEW met2 ( 213360 1407850 ) via2_FR
NEW met3 ( 211680 1407850 ) M3M4_PR_M
NEW met3 ( 211680 1418210 ) M3M4_PR_M
NEW met2 ( 211440 1418210 ) via2_FR
NEW met1 ( 211440 1372515 ) M1M2_PR
NEW met1 ( 213840 1372515 ) M1M2_PR
NEW met2 ( 211440 1461870 ) via2_FR
NEW met3 ( 211680 1418210 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_analog_sel\[36\] ( padframe mprj_io_analog_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 1153290 0 ) ( 211920 1153290 )
NEW met2 ( 211920 1153290 ) ( 211920 1173455 )
NEW met1 ( 211920 1173455 ) ( 217680 1173455 )
NEW met2 ( 217680 1173455 ) ( 217680 1210455 )
NEW met1 ( 215760 1210455 ) ( 217680 1210455 )
NEW met3 ( 211680 1248750 0 ) ( 215760 1248750 )
NEW met2 ( 215760 1210455 ) ( 215760 1248750 )
NEW met1 ( 211920 1173455 ) M1M2_PR
NEW met1 ( 217680 1173455 ) M1M2_PR
NEW met1 ( 217680 1210455 ) M1M2_PR
NEW met1 ( 215760 1210455 ) M1M2_PR
NEW met2 ( 215760 1248750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[37\] ( padframe mprj_io_analog_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ana_sel )
+ ROUTED met2 ( 210960 937210 0 ) ( 211440 937210 )
NEW met2 ( 211440 937210 ) ( 211440 946275 )
NEW met1 ( 211440 946275 ) ( 213360 946275 )
NEW met2 ( 213360 946275 ) ( 213360 978650 )
NEW met3 ( 212640 978650 ) ( 213360 978650 )
NEW met4 ( 212640 978650 ) ( 212640 988270 )
NEW met3 ( 208560 988270 ) ( 212640 988270 )
NEW met2 ( 208560 988270 ) ( 208560 1030450 )
NEW met3 ( 208560 1030450 ) ( 208800 1030450 )
NEW met3 ( 208800 1030450 ) ( 208800 1033040 0 )
NEW met1 ( 211440 946275 ) M1M2_PR
NEW met1 ( 213360 946275 ) M1M2_PR
NEW met2 ( 213360 978650 ) via2_FR
NEW met3 ( 212640 978650 ) M3M4_PR_M
NEW met3 ( 212640 988270 ) M3M4_PR_M
NEW met2 ( 208560 988270 ) via2_FR
NEW met2 ( 208560 1030450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[3\] ( padframe mprj_io_analog_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3375600 1227660 ) ( 3376560 1227660 )
NEW met2 ( 3376560 1227660 ) ( 3376560 1228030 )
NEW met2 ( 3376560 1228030 ) ( 3377040 1228030 )
NEW met2 ( 3377040 1227660 0 ) ( 3377040 1228030 )
NEW met3 ( 3375600 1300550 ) ( 3375840 1300550 )
NEW met3 ( 3375840 1300550 ) ( 3375840 1302030 0 )
NEW met2 ( 3375600 1227660 ) ( 3375600 1300550 )
NEW met2 ( 3375600 1300550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[4\] ( padframe mprj_io_analog_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3375120 1452775 ) ( 3377040 1452775 0 )
NEW met3 ( 3374880 1526250 ) ( 3375120 1526250 )
NEW met3 ( 3374880 1526250 ) ( 3374880 1526990 0 )
NEW met2 ( 3375120 1452775 ) ( 3375120 1526250 )
NEW met2 ( 3375120 1526250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[5\] ( padframe mprj_io_analog_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3374640 1681465 ) ( 3375600 1681465 )
NEW met2 ( 3375600 1677775 ) ( 3375600 1681465 )
NEW met2 ( 3375600 1677775 ) ( 3377040 1677775 0 )
NEW met3 ( 3374640 1751210 ) ( 3374880 1751210 )
NEW met3 ( 3374880 1751210 ) ( 3374880 1751950 0 )
NEW met2 ( 3374640 1681465 ) ( 3374640 1751210 )
NEW met1 ( 3374640 1681465 ) M1M2_PR
NEW met1 ( 3375600 1681465 ) M1M2_PR
NEW met2 ( 3374640 1751210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[6\] ( padframe mprj_io_analog_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ana_sel )
+ ROUTED met2 ( 3377040 1903650 0 ) ( 3377040 1906610 )
NEW met2 ( 3374160 1906610 ) ( 3377040 1906610 )
NEW met2 ( 3374160 1906610 ) ( 3374160 1976910 )
NEW met3 ( 3373920 1976910 ) ( 3374160 1976910 )
NEW met3 ( 3373920 1976910 ) ( 3373920 1978020 0 )
NEW met2 ( 3374160 1976910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[7\] ( padframe mprj_io_analog_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ana_sel )
+ ROUTED met3 ( 3381600 2419060 0 ) ( 3381600 2420170 )
NEW met3 ( 3378960 2788690 ) ( 3381600 2788690 )
NEW met2 ( 3378960 2788690 ) ( 3378960 2789800 0 )
NEW met4 ( 3381600 2420170 ) ( 3381600 2788690 )
NEW met3 ( 3381600 2420170 ) M3M4_PR_M
NEW met3 ( 3381600 2788690 ) M3M4_PR_M
NEW met2 ( 3378960 2788690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_analog_sel\[8\] ( padframe mprj_io_analog_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3360240 2646055 ) ( 3369360 2646055 )
NEW met2 ( 3369360 2639210 ) ( 3369360 2646055 )
NEW met3 ( 3369360 2639210 ) ( 3373920 2639210 0 )
NEW met1 ( 3360240 3013465 ) ( 3377040 3013465 )
NEW met2 ( 3377040 3013465 ) ( 3377040 3015870 0 )
NEW met2 ( 3360240 2646055 ) ( 3360240 3013465 )
NEW met1 ( 3360240 2646055 ) M1M2_PR
NEW met1 ( 3369360 2646055 ) M1M2_PR
NEW met2 ( 3369360 2639210 ) via2_FR
NEW met1 ( 3360240 3013465 ) M1M2_PR
NEW met1 ( 3377040 3013465 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_analog_sel\[9\] ( padframe mprj_io_analog_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ana_sel )
+ ROUTED met1 ( 3364560 2865095 ) ( 3373680 2865095 )
NEW met2 ( 3373680 2864910 ) ( 3373680 2865095 )
NEW met3 ( 3373680 2864910 ) ( 3373920 2864910 )
NEW met3 ( 3373920 2864170 0 ) ( 3373920 2864910 )
NEW met1 ( 3364560 3240275 ) ( 3376080 3240275 )
NEW met2 ( 3376080 3240275 ) ( 3376080 3240830 )
NEW met2 ( 3376080 3240830 ) ( 3377040 3240830 0 )
NEW met2 ( 3364560 2865095 ) ( 3364560 3240275 )
NEW met1 ( 3364560 2865095 ) M1M2_PR
NEW met1 ( 3373680 2865095 ) M1M2_PR
NEW met2 ( 3373680 2864910 ) via2_FR
NEW met1 ( 3364560 3240275 ) M1M2_PR
NEW met1 ( 3376080 3240275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[0\] ( padframe mprj_io_dm[0] ) ( gpio_control_bidir\[0\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3370320 629370 ) ( 3373920 629370 0 )
NEW met1 ( 3370320 534465 ) ( 3377520 534465 )
NEW met2 ( 3377520 532430 0 ) ( 3377520 534465 )
NEW met2 ( 3370320 534465 ) ( 3370320 629370 )
NEW met2 ( 3370320 629370 ) via2_FR
NEW met1 ( 3370320 534465 ) M1M2_PR
NEW met1 ( 3377520 534465 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[100\] ( padframe mprj_io_dm[100] ) ( gpio_control_in\[33\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 1828910 0 ) ( 210480 1831315 )
NEW met1 ( 210480 1831315 ) ( 215280 1831315 )
NEW met3 ( 211680 1904390 0 ) ( 215280 1904390 )
NEW met2 ( 215280 1831315 ) ( 215280 1904390 )
NEW met1 ( 210480 1831315 ) M1M2_PR
NEW met1 ( 215280 1831315 ) M1M2_PR
NEW met2 ( 215280 1904390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[101\] ( padframe mprj_io_dm[101] ) ( gpio_control_in\[33\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 1798005 0 ) ( 211920 1798005 )
NEW met2 ( 211920 1798005 ) ( 211920 1820585 )
NEW met1 ( 211920 1820585 ) ( 214320 1820585 )
NEW met3 ( 211680 1908830 0 ) ( 214320 1908830 )
NEW met2 ( 214320 1820585 ) ( 214320 1908830 )
NEW met1 ( 211920 1820585 ) M1M2_PR
NEW met1 ( 214320 1820585 ) M1M2_PR
NEW met2 ( 214320 1908830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[102\] ( padframe mprj_io_dm[102] ) ( gpio_control_in\[34\] pad_gpio_dm[0] )
+ ROUTED met1 ( 208560 1636325 ) ( 211920 1636325 )
NEW met2 ( 211920 1606355 ) ( 211920 1636325 )
NEW met1 ( 210960 1606355 ) ( 211920 1606355 )
NEW met2 ( 210960 1603580 0 ) ( 210960 1606355 )
NEW met1 ( 186960 1645945 ) ( 208560 1645945 )
NEW met2 ( 186960 1645945 ) ( 186960 1686090 )
NEW met3 ( 186720 1686090 ) ( 186960 1686090 )
NEW met3 ( 186720 1685350 0 ) ( 186720 1686090 )
NEW met2 ( 208560 1636325 ) ( 208560 1645945 )
NEW met1 ( 208560 1636325 ) M1M2_PR
NEW met1 ( 211920 1636325 ) M1M2_PR
NEW met1 ( 211920 1606355 ) M1M2_PR
NEW met1 ( 210960 1606355 ) M1M2_PR
NEW met1 ( 208560 1645945 ) M1M2_PR
NEW met1 ( 186960 1645945 ) M1M2_PR
NEW met2 ( 186960 1686090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[103\] ( padframe mprj_io_dm[103] ) ( gpio_control_in\[34\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 1612830 0 ) ( 210960 1615605 )
NEW met1 ( 210960 1615605 ) ( 215280 1615605 )
NEW met2 ( 215280 1615605 ) ( 215280 1640395 )
NEW met1 ( 214800 1640395 ) ( 215280 1640395 )
NEW met1 ( 214800 1640395 ) ( 214800 1641135 )
NEW met1 ( 212400 1641135 ) ( 214800 1641135 )
NEW met1 ( 186000 1641875 ) ( 212400 1641875 )
NEW met2 ( 186000 1641875 ) ( 186000 1686090 )
NEW met3 ( 185760 1686090 ) ( 186000 1686090 )
NEW met3 ( 185760 1686090 ) ( 185760 1688310 0 )
NEW met1 ( 212400 1641135 ) ( 212400 1641875 )
NEW met1 ( 210960 1615605 ) M1M2_PR
NEW met1 ( 215280 1615605 ) M1M2_PR
NEW met1 ( 215280 1640395 ) M1M2_PR
NEW met1 ( 186000 1641875 ) M1M2_PR
NEW met2 ( 186000 1686090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[104\] ( padframe mprj_io_dm[104] ) ( gpio_control_in\[34\] pad_gpio_dm[2] )
+ ROUTED met3 ( 211680 1692750 0 ) ( 217200 1692750 )
NEW met2 ( 210480 1582120 0 ) ( 210480 1584525 )
NEW met1 ( 210480 1584525 ) ( 217200 1584525 )
NEW met2 ( 217200 1584525 ) ( 217200 1692750 )
NEW met2 ( 217200 1692750 ) via2_FR
NEW met1 ( 210480 1584525 ) M1M2_PR
NEW met1 ( 217200 1584525 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[105\] ( padframe mprj_io_dm[105] ) ( gpio_control_in\[35\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210960 1387500 0 ) ( 210960 1389165 )
NEW met1 ( 210960 1389165 ) ( 215760 1389165 )
NEW met3 ( 211680 1469270 0 ) ( 215760 1469270 )
NEW met2 ( 215760 1389165 ) ( 215760 1469270 )
NEW met1 ( 210960 1389165 ) M1M2_PR
NEW met1 ( 215760 1389165 ) M1M2_PR
NEW met2 ( 215760 1469270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[106\] ( padframe mprj_io_dm[106] ) ( gpio_control_in\[35\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 1396750 0 ) ( 210960 1399525 )
NEW met1 ( 210960 1399525 ) ( 213840 1399525 )
NEW met2 ( 213840 1399525 ) ( 213840 1434305 )
NEW met1 ( 186960 1434305 ) ( 213840 1434305 )
NEW met3 ( 186720 1470010 ) ( 186960 1470010 )
NEW met3 ( 186720 1470010 ) ( 186720 1472230 0 )
NEW met2 ( 186960 1434305 ) ( 186960 1470010 )
NEW met1 ( 210960 1399525 ) M1M2_PR
NEW met1 ( 213840 1399525 ) M1M2_PR
NEW met1 ( 213840 1434305 ) M1M2_PR
NEW met1 ( 186960 1434305 ) M1M2_PR
NEW met2 ( 186960 1470010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[107\] ( padframe mprj_io_dm[107] ) ( gpio_control_in\[35\] pad_gpio_dm[2] )
+ ROUTED met2 ( 215760 1388610 ) ( 216720 1388610 )
NEW met2 ( 216720 1388610 ) ( 216720 1419135 )
NEW met1 ( 210960 1419135 ) ( 216720 1419135 )
NEW met2 ( 210960 1366040 0 ) ( 211440 1366040 )
NEW met2 ( 211440 1366040 ) ( 211440 1368815 )
NEW met1 ( 211440 1368815 ) ( 215760 1368815 )
NEW met2 ( 215760 1368815 ) ( 215760 1388610 )
NEW met3 ( 210720 1473710 ) ( 210960 1473710 )
NEW met3 ( 210720 1473710 ) ( 210720 1476670 0 )
NEW met2 ( 210960 1419135 ) ( 210960 1473710 )
NEW met1 ( 216720 1419135 ) M1M2_PR
NEW met1 ( 210960 1419135 ) M1M2_PR
NEW met1 ( 211440 1368815 ) M1M2_PR
NEW met1 ( 215760 1368815 ) M1M2_PR
NEW met2 ( 210960 1473710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[108\] ( padframe mprj_io_dm[108] ) ( gpio_control_in\[36\] pad_gpio_dm[0] )
+ ROUTED met1 ( 186000 1210085 ) ( 213360 1210085 )
NEW met3 ( 185760 1253930 ) ( 186000 1253930 )
NEW met3 ( 185760 1253190 0 ) ( 185760 1253930 )
NEW met2 ( 186000 1210085 ) ( 186000 1253930 )
NEW met2 ( 210960 1171625 0 ) ( 210960 1174010 )
NEW met3 ( 210960 1174010 ) ( 211680 1174010 )
NEW met4 ( 211680 1174010 ) ( 211680 1203610 )
NEW met3 ( 211680 1203610 ) ( 213360 1203610 )
NEW met2 ( 213360 1203610 ) ( 213360 1210085 )
NEW met1 ( 186000 1210085 ) M1M2_PR
NEW met1 ( 213360 1210085 ) M1M2_PR
NEW met2 ( 186000 1253930 ) via2_FR
NEW met2 ( 210960 1174010 ) via2_FR
NEW met3 ( 211680 1174010 ) M3M4_PR_M
NEW met3 ( 211680 1203610 ) M3M4_PR_M
NEW met2 ( 213360 1203610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[109\] ( padframe mprj_io_dm[109] ) ( gpio_control_in\[36\] pad_gpio_dm[1] )
+ ROUTED met1 ( 186960 1209715 ) ( 215760 1209715 )
NEW met2 ( 215760 1183445 ) ( 215760 1209715 )
NEW met1 ( 210960 1183445 ) ( 215760 1183445 )
NEW met2 ( 210960 1180825 0 ) ( 210960 1183445 )
NEW met3 ( 186720 1253930 ) ( 186960 1253930 )
NEW met3 ( 186720 1253930 ) ( 186720 1256520 0 )
NEW met2 ( 186960 1209715 ) ( 186960 1253930 )
NEW met1 ( 186960 1209715 ) M1M2_PR
NEW met1 ( 215760 1209715 ) M1M2_PR
NEW met1 ( 215760 1183445 ) M1M2_PR
NEW met1 ( 210960 1183445 ) M1M2_PR
NEW met2 ( 186960 1253930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[10\] ( padframe mprj_io_dm[10] ) ( gpio_control_in\[3\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3374640 1202685 ) ( 3377520 1202685 )
NEW met2 ( 3377520 1200280 0 ) ( 3377520 1202685 )
NEW met3 ( 3374640 1308690 ) ( 3374880 1308690 )
NEW met3 ( 3374880 1308690 ) ( 3374880 1309430 0 )
NEW met2 ( 3374640 1202685 ) ( 3374640 1308690 )
NEW met1 ( 3374640 1202685 ) M1M2_PR
NEW met1 ( 3377520 1202685 ) M1M2_PR
NEW met2 ( 3374640 1308690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[110\] ( padframe mprj_io_dm[110] ) ( gpio_control_in\[36\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 1149960 0 ) ( 212400 1149960 )
NEW met2 ( 212400 1149960 ) ( 212400 1170125 )
NEW met1 ( 212400 1170125 ) ( 215760 1170125 )
NEW met2 ( 215760 1170125 ) ( 215760 1182890 )
NEW met2 ( 215760 1182890 ) ( 216240 1182890 )
NEW met2 ( 216240 1182890 ) ( 216240 1203795 )
NEW met1 ( 213840 1203795 ) ( 216240 1203795 )
NEW met1 ( 210000 1211565 ) ( 213840 1211565 )
NEW met2 ( 210000 1211565 ) ( 210000 1257630 )
NEW met3 ( 209760 1257630 ) ( 210000 1257630 )
NEW met3 ( 209760 1257630 ) ( 209760 1260590 0 )
NEW met2 ( 213840 1203795 ) ( 213840 1211565 )
NEW met1 ( 212400 1170125 ) M1M2_PR
NEW met1 ( 215760 1170125 ) M1M2_PR
NEW met1 ( 216240 1203795 ) M1M2_PR
NEW met1 ( 213840 1203795 ) M1M2_PR
NEW met1 ( 213840 1211565 ) M1M2_PR
NEW met1 ( 210000 1211565 ) M1M2_PR
NEW met2 ( 210000 1257630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[111\] ( padframe mprj_io_dm[111] ) ( gpio_control_in\[37\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 955710 0 ) ( 210480 957375 )
NEW met1 ( 210480 957375 ) ( 211920 957375 )
NEW met2 ( 211920 957375 ) ( 211920 975875 )
NEW met1 ( 211920 975875 ) ( 216240 975875 )
NEW met2 ( 216240 975875 ) ( 216240 994005 )
NEW met1 ( 214320 994005 ) ( 216240 994005 )
NEW met2 ( 214320 994005 ) ( 214320 1023790 )
NEW met2 ( 214320 1023790 ) ( 215280 1023790 )
NEW met3 ( 211680 1037110 0 ) ( 215280 1037110 )
NEW met2 ( 215280 1023790 ) ( 215280 1037110 )
NEW met1 ( 210480 957375 ) M1M2_PR
NEW met1 ( 211920 957375 ) M1M2_PR
NEW met1 ( 211920 975875 ) M1M2_PR
NEW met1 ( 216240 975875 ) M1M2_PR
NEW met1 ( 216240 994005 ) M1M2_PR
NEW met1 ( 214320 994005 ) M1M2_PR
NEW met2 ( 215280 1037110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[112\] ( padframe mprj_io_dm[112] ) ( gpio_control_in\[37\] pad_gpio_dm[1] )
+ ROUTED met3 ( 211680 1040440 0 ) ( 213840 1040440 )
NEW met2 ( 210480 964960 0 ) ( 210480 967365 )
NEW met1 ( 210480 967365 ) ( 216720 967365 )
NEW met2 ( 216720 967365 ) ( 216720 994375 )
NEW met1 ( 213840 994375 ) ( 216720 994375 )
NEW met2 ( 213840 994375 ) ( 213840 1040440 )
NEW met2 ( 213840 1040440 ) via2_FR
NEW met1 ( 210480 967365 ) M1M2_PR
NEW met1 ( 216720 967365 ) M1M2_PR
NEW met1 ( 216720 994375 ) M1M2_PR
NEW met1 ( 213840 994375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[113\] ( padframe mprj_io_dm[113] ) ( gpio_control_in\[37\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 933880 0 ) ( 210960 935545 )
NEW met1 ( 210960 935545 ) ( 213360 935545 )
NEW met1 ( 210480 1006585 ) ( 214800 1006585 )
NEW met2 ( 214800 940910 ) ( 214800 1006585 )
NEW met2 ( 213360 940910 ) ( 214800 940910 )
NEW met2 ( 213360 935545 ) ( 213360 940910 )
NEW met3 ( 210480 1041550 ) ( 210720 1041550 )
NEW met3 ( 210720 1041550 ) ( 210720 1044510 0 )
NEW met2 ( 210480 1006585 ) ( 210480 1041550 )
NEW met1 ( 210960 935545 ) M1M2_PR
NEW met1 ( 213360 935545 ) M1M2_PR
NEW met1 ( 210480 1006585 ) M1M2_PR
NEW met1 ( 214800 1006585 ) M1M2_PR
NEW met2 ( 210480 1041550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[11\] ( padframe mprj_io_dm[11] ) ( gpio_control_in\[3\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3372240 1233765 ) ( 3376080 1233765 )
NEW met2 ( 3376080 1230990 ) ( 3376080 1233765 )
NEW met2 ( 3376080 1230990 ) ( 3377040 1230990 0 )
NEW met3 ( 3372240 1313870 ) ( 3373920 1313870 0 )
NEW met2 ( 3372240 1233765 ) ( 3372240 1313870 )
NEW met1 ( 3372240 1233765 ) M1M2_PR
NEW met1 ( 3376080 1233765 ) M1M2_PR
NEW met2 ( 3372240 1313870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[12\] ( padframe mprj_io_dm[12] ) ( gpio_control_in\[4\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3369840 1530690 ) ( 3373920 1530690 )
NEW met3 ( 3373920 1530690 ) ( 3373920 1531060 0 )
NEW met1 ( 3369840 1436155 ) ( 3377520 1436155 )
NEW met2 ( 3377520 1434490 0 ) ( 3377520 1436155 )
NEW met2 ( 3369840 1436155 ) ( 3369840 1530690 )
NEW met2 ( 3369840 1530690 ) via2_FR
NEW met1 ( 3369840 1436155 ) M1M2_PR
NEW met1 ( 3377520 1436155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[13\] ( padframe mprj_io_dm[13] ) ( gpio_control_in\[4\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3374880 1532170 ) ( 3374880 1534390 0 )
NEW met3 ( 3374880 1426350 ) ( 3377520 1426350 )
NEW met2 ( 3377520 1425240 0 ) ( 3377520 1426350 )
NEW met4 ( 3374880 1426350 ) ( 3374880 1532170 )
NEW met3 ( 3374880 1532170 ) M3M4_PR_M
NEW met3 ( 3374880 1426350 ) M3M4_PR_M
NEW met2 ( 3377520 1426350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[14\] ( padframe mprj_io_dm[14] ) ( gpio_control_in\[4\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3375600 1455950 ) ( 3377040 1455950 0 )
NEW met3 ( 3375600 1537350 ) ( 3375840 1537350 )
NEW met3 ( 3375840 1537350 ) ( 3375840 1538830 0 )
NEW met2 ( 3375600 1455950 ) ( 3375600 1537350 )
NEW met2 ( 3375600 1537350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[15\] ( padframe mprj_io_dm[15] ) ( gpio_control_in\[5\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3371280 1661115 ) ( 3377520 1661115 )
NEW met2 ( 3377520 1659450 0 ) ( 3377520 1661115 )
NEW met3 ( 3371280 1756390 ) ( 3373920 1756390 0 )
NEW met2 ( 3371280 1661115 ) ( 3371280 1756390 )
NEW met1 ( 3371280 1661115 ) M1M2_PR
NEW met1 ( 3377520 1661115 ) M1M2_PR
NEW met2 ( 3371280 1756390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[16\] ( padframe mprj_io_dm[16] ) ( gpio_control_in\[5\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3375840 1652790 ) ( 3377520 1652790 )
NEW met2 ( 3377520 1650200 0 ) ( 3377520 1652790 )
NEW met3 ( 3375840 1757130 ) ( 3375840 1759350 0 )
NEW met4 ( 3375840 1652790 ) ( 3375840 1757130 )
NEW met3 ( 3375840 1652790 ) M3M4_PR_M
NEW met2 ( 3377520 1652790 ) via2_FR
NEW met3 ( 3375840 1757130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[17\] ( padframe mprj_io_dm[17] ) ( gpio_control_in\[5\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3371760 1682945 ) ( 3377040 1682945 )
NEW met2 ( 3377040 1680910 0 ) ( 3377040 1682945 )
NEW met3 ( 3371760 1763790 ) ( 3373920 1763790 0 )
NEW met2 ( 3371760 1682945 ) ( 3371760 1763790 )
NEW met1 ( 3371760 1682945 ) M1M2_PR
NEW met1 ( 3377040 1682945 ) M1M2_PR
NEW met2 ( 3371760 1763790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[18\] ( padframe mprj_io_dm[18] ) ( gpio_control_in\[6\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3372240 1887925 ) ( 3377040 1887925 )
NEW met2 ( 3372240 1887925 ) ( 3372240 1982090 )
NEW met3 ( 3372240 1982090 ) ( 3373920 1982090 0 )
NEW met2 ( 3377040 1885375 0 ) ( 3377040 1887925 )
NEW met1 ( 3377040 1887925 ) M1M2_PR
NEW met1 ( 3372240 1887925 ) M1M2_PR
NEW met2 ( 3372240 1982090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[19\] ( padframe mprj_io_dm[19] ) ( gpio_control_in\[6\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3370320 1878675 ) ( 3377520 1878675 )
NEW met2 ( 3377520 1876270 0 ) ( 3377520 1878675 )
NEW met3 ( 3370320 1985790 ) ( 3373920 1985790 0 )
NEW met2 ( 3370320 1878675 ) ( 3370320 1985790 )
NEW met1 ( 3370320 1878675 ) M1M2_PR
NEW met1 ( 3377520 1878675 ) M1M2_PR
NEW met2 ( 3370320 1985790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[1\] ( padframe mprj_io_dm[1] ) ( gpio_control_bidir\[0\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3371280 593850 ) ( 3371760 593850 )
NEW met2 ( 3371280 593850 ) ( 3371280 632330 )
NEW met3 ( 3371280 632330 ) ( 3373920 632330 0 )
NEW met1 ( 3371760 525955 ) ( 3377040 525955 )
NEW met2 ( 3377040 523180 0 ) ( 3377040 525955 )
NEW met2 ( 3371760 525955 ) ( 3371760 593850 )
NEW met2 ( 3371280 632330 ) via2_FR
NEW met1 ( 3371760 525955 ) M1M2_PR
NEW met1 ( 3377040 525955 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[20\] ( padframe mprj_io_dm[20] ) ( gpio_control_in\[6\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3371760 1989490 ) ( 3373920 1989490 0 )
NEW met1 ( 3371760 1921595 ) ( 3375600 1921595 )
NEW met2 ( 3375600 1906980 ) ( 3375600 1921595 )
NEW met2 ( 3375600 1906980 ) ( 3377040 1906980 0 )
NEW met2 ( 3371760 1921595 ) ( 3371760 1989490 )
NEW met2 ( 3371760 1989490 ) via2_FR
NEW met1 ( 3371760 1921595 ) M1M2_PR
NEW met1 ( 3375600 1921595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[21\] ( padframe mprj_io_dm[21] ) ( gpio_control_in\[7\] pad_gpio_dm[0] )
+ ROUTED met2 ( 3370800 2488990 ) ( 3371760 2488990 )
NEW met2 ( 3370800 2423130 ) ( 3370800 2488990 )
NEW met3 ( 3370800 2423130 ) ( 3373920 2423130 0 )
NEW met1 ( 3371760 2705255 ) ( 3375120 2705255 )
NEW met2 ( 3375120 2705255 ) ( 3375120 2769635 )
NEW met1 ( 3375120 2769635 ) ( 3377520 2769635 )
NEW met2 ( 3377520 2769635 ) ( 3377520 2771300 0 )
NEW met2 ( 3371760 2488990 ) ( 3371760 2705255 )
NEW met2 ( 3370800 2423130 ) via2_FR
NEW met1 ( 3371760 2705255 ) M1M2_PR
NEW met1 ( 3375120 2705255 ) M1M2_PR
NEW met1 ( 3375120 2769635 ) M1M2_PR
NEW met1 ( 3377520 2769635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[22\] ( padframe mprj_io_dm[22] ) ( gpio_control_in\[7\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3371760 2488065 ) ( 3372720 2488065 )
NEW met2 ( 3371760 2426460 ) ( 3371760 2488065 )
NEW met3 ( 3371760 2426460 ) ( 3373920 2426460 0 )
NEW met1 ( 3372720 2759645 ) ( 3377520 2759645 )
NEW met2 ( 3377520 2759645 ) ( 3377520 2762050 0 )
NEW met2 ( 3372720 2488065 ) ( 3372720 2759645 )
NEW met1 ( 3372720 2488065 ) M1M2_PR
NEW met1 ( 3371760 2488065 ) M1M2_PR
NEW met2 ( 3371760 2426460 ) via2_FR
NEW met1 ( 3372720 2759645 ) M1M2_PR
NEW met1 ( 3377520 2759645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[23\] ( padframe mprj_io_dm[23] ) ( gpio_control_in\[7\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3370320 2489730 ) ( 3370800 2489730 )
NEW met2 ( 3370320 2430530 ) ( 3370320 2489730 )
NEW met3 ( 3370320 2430530 ) ( 3373920 2430530 0 )
NEW met1 ( 3370800 2790355 ) ( 3377040 2790355 )
NEW met2 ( 3377040 2790355 ) ( 3377040 2793130 0 )
NEW met2 ( 3370800 2489730 ) ( 3370800 2790355 )
NEW met2 ( 3370320 2430530 ) via2_FR
NEW met1 ( 3370800 2790355 ) M1M2_PR
NEW met1 ( 3377040 2790355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[24\] ( padframe mprj_io_dm[24] ) ( gpio_control_in\[8\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3357840 2649015 ) ( 3368880 2649015 )
NEW met2 ( 3368880 2642910 ) ( 3368880 2649015 )
NEW met3 ( 3368880 2642910 ) ( 3373920 2642910 0 )
NEW met1 ( 3357840 2996445 ) ( 3377040 2996445 )
NEW met2 ( 3377040 2996445 ) ( 3377040 2997370 0 )
NEW met2 ( 3357840 2649015 ) ( 3357840 2996445 )
NEW met1 ( 3357840 2649015 ) M1M2_PR
NEW met1 ( 3368880 2649015 ) M1M2_PR
NEW met2 ( 3368880 2642910 ) via2_FR
NEW met1 ( 3357840 2996445 ) M1M2_PR
NEW met1 ( 3377040 2996445 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[25\] ( padframe mprj_io_dm[25] ) ( gpio_control_in\[8\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3362640 2649385 ) ( 3369360 2649385 )
NEW met2 ( 3369360 2646610 ) ( 3369360 2649385 )
NEW met3 ( 3369360 2646610 ) ( 3373920 2646610 0 )
NEW met1 ( 3362640 2985715 ) ( 3377520 2985715 )
NEW met2 ( 3377520 2985715 ) ( 3377520 2988120 0 )
NEW met2 ( 3362640 2649385 ) ( 3362640 2985715 )
NEW met1 ( 3362640 2649385 ) M1M2_PR
NEW met1 ( 3369360 2649385 ) M1M2_PR
NEW met2 ( 3369360 2646610 ) via2_FR
NEW met1 ( 3362640 2985715 ) M1M2_PR
NEW met1 ( 3377520 2985715 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[26\] ( padframe mprj_io_dm[26] ) ( gpio_control_in\[8\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3359280 2654195 ) ( 3369360 2654195 )
NEW met2 ( 3369360 2650310 ) ( 3369360 2654195 )
NEW met3 ( 3369360 2650310 ) ( 3373920 2650310 0 )
NEW met1 ( 3359280 3010135 ) ( 3376080 3010135 )
NEW met2 ( 3376080 3010135 ) ( 3376080 3018995 )
NEW met2 ( 3376080 3018995 ) ( 3377040 3018995 0 )
NEW met2 ( 3359280 2654195 ) ( 3359280 3010135 )
NEW met1 ( 3359280 2654195 ) M1M2_PR
NEW met1 ( 3369360 2654195 ) M1M2_PR
NEW met2 ( 3369360 2650310 ) via2_FR
NEW met1 ( 3359280 3010135 ) M1M2_PR
NEW met1 ( 3376080 3010135 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[27\] ( padframe mprj_io_dm[27] ) ( gpio_control_in\[9\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3361680 2868055 ) ( 3372240 2868055 )
NEW met2 ( 3372240 2867870 ) ( 3372240 2868055 )
NEW met3 ( 3372240 2867870 ) ( 3373920 2867870 0 )
NEW met1 ( 3361680 3220295 ) ( 3377520 3220295 )
NEW met2 ( 3377520 3220295 ) ( 3377520 3222330 0 )
NEW met2 ( 3361680 2868055 ) ( 3361680 3220295 )
NEW met1 ( 3361680 2868055 ) M1M2_PR
NEW met1 ( 3372240 2868055 ) M1M2_PR
NEW met2 ( 3372240 2867870 ) via2_FR
NEW met1 ( 3361680 3220295 ) M1M2_PR
NEW met1 ( 3377520 3220295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[28\] ( padframe mprj_io_dm[28] ) ( gpio_control_in\[9\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3357360 2872495 ) ( 3373680 2872495 )
NEW met2 ( 3373680 2872310 ) ( 3373680 2872495 )
NEW met3 ( 3373680 2872310 ) ( 3373920 2872310 )
NEW met3 ( 3373920 2871570 0 ) ( 3373920 2872310 )
NEW met1 ( 3357360 3211415 ) ( 3377520 3211415 )
NEW met2 ( 3377520 3211415 ) ( 3377520 3213080 0 )
NEW met2 ( 3357360 2872495 ) ( 3357360 3211415 )
NEW met1 ( 3357360 2872495 ) M1M2_PR
NEW met1 ( 3373680 2872495 ) M1M2_PR
NEW met2 ( 3373680 2872310 ) via2_FR
NEW met1 ( 3357360 3211415 ) M1M2_PR
NEW met1 ( 3377520 3211415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[29\] ( padframe mprj_io_dm[29] ) ( gpio_control_in\[9\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3359760 2876935 ) ( 3373680 2876935 )
NEW met2 ( 3373680 2876750 ) ( 3373680 2876935 )
NEW met3 ( 3373680 2876750 ) ( 3373920 2876750 )
NEW met3 ( 3373920 2875640 0 ) ( 3373920 2876750 )
NEW met1 ( 3359760 3241385 ) ( 3376560 3241385 )
NEW met2 ( 3376560 3241385 ) ( 3376560 3243995 )
NEW met2 ( 3376560 3243995 ) ( 3377040 3243995 0 )
NEW met2 ( 3359760 2876935 ) ( 3359760 3241385 )
NEW met1 ( 3359760 2876935 ) M1M2_PR
NEW met1 ( 3373680 2876935 ) M1M2_PR
NEW met2 ( 3373680 2876750 ) via2_FR
NEW met1 ( 3359760 3241385 ) M1M2_PR
NEW met1 ( 3376560 3241385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[2\] ( padframe mprj_io_dm[2] ) ( gpio_control_bidir\[0\] pad_gpio_dm[2] )
+ ROUTED met2 ( 3371760 594590 ) ( 3372240 594590 )
NEW met1 ( 3372240 555925 ) ( 3377040 555925 )
NEW met2 ( 3377040 553890 0 ) ( 3377040 555925 )
NEW met2 ( 3372240 555925 ) ( 3372240 594590 )
NEW met3 ( 3371760 636770 ) ( 3373920 636770 0 )
NEW met2 ( 3371760 594590 ) ( 3371760 636770 )
NEW met1 ( 3372240 555925 ) M1M2_PR
NEW met1 ( 3377040 555925 ) M1M2_PR
NEW met2 ( 3371760 636770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[30\] ( padframe mprj_io_dm[30] ) ( gpio_control_in\[10\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3378720 3297070 ) ( 3383520 3297070 )
NEW met3 ( 3378960 3445810 ) ( 3383520 3445810 )
NEW met2 ( 3378960 3445810 ) ( 3378960 3448400 0 )
NEW met4 ( 3383520 3297070 ) ( 3383520 3445810 )
NEW met3 ( 3378720 3203830 ) ( 3383520 3203830 )
NEW met4 ( 3378720 3203830 ) ( 3378720 3297070 )
NEW met4 ( 3383520 3151845 ) ( 3384480 3151845 )
NEW met4 ( 3384480 3151845 ) ( 3384480 3152030 )
NEW met3 ( 3371040 3152030 ) ( 3384480 3152030 )
NEW met4 ( 3371040 3094310 ) ( 3371040 3152030 )
NEW met3 ( 3371040 3094310 ) ( 3373920 3094310 0 )
NEW met4 ( 3383520 3151845 ) ( 3383520 3203830 )
NEW met3 ( 3378720 3297070 ) M3M4_PR_M
NEW met3 ( 3383520 3297070 ) M3M4_PR_M
NEW met3 ( 3383520 3445810 ) M3M4_PR_M
NEW met2 ( 3378960 3445810 ) via2_FR
NEW met3 ( 3378720 3203830 ) M3M4_PR_M
NEW met3 ( 3383520 3203830 ) M3M4_PR_M
NEW met3 ( 3384480 3152030 ) M3M4_PR_M
NEW met3 ( 3371040 3152030 ) M3M4_PR_M
NEW met3 ( 3371040 3094310 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[31\] ( padframe mprj_io_dm[31] ) ( gpio_control_in\[10\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3371280 3436745 ) ( 3377520 3436745 )
NEW met2 ( 3377520 3436745 ) ( 3377520 3439150 0 )
NEW met3 ( 3371280 3097270 ) ( 3373920 3097270 0 )
NEW met2 ( 3371280 3097270 ) ( 3371280 3436745 )
NEW met1 ( 3371280 3436745 ) M1M2_PR
NEW met1 ( 3377520 3436745 ) M1M2_PR
NEW met2 ( 3371280 3097270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[32\] ( padframe mprj_io_dm[32] ) ( gpio_control_in\[10\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3378960 3467270 ) ( 3379680 3467270 )
NEW met2 ( 3378960 3467270 ) ( 3378960 3469860 0 )
NEW met3 ( 3383520 3101710 0 ) ( 3383520 3104670 )
NEW met3 ( 3379680 3224550 ) ( 3379680 3225290 )
NEW met3 ( 3379680 3224550 ) ( 3384480 3224550 )
NEW met4 ( 3384480 3174970 ) ( 3384480 3224550 )
NEW met3 ( 3383760 3174970 ) ( 3384480 3174970 )
NEW met2 ( 3383760 3150550 ) ( 3383760 3174970 )
NEW met3 ( 3383520 3150550 ) ( 3383760 3150550 )
NEW met4 ( 3379680 3225290 ) ( 3379680 3467270 )
NEW met4 ( 3383520 3104670 ) ( 3383520 3150550 )
NEW met3 ( 3379680 3467270 ) M3M4_PR_M
NEW met2 ( 3378960 3467270 ) via2_FR
NEW met3 ( 3383520 3104670 ) M3M4_PR_M
NEW met3 ( 3379680 3225290 ) M3M4_PR_M
NEW met3 ( 3384480 3224550 ) M3M4_PR_M
NEW met3 ( 3384480 3174970 ) M3M4_PR_M
NEW met2 ( 3383760 3174970 ) via2_FR
NEW met2 ( 3383760 3150550 ) via2_FR
NEW met3 ( 3383520 3150550 ) M3M4_PR_M
NEW met3 ( 3383760 3150550 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[33\] ( padframe mprj_io_dm[33] ) ( gpio_control_in\[11\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3378480 3672250 ) ( 3378720 3672250 )
NEW met2 ( 3378480 3672250 ) ( 3378480 3673360 0 )
NEW met3 ( 3378720 3319270 0 ) ( 3378720 3321490 )
NEW met4 ( 3378720 3321490 ) ( 3378720 3672250 )
NEW met3 ( 3378720 3672250 ) M3M4_PR_M
NEW met2 ( 3378480 3672250 ) via2_FR
NEW met3 ( 3378720 3321490 ) M3M4_PR_M
NEW met3 ( 3378720 3672250 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[34\] ( padframe mprj_io_dm[34] ) ( gpio_control_in\[11\] pad_gpio_dm[1] )
+ ROUTED met2 ( 3375120 3632290 ) ( 3376080 3632290 )
NEW met2 ( 3376080 3632290 ) ( 3376080 3661705 )
NEW met1 ( 3376080 3661705 ) ( 3377520 3661705 )
NEW met2 ( 3377520 3661705 ) ( 3377520 3664110 0 )
NEW met3 ( 3374880 3323710 ) ( 3375120 3323710 )
NEW met3 ( 3374880 3322230 0 ) ( 3374880 3323710 )
NEW met2 ( 3375120 3323710 ) ( 3375120 3632290 )
NEW met1 ( 3376080 3661705 ) M1M2_PR
NEW met1 ( 3377520 3661705 ) M1M2_PR
NEW met2 ( 3375120 3323710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[35\] ( padframe mprj_io_dm[35] ) ( gpio_control_in\[11\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3376800 3692970 ) ( 3377040 3692970 )
NEW met2 ( 3377040 3692970 ) ( 3377040 3694995 0 )
NEW met3 ( 3376800 3326670 0 ) ( 3376800 3329630 )
NEW met4 ( 3376800 3329630 ) ( 3376800 3692970 )
NEW met3 ( 3376800 3692970 ) M3M4_PR_M
NEW met2 ( 3377040 3692970 ) via2_FR
NEW met3 ( 3376800 3329630 ) M3M4_PR_M
NEW met3 ( 3376800 3692970 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[36\] ( padframe mprj_io_dm[36] ) ( gpio_control_in\[12\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3360240 3545155 ) ( 3372240 3545155 )
NEW met2 ( 3372240 3544970 ) ( 3372240 3545155 )
NEW met3 ( 3372240 3544970 ) ( 3373920 3544970 0 )
NEW met1 ( 3360240 3896655 ) ( 3377520 3896655 )
NEW met2 ( 3377520 3896655 ) ( 3377520 3898320 0 )
NEW met2 ( 3360240 3545155 ) ( 3360240 3896655 )
NEW met1 ( 3360240 3545155 ) M1M2_PR
NEW met1 ( 3372240 3545155 ) M1M2_PR
NEW met2 ( 3372240 3544970 ) via2_FR
NEW met1 ( 3360240 3896655 ) M1M2_PR
NEW met1 ( 3377520 3896655 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[37\] ( padframe mprj_io_dm[37] ) ( gpio_control_in\[12\] pad_gpio_dm[1] )
+ ROUTED met1 ( 3362160 3549595 ) ( 3374160 3549595 )
NEW met2 ( 3374160 3549410 ) ( 3374160 3549595 )
NEW met3 ( 3373920 3549410 ) ( 3374160 3549410 )
NEW met3 ( 3373920 3548670 0 ) ( 3373920 3549410 )
NEW met1 ( 3362160 3888145 ) ( 3377520 3888145 )
NEW met2 ( 3377520 3888145 ) ( 3377520 3889070 0 )
NEW met2 ( 3362160 3549595 ) ( 3362160 3888145 )
NEW met1 ( 3362160 3549595 ) M1M2_PR
NEW met1 ( 3374160 3549595 ) M1M2_PR
NEW met2 ( 3374160 3549410 ) via2_FR
NEW met1 ( 3362160 3888145 ) M1M2_PR
NEW met1 ( 3377520 3888145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[38\] ( padframe mprj_io_dm[38] ) ( gpio_control_in\[12\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3361200 3552555 ) ( 3372240 3552555 )
NEW met2 ( 3372240 3552370 ) ( 3372240 3552555 )
NEW met3 ( 3372240 3552370 ) ( 3373920 3552370 0 )
NEW met1 ( 3361200 3917375 ) ( 3377040 3917375 )
NEW met2 ( 3377040 3917375 ) ( 3377040 3919995 0 )
NEW met2 ( 3361200 3552555 ) ( 3361200 3917375 )
NEW met1 ( 3361200 3552555 ) M1M2_PR
NEW met1 ( 3372240 3552555 ) M1M2_PR
NEW met2 ( 3372240 3552370 ) via2_FR
NEW met1 ( 3361200 3917375 ) M1M2_PR
NEW met1 ( 3377040 3917375 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[39\] ( padframe mprj_io_dm[39] ) ( gpio_control_in\[13\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3386400 3769930 0 ) ( 3386400 3772150 )
NEW met3 ( 3371760 3863170 ) ( 3386400 3863170 )
NEW met4 ( 3386400 3772150 ) ( 3386400 3863170 )
NEW met1 ( 3371760 4341765 ) ( 3377040 4341765 )
NEW met2 ( 3377040 4341765 ) ( 3377040 4344375 0 )
NEW met2 ( 3371760 3863170 ) ( 3371760 4341765 )
NEW met3 ( 3386400 3772150 ) M3M4_PR_M
NEW met2 ( 3371760 3863170 ) via2_FR
NEW met3 ( 3386400 3863170 ) M3M4_PR_M
NEW met1 ( 3371760 4341765 ) M1M2_PR
NEW met1 ( 3377040 4341765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[3\] ( padframe mprj_io_dm[3] ) ( gpio_control_bidir\[1\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3370320 855070 ) ( 3373920 855070 0 )
NEW met1 ( 3370320 760165 ) ( 3377520 760165 )
NEW met2 ( 3377520 758500 0 ) ( 3377520 760165 )
NEW met2 ( 3370320 760165 ) ( 3370320 855070 )
NEW met2 ( 3370320 855070 ) via2_FR
NEW met1 ( 3370320 760165 ) M1M2_PR
NEW met1 ( 3377520 760165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[40\] ( padframe mprj_io_dm[40] ) ( gpio_control_in\[13\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3375840 3773630 0 ) ( 3375840 3776590 )
NEW met3 ( 3375840 4334550 ) ( 3377040 4334550 )
NEW met2 ( 3377040 4334550 ) ( 3377040 4335290 0 )
NEW met4 ( 3375840 3776590 ) ( 3375840 4334550 )
NEW met3 ( 3375840 3776590 ) M3M4_PR_M
NEW met3 ( 3375840 4334550 ) M3M4_PR_M
NEW met2 ( 3377040 4334550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[41\] ( padframe mprj_io_dm[41] ) ( gpio_control_in\[13\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3371040 4364150 ) ( 3377040 4364150 )
NEW met2 ( 3377040 4364150 ) ( 3377040 4366000 0 )
NEW met3 ( 3371040 3777330 ) ( 3373920 3777330 0 )
NEW met4 ( 3371040 3777330 ) ( 3371040 4364150 )
NEW met3 ( 3371040 4364150 ) M3M4_PR_M
NEW met2 ( 3377040 4364150 ) via2_FR
NEW met3 ( 3371040 3777330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[42\] ( padframe mprj_io_dm[42] ) ( gpio_control_in\[14\] pad_gpio_dm[0] )
+ ROUTED met3 ( 3382560 4662370 0 ) ( 3382560 4663110 )
NEW met3 ( 3378960 4788170 ) ( 3382560 4788170 )
NEW met2 ( 3378960 4788170 ) ( 3378960 4790390 0 )
NEW met4 ( 3382560 4663110 ) ( 3382560 4788170 )
NEW met3 ( 3382560 4663110 ) M3M4_PR_M
NEW met3 ( 3382560 4788170 ) M3M4_PR_M
NEW met2 ( 3378960 4788170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[43\] ( padframe mprj_io_dm[43] ) ( gpio_control_in\[14\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3375840 4665330 0 ) ( 3375840 4668290 )
NEW met3 ( 3375840 4780030 ) ( 3377520 4780030 )
NEW met2 ( 3377520 4780030 ) ( 3377520 4781140 0 )
NEW met4 ( 3375840 4668290 ) ( 3375840 4780030 )
NEW met3 ( 3375840 4668290 ) M3M4_PR_M
NEW met3 ( 3375840 4780030 ) M3M4_PR_M
NEW met2 ( 3377520 4780030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[44\] ( padframe mprj_io_dm[44] ) ( gpio_control_in\[14\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3376800 4669770 0 ) ( 3376800 4672730 )
NEW met3 ( 3376800 4811110 ) ( 3377040 4811110 )
NEW met2 ( 3377040 4811110 ) ( 3377040 4811995 0 )
NEW met4 ( 3376800 4672730 ) ( 3376800 4811110 )
NEW met3 ( 3376800 4672730 ) M3M4_PR_M
NEW met3 ( 3376800 4811110 ) M3M4_PR_M
NEW met2 ( 3377040 4811110 ) via2_FR
NEW met3 ( 3376800 4811110 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[45\] ( padframe mprj_io_dm[45] ) ( gpio_control_in\[15\] pad_gpio_dm[0] )
+ ROUTED met1 ( 2811600 5026265 ) ( 2811600 5027005 )
NEW met1 ( 2811600 5027005 ) ( 2864400 5027005 )
NEW met1 ( 2864400 5007395 ) ( 2870640 5007395 )
NEW met2 ( 2870640 4970025 ) ( 2870640 5007395 )
NEW met2 ( 2864400 5007395 ) ( 2864400 5027005 )
NEW met2 ( 2772720 5026265 ) ( 2772720 5026450 )
NEW met3 ( 2770080 5026450 0 ) ( 2772720 5026450 )
NEW met1 ( 2772720 5026265 ) ( 2811600 5026265 )
NEW met2 ( 3176400 4970025 ) ( 3176400 4977610 )
NEW met2 ( 3176400 4977610 ) ( 3177600 4977610 0 )
NEW met1 ( 2870640 4970025 ) ( 3176400 4970025 )
NEW met1 ( 2864400 5027005 ) M1M2_PR
NEW met1 ( 2864400 5007395 ) M1M2_PR
NEW met1 ( 2870640 5007395 ) M1M2_PR
NEW met1 ( 2870640 4970025 ) M1M2_PR
NEW met1 ( 2772720 5026265 ) M1M2_PR
NEW met2 ( 2772720 5026450 ) via2_FR
NEW met1 ( 3176400 4970025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[46\] ( padframe mprj_io_dm[46] ) ( gpio_control_in\[15\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2799600 4987415 ) ( 2799600 4989635 )
NEW met1 ( 2799600 4987415 ) ( 2852880 4987415 )
NEW met2 ( 2852880 4968915 ) ( 2852880 4987415 )
NEW met2 ( 2776080 4989450 ) ( 2776080 4989635 )
NEW met3 ( 2773440 4989450 0 ) ( 2776080 4989450 )
NEW met1 ( 2776080 4989635 ) ( 2799600 4989635 )
NEW met2 ( 3186960 4968915 ) ( 3186960 4977610 0 )
NEW met1 ( 2852880 4968915 ) ( 3186960 4968915 )
NEW met1 ( 2799600 4989635 ) M1M2_PR
NEW met1 ( 2799600 4987415 ) M1M2_PR
NEW met1 ( 2852880 4987415 ) M1M2_PR
NEW met1 ( 2852880 4968915 ) M1M2_PR
NEW met1 ( 2776080 4989635 ) M1M2_PR
NEW met2 ( 2776080 4989450 ) via2_FR
NEW met1 ( 3186960 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[47\] ( padframe mprj_io_dm[47] ) ( gpio_control_in\[15\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2780880 4988710 ) ( 2780880 4988895 )
NEW met3 ( 2777760 4988710 0 ) ( 2780880 4988710 )
NEW met2 ( 2952720 4988895 ) ( 2952720 4997035 )
NEW met1 ( 2780880 4988895 ) ( 2952720 4988895 )
NEW met2 ( 3154800 4979090 ) ( 3156000 4979090 0 )
NEW met2 ( 3154800 4978905 ) ( 3154800 4979090 )
NEW met1 ( 3154800 4978905 ) ( 3154800 4979275 )
NEW met1 ( 3128400 4979275 ) ( 3154800 4979275 )
NEW met2 ( 3128400 4979275 ) ( 3128400 4997035 )
NEW met1 ( 2952720 4997035 ) ( 3128400 4997035 )
NEW met1 ( 2780880 4988895 ) M1M2_PR
NEW met2 ( 2780880 4988710 ) via2_FR
NEW met1 ( 2952720 4988895 ) M1M2_PR
NEW met1 ( 2952720 4997035 ) M1M2_PR
NEW met1 ( 3154800 4978905 ) M1M2_PR
NEW met1 ( 3128400 4979275 ) M1M2_PR
NEW met1 ( 3128400 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[48\] ( padframe mprj_io_dm[48] ) ( gpio_control_in\[16\] pad_gpio_dm[0] )
+ ROUTED met2 ( 2668560 4973170 ) ( 2668560 4977610 0 )
NEW met1 ( 2514000 5012575 ) ( 2577360 5012575 )
NEW met2 ( 2514000 5012390 ) ( 2514000 5012575 )
NEW met3 ( 2512800 5012390 0 ) ( 2514000 5012390 )
NEW met2 ( 2577360 4996850 ) ( 2577840 4996850 )
NEW met2 ( 2577840 4973170 ) ( 2577840 4996850 )
NEW met2 ( 2577360 4996850 ) ( 2577360 5012575 )
NEW met3 ( 2577840 4973170 ) ( 2668560 4973170 )
NEW met2 ( 2668560 4973170 ) via2_FR
NEW met1 ( 2577360 5012575 ) M1M2_PR
NEW met1 ( 2514000 5012575 ) M1M2_PR
NEW met2 ( 2514000 5012390 ) via2_FR
NEW met2 ( 2577840 4973170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[49\] ( padframe mprj_io_dm[49] ) ( gpio_control_in\[16\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2676720 4968915 ) ( 2676720 4977610 )
NEW met2 ( 2676720 4977610 ) ( 2677920 4977610 0 )
NEW met1 ( 2517360 5012205 ) ( 2567760 5012205 )
NEW met2 ( 2517360 5012205 ) ( 2517360 5012390 )
NEW met3 ( 2516640 5012390 0 ) ( 2517360 5012390 )
NEW met1 ( 2567760 5007395 ) ( 2578800 5007395 )
NEW met2 ( 2578800 4968915 ) ( 2578800 5007395 )
NEW met2 ( 2567760 5007395 ) ( 2567760 5012205 )
NEW met1 ( 2578800 4968915 ) ( 2676720 4968915 )
NEW met1 ( 2676720 4968915 ) M1M2_PR
NEW met1 ( 2567760 5012205 ) M1M2_PR
NEW met1 ( 2517360 5012205 ) M1M2_PR
NEW met2 ( 2517360 5012390 ) via2_FR
NEW met1 ( 2567760 5007395 ) M1M2_PR
NEW met1 ( 2578800 5007395 ) M1M2_PR
NEW met1 ( 2578800 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[4\] ( padframe mprj_io_dm[4] ) ( gpio_control_bidir\[1\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3374880 856550 ) ( 3374880 858770 0 )
NEW met3 ( 3374880 751470 ) ( 3377520 751470 )
NEW met2 ( 3377520 749250 0 ) ( 3377520 751470 )
NEW met4 ( 3374880 751470 ) ( 3374880 856550 )
NEW met3 ( 3374880 856550 ) M3M4_PR_M
NEW met3 ( 3374880 751470 ) M3M4_PR_M
NEW met2 ( 3377520 751470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[50\] ( padframe mprj_io_dm[50] ) ( gpio_control_in\[16\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2645040 4979090 ) ( 2646960 4979090 0 )
NEW met2 ( 2645040 4978905 ) ( 2645040 4979090 )
NEW met1 ( 2645040 4978905 ) ( 2645040 4979275 )
NEW met1 ( 2619600 4979275 ) ( 2645040 4979275 )
NEW met2 ( 2619600 4979275 ) ( 2619600 4998330 )
NEW met3 ( 2607120 4998330 ) ( 2619600 4998330 )
NEW met2 ( 2607120 4998330 ) ( 2607120 5026265 )
NEW met1 ( 2584560 5026265 ) ( 2584560 5027375 )
NEW met1 ( 2523120 5027375 ) ( 2584560 5027375 )
NEW met2 ( 2523120 5027190 ) ( 2523120 5027375 )
NEW met3 ( 2520480 5027190 0 ) ( 2523120 5027190 )
NEW met1 ( 2584560 5026265 ) ( 2607120 5026265 )
NEW met1 ( 2607120 5026265 ) M1M2_PR
NEW met1 ( 2645040 4978905 ) M1M2_PR
NEW met1 ( 2619600 4979275 ) M1M2_PR
NEW met2 ( 2619600 4998330 ) via2_FR
NEW met2 ( 2607120 4998330 ) via2_FR
NEW met1 ( 2523120 5027375 ) M1M2_PR
NEW met2 ( 2523120 5027190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[51\] ( padframe mprj_io_dm[51] ) ( gpio_control_in\[17\] pad_gpio_dm[0] )
+ ROUTED met1 ( 2204880 5025895 ) ( 2204880 5026635 )
NEW met1 ( 2204880 5026635 ) ( 2264880 5026635 )
NEW met2 ( 2264880 5010355 ) ( 2264880 5026635 )
NEW met2 ( 2410320 4977610 ) ( 2410320 4977795 )
NEW met2 ( 2410320 4977610 ) ( 2411760 4977610 0 )
NEW met2 ( 2308080 4993705 ) ( 2308080 5010355 )
NEW met1 ( 2308080 4993705 ) ( 2333040 4993705 )
NEW met2 ( 2333040 4977425 ) ( 2333040 4993705 )
NEW met1 ( 2333040 4977425 ) ( 2382480 4977425 )
NEW met1 ( 2382480 4977425 ) ( 2382480 4977795 )
NEW met1 ( 2264880 5010355 ) ( 2308080 5010355 )
NEW met1 ( 2382480 4977795 ) ( 2410320 4977795 )
NEW met2 ( 2130480 5025710 ) ( 2130480 5025895 )
NEW met3 ( 2127840 5025710 0 ) ( 2130480 5025710 )
NEW met1 ( 2130480 5025895 ) ( 2204880 5025895 )
NEW met1 ( 2264880 5026635 ) M1M2_PR
NEW met1 ( 2264880 5010355 ) M1M2_PR
NEW met1 ( 2410320 4977795 ) M1M2_PR
NEW met1 ( 2308080 5010355 ) M1M2_PR
NEW met1 ( 2308080 4993705 ) M1M2_PR
NEW met1 ( 2333040 4993705 ) M1M2_PR
NEW met1 ( 2333040 4977425 ) M1M2_PR
NEW met1 ( 2130480 5025895 ) M1M2_PR
NEW met2 ( 2130480 5025710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[52\] ( padframe mprj_io_dm[52] ) ( gpio_control_in\[17\] pad_gpio_dm[1] )
+ ROUTED met2 ( 2420880 4968915 ) ( 2420880 4977610 0 )
NEW met2 ( 2350800 4968915 ) ( 2350800 5018495 )
NEW met1 ( 2350800 4968915 ) ( 2420880 4968915 )
NEW met2 ( 2132400 5018310 ) ( 2132400 5018495 )
NEW met3 ( 2131680 5018310 0 ) ( 2132400 5018310 )
NEW met1 ( 2132400 5018495 ) ( 2350800 5018495 )
NEW met1 ( 2420880 4968915 ) M1M2_PR
NEW met1 ( 2350800 5018495 ) M1M2_PR
NEW met1 ( 2350800 4968915 ) M1M2_PR
NEW met1 ( 2132400 5018495 ) M1M2_PR
NEW met2 ( 2132400 5018310 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[53\] ( padframe mprj_io_dm[53] ) ( gpio_control_in\[17\] pad_gpio_dm[2] )
+ ROUTED met2 ( 2388720 4979090 ) ( 2389920 4979090 0 )
NEW met2 ( 2388720 4978905 ) ( 2388720 4979090 )
NEW met1 ( 2388720 4978905 ) ( 2388720 4979275 )
NEW met1 ( 2362320 4979275 ) ( 2388720 4979275 )
NEW met2 ( 2362320 4979275 ) ( 2362320 5004065 )
NEW met2 ( 2136720 5004065 ) ( 2136720 5004250 )
NEW met3 ( 2135520 5004250 0 ) ( 2136720 5004250 )
NEW met1 ( 2136720 5004065 ) ( 2362320 5004065 )
NEW met1 ( 2388720 4978905 ) M1M2_PR
NEW met1 ( 2362320 4979275 ) M1M2_PR
NEW met1 ( 2362320 5004065 ) M1M2_PR
NEW met1 ( 2136720 5004065 ) M1M2_PR
NEW met2 ( 2136720 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[54\] ( padframe mprj_io_dm[54] ) ( gpio_control_in\[18\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1793520 5027005 ) ( 1793520 5027190 )
NEW met3 ( 1790880 5027190 0 ) ( 1793520 5027190 )
NEW met2 ( 1965360 4978350 ) ( 1966560 4978350 0 )
NEW met2 ( 1907280 4978350 ) ( 1907280 4998145 )
NEW met1 ( 1895760 4998145 ) ( 1907280 4998145 )
NEW met2 ( 1895760 4998145 ) ( 1895760 5027005 )
NEW met1 ( 1793520 5027005 ) ( 1895760 5027005 )
NEW met3 ( 1907280 4978350 ) ( 1965360 4978350 )
NEW met1 ( 1793520 5027005 ) M1M2_PR
NEW met2 ( 1793520 5027190 ) via2_FR
NEW met2 ( 1965360 4978350 ) via2_FR
NEW met2 ( 1907280 4978350 ) via2_FR
NEW met1 ( 1907280 4998145 ) M1M2_PR
NEW met1 ( 1895760 4998145 ) M1M2_PR
NEW met1 ( 1895760 5027005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[55\] ( padframe mprj_io_dm[55] ) ( gpio_control_in\[18\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1795440 5019050 ) ( 1795440 5019235 )
NEW met3 ( 1794720 5019050 0 ) ( 1795440 5019050 )
NEW met2 ( 1974480 4977610 ) ( 1975920 4977610 0 )
NEW met4 ( 1930080 4977610 ) ( 1930080 4980570 )
NEW met3 ( 1901520 4980570 ) ( 1930080 4980570 )
NEW met2 ( 1901520 4980570 ) ( 1901520 4982605 )
NEW met1 ( 1900080 4982605 ) ( 1901520 4982605 )
NEW met2 ( 1900080 4982605 ) ( 1900080 5019235 )
NEW met1 ( 1795440 5019235 ) ( 1900080 5019235 )
NEW met3 ( 1930080 4977610 ) ( 1974480 4977610 )
NEW met1 ( 1795440 5019235 ) M1M2_PR
NEW met2 ( 1795440 5019050 ) via2_FR
NEW met2 ( 1974480 4977610 ) via2_FR
NEW met3 ( 1930080 4977610 ) M3M4_PR_M
NEW met3 ( 1930080 4980570 ) M3M4_PR_M
NEW met2 ( 1901520 4980570 ) via2_FR
NEW met1 ( 1901520 4982605 ) M1M2_PR
NEW met1 ( 1900080 4982605 ) M1M2_PR
NEW met1 ( 1900080 5019235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[56\] ( padframe mprj_io_dm[56] ) ( gpio_control_in\[18\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1799760 5018310 ) ( 1799760 5018495 )
NEW met3 ( 1798560 5018310 0 ) ( 1799760 5018310 )
NEW met2 ( 1944240 4978350 ) ( 1944240 4979090 )
NEW met2 ( 1944240 4978350 ) ( 1944960 4978350 0 )
NEW met2 ( 1901040 4979090 ) ( 1901040 4982790 )
NEW met2 ( 1900560 4982790 ) ( 1901040 4982790 )
NEW met2 ( 1900560 4982790 ) ( 1900560 5018495 )
NEW met1 ( 1799760 5018495 ) ( 1900560 5018495 )
NEW met3 ( 1901040 4979090 ) ( 1944240 4979090 )
NEW met1 ( 1799760 5018495 ) M1M2_PR
NEW met2 ( 1799760 5018310 ) via2_FR
NEW met2 ( 1944240 4979090 ) via2_FR
NEW met2 ( 1901040 4979090 ) via2_FR
NEW met1 ( 1900560 5018495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[57\] ( padframe mprj_io_dm[57] ) ( gpio_control_in\[19\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1457520 4978350 0 ) ( 1458960 4978350 )
NEW met2 ( 1458960 4978165 ) ( 1458960 4978350 )
NEW met1 ( 1458960 4978165 ) ( 1536720 4978165 )
NEW met2 ( 1536720 4978165 ) ( 1536720 4979090 )
NEW met3 ( 1536720 4979090 ) ( 1539360 4979090 0 )
NEW met1 ( 1458960 4978165 ) M1M2_PR
NEW met1 ( 1536720 4978165 ) M1M2_PR
NEW met2 ( 1536720 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[58\] ( padframe mprj_io_dm[58] ) ( gpio_control_in\[19\] pad_gpio_dm[1] )
+ ROUTED met3 ( 1542240 4976870 ) ( 1542240 4978350 )
NEW met3 ( 1542240 4978350 ) ( 1543200 4978350 )
NEW met3 ( 1543200 4978350 ) ( 1543200 4979090 )
NEW met3 ( 1542240 4979090 0 ) ( 1543200 4979090 )
NEW met2 ( 1468080 4976870 ) ( 1468080 4977610 )
NEW met2 ( 1466880 4977610 0 ) ( 1468080 4977610 )
NEW met3 ( 1468080 4976870 ) ( 1542240 4976870 )
NEW met2 ( 1468080 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[59\] ( padframe mprj_io_dm[59] ) ( gpio_control_in\[19\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1437360 4978165 ) ( 1437360 4978350 )
NEW met2 ( 1435920 4978350 0 ) ( 1437360 4978350 )
NEW met2 ( 1543920 5026450 ) ( 1543920 5026635 )
NEW met3 ( 1543920 5026450 ) ( 1546560 5026450 0 )
NEW met1 ( 1455600 4978165 ) ( 1455600 4979275 )
NEW met1 ( 1455600 4979275 ) ( 1491600 4979275 )
NEW met2 ( 1491600 4979275 ) ( 1491600 5026635 )
NEW met1 ( 1437360 4978165 ) ( 1455600 4978165 )
NEW met1 ( 1491600 5026635 ) ( 1543920 5026635 )
NEW met1 ( 1437360 4978165 ) M1M2_PR
NEW met1 ( 1543920 5026635 ) M1M2_PR
NEW met2 ( 1543920 5026450 ) via2_FR
NEW met1 ( 1491600 4979275 ) M1M2_PR
NEW met1 ( 1491600 5026635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[5\] ( padframe mprj_io_dm[5] ) ( gpio_control_bidir\[1\] pad_gpio_dm[2] )
+ ROUTED met3 ( 3374880 861730 ) ( 3375120 861730 )
NEW met3 ( 3374880 861730 ) ( 3374880 862470 0 )
NEW met2 ( 3374640 812150 ) ( 3375120 812150 )
NEW met2 ( 3374640 785325 ) ( 3374640 812150 )
NEW met1 ( 3374640 785325 ) ( 3375600 785325 )
NEW met2 ( 3375600 779960 ) ( 3375600 785325 )
NEW met2 ( 3375600 779960 ) ( 3377040 779960 0 )
NEW met2 ( 3375120 812150 ) ( 3375120 861730 )
NEW met2 ( 3375120 861730 ) via2_FR
NEW met1 ( 3374640 785325 ) M1M2_PR
NEW met1 ( 3375600 785325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[60\] ( padframe mprj_io_dm[60] ) ( gpio_control_in\[20\] pad_gpio_dm[0] )
+ ROUTED met2 ( 1199760 4978350 0 ) ( 1201200 4978350 )
NEW met3 ( 1201200 4978350 ) ( 1252080 4978350 )
NEW met2 ( 1252080 4978350 ) ( 1252080 4978535 )
NEW met1 ( 1252080 4978535 ) ( 1278480 4978535 )
NEW met2 ( 1278480 4978535 ) ( 1278480 4979090 )
NEW met3 ( 1278480 4979090 ) ( 1281120 4979090 0 )
NEW met2 ( 1201200 4978350 ) via2_FR
NEW met2 ( 1252080 4978350 ) via2_FR
NEW met1 ( 1252080 4978535 ) M1M2_PR
NEW met1 ( 1278480 4978535 ) M1M2_PR
NEW met2 ( 1278480 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[61\] ( padframe mprj_io_dm[61] ) ( gpio_control_in\[20\] pad_gpio_dm[1] )
+ ROUTED met2 ( 1209360 4976870 ) ( 1209360 4977610 )
NEW met2 ( 1208880 4977610 0 ) ( 1209360 4977610 )
NEW met3 ( 1284480 4976870 ) ( 1284480 4979090 0 )
NEW met3 ( 1209360 4976870 ) ( 1284480 4976870 )
NEW met2 ( 1209360 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[62\] ( padframe mprj_io_dm[62] ) ( gpio_control_in\[20\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1232400 4969655 ) ( 1232400 4979645 )
NEW met1 ( 1232400 4979645 ) ( 1275600 4979645 )
NEW met1 ( 1275600 4979275 ) ( 1275600 4979645 )
NEW met2 ( 1179120 4969655 ) ( 1179120 4977610 )
NEW met2 ( 1177920 4977610 0 ) ( 1179120 4977610 )
NEW met1 ( 1179120 4969655 ) ( 1232400 4969655 )
NEW met2 ( 1286640 4979090 ) ( 1286640 4979275 )
NEW met3 ( 1286640 4979090 ) ( 1288800 4979090 0 )
NEW met1 ( 1275600 4979275 ) ( 1286640 4979275 )
NEW met1 ( 1232400 4969655 ) M1M2_PR
NEW met1 ( 1232400 4979645 ) M1M2_PR
NEW met1 ( 1179120 4969655 ) M1M2_PR
NEW met1 ( 1286640 4979275 ) M1M2_PR
NEW met2 ( 1286640 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[63\] ( padframe mprj_io_dm[63] ) ( gpio_control_in\[21\] pad_gpio_dm[0] )
+ ROUTED met2 ( 942720 4978350 0 ) ( 944400 4978350 )
NEW met2 ( 944400 4978350 ) ( 944400 4978535 )
NEW met1 ( 944400 4978535 ) ( 1021200 4978535 )
NEW met2 ( 1021200 4978535 ) ( 1021200 4979830 )
NEW met3 ( 1021200 4979830 ) ( 1023840 4979830 0 )
NEW met1 ( 944400 4978535 ) M1M2_PR
NEW met1 ( 1021200 4978535 ) M1M2_PR
NEW met2 ( 1021200 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[64\] ( padframe mprj_io_dm[64] ) ( gpio_control_in\[21\] pad_gpio_dm[1] )
+ ROUTED met2 ( 951840 4977610 0 ) ( 953040 4977610 )
NEW met3 ( 953040 4977610 ) ( 1013280 4977610 )
NEW met3 ( 1013280 4977610 ) ( 1013280 4978350 )
NEW met3 ( 1013280 4978350 ) ( 1026720 4978350 )
NEW met3 ( 1026720 4978350 ) ( 1026720 4979090 )
NEW met3 ( 1026720 4979090 ) ( 1027680 4979090 0 )
NEW met2 ( 953040 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[65\] ( padframe mprj_io_dm[65] ) ( gpio_control_in\[21\] pad_gpio_dm[2] )
+ ROUTED met2 ( 1007760 4976130 ) ( 1007760 4977425 )
NEW met3 ( 1007760 4976130 ) ( 1031520 4976130 )
NEW met3 ( 1031520 4976130 ) ( 1031520 4979090 0 )
NEW met2 ( 921840 4977425 ) ( 921840 4977610 )
NEW met2 ( 920880 4977610 0 ) ( 921840 4977610 )
NEW met1 ( 921840 4977425 ) ( 1007760 4977425 )
NEW met1 ( 1007760 4977425 ) M1M2_PR
NEW met2 ( 1007760 4976130 ) via2_FR
NEW met1 ( 921840 4977425 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[66\] ( padframe mprj_io_dm[66] ) ( gpio_control_in\[22\] pad_gpio_dm[0] )
+ ROUTED met2 ( 764400 5012390 ) ( 764400 5012575 )
NEW met3 ( 764400 5012390 ) ( 767040 5012390 0 )
NEW met2 ( 685680 4978350 0 ) ( 687120 4978350 )
NEW met3 ( 687120 4978350 ) ( 718320 4978350 )
NEW met2 ( 718320 4978350 ) ( 718320 4997590 )
NEW met2 ( 718320 4997590 ) ( 718800 4997590 )
NEW met2 ( 718800 4997590 ) ( 718800 5012575 )
NEW met1 ( 718800 5012575 ) ( 764400 5012575 )
NEW met1 ( 764400 5012575 ) M1M2_PR
NEW met2 ( 764400 5012390 ) via2_FR
NEW met2 ( 687120 4978350 ) via2_FR
NEW met2 ( 718320 4978350 ) via2_FR
NEW met1 ( 718800 5012575 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[67\] ( padframe mprj_io_dm[67] ) ( gpio_control_in\[22\] pad_gpio_dm[1] )
+ ROUTED met2 ( 768240 5012205 ) ( 768240 5012390 )
NEW met3 ( 768240 5012390 ) ( 770400 5012390 0 )
NEW met2 ( 694800 4977610 0 ) ( 696720 4977610 )
NEW met2 ( 696720 4977610 ) ( 696720 4977795 )
NEW met1 ( 696720 4977795 ) ( 719760 4977795 )
NEW met2 ( 719760 4977795 ) ( 719760 5012205 )
NEW met1 ( 719760 5012205 ) ( 768240 5012205 )
NEW met1 ( 768240 5012205 ) M1M2_PR
NEW met2 ( 768240 5012390 ) via2_FR
NEW met1 ( 696720 4977795 ) M1M2_PR
NEW met1 ( 719760 4977795 ) M1M2_PR
NEW met1 ( 719760 5012205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[68\] ( padframe mprj_io_dm[68] ) ( gpio_control_in\[22\] pad_gpio_dm[2] )
+ ROUTED met3 ( 774720 4978350 ) ( 774720 4979090 0 )
NEW met2 ( 734160 4978165 ) ( 734160 4978350 )
NEW met1 ( 665520 4978165 ) ( 734160 4978165 )
NEW met2 ( 665520 4978165 ) ( 665520 4978350 )
NEW met2 ( 664080 4978350 0 ) ( 665520 4978350 )
NEW met3 ( 734160 4978350 ) ( 774720 4978350 )
NEW met2 ( 734160 4978350 ) via2_FR
NEW met1 ( 734160 4978165 ) M1M2_PR
NEW met1 ( 665520 4978165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[69\] ( padframe mprj_io_dm[69] ) ( gpio_control_in\[23\] pad_gpio_dm[0] )
+ ROUTED met2 ( 507600 4979645 ) ( 507600 4979830 )
NEW met3 ( 507600 4979830 ) ( 510240 4979830 0 )
NEW met2 ( 428640 4976685 ) ( 428640 4977610 0 )
NEW met1 ( 428640 4976685 ) ( 462480 4976685 )
NEW met2 ( 462480 4976685 ) ( 462480 4979645 )
NEW met1 ( 462480 4979645 ) ( 507600 4979645 )
NEW met1 ( 507600 4979645 ) M1M2_PR
NEW met2 ( 507600 4979830 ) via2_FR
NEW met1 ( 428640 4976685 ) M1M2_PR
NEW met1 ( 462480 4976685 ) M1M2_PR
NEW met1 ( 462480 4979645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[6\] ( padframe mprj_io_dm[6] ) ( gpio_control_in\[2\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3370800 985125 ) ( 3377520 985125 )
NEW met2 ( 3377520 983460 0 ) ( 3377520 985125 )
NEW met3 ( 3370800 1080030 ) ( 3373920 1080030 0 )
NEW met2 ( 3370800 985125 ) ( 3370800 1080030 )
NEW met1 ( 3370800 985125 ) M1M2_PR
NEW met1 ( 3377520 985125 ) M1M2_PR
NEW met2 ( 3370800 1080030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[70\] ( padframe mprj_io_dm[70] ) ( gpio_control_in\[23\] pad_gpio_dm[1] )
+ ROUTED met2 ( 437760 4977610 0 ) ( 439440 4977610 )
NEW met2 ( 439440 4976130 ) ( 439440 4977610 )
NEW met3 ( 439440 4976130 ) ( 513600 4976130 )
NEW met3 ( 513600 4976130 ) ( 513600 4979090 0 )
NEW met2 ( 439440 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[71\] ( padframe mprj_io_dm[71] ) ( gpio_control_in\[23\] pad_gpio_dm[2] )
+ ROUTED met2 ( 407040 4977610 0 ) ( 408240 4977610 )
NEW met2 ( 408240 4977055 ) ( 408240 4977610 )
NEW met2 ( 515760 4977055 ) ( 515760 4978350 )
NEW met3 ( 515760 4978350 ) ( 517440 4978350 )
NEW met3 ( 517440 4978350 ) ( 517440 4979090 0 )
NEW met1 ( 408240 4977055 ) ( 515760 4977055 )
NEW met1 ( 408240 4977055 ) M1M2_PR
NEW met1 ( 515760 4977055 ) M1M2_PR
NEW met2 ( 515760 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[72\] ( padframe mprj_io_dm[72] ) ( gpio_control_in\[24\] pad_gpio_dm[0] )
+ ROUTED met3 ( 204960 4472930 0 ) ( 204960 4475150 )
NEW met3 ( 204960 4817030 ) ( 209040 4817030 )
NEW met2 ( 209040 4817030 ) ( 209040 4818510 0 )
NEW met4 ( 204960 4475150 ) ( 204960 4817030 )
NEW met3 ( 204960 4475150 ) M3M4_PR_M
NEW met3 ( 204960 4817030 ) M3M4_PR_M
NEW met2 ( 209040 4817030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[73\] ( padframe mprj_io_dm[73] ) ( gpio_control_in\[24\] pad_gpio_dm[1] )
+ ROUTED met3 ( 202080 4476630 0 ) ( 202080 4478110 )
NEW met3 ( 202080 4825170 ) ( 209040 4825170 )
NEW met2 ( 209040 4825170 ) ( 209040 4827760 0 )
NEW met4 ( 202080 4478110 ) ( 202080 4825170 )
NEW met3 ( 202080 4478110 ) M3M4_PR_M
NEW met3 ( 202080 4825170 ) M3M4_PR_M
NEW met2 ( 209040 4825170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[74\] ( padframe mprj_io_dm[74] ) ( gpio_control_in\[24\] pad_gpio_dm[2] )
+ ROUTED met3 ( 209760 4480330 0 ) ( 209760 4483290 )
NEW met3 ( 209520 4795570 ) ( 209760 4795570 )
NEW met2 ( 209520 4795570 ) ( 209520 4797050 0 )
NEW met4 ( 209760 4483290 ) ( 209760 4795570 )
NEW met3 ( 209760 4483290 ) M3M4_PR_M
NEW met3 ( 209760 4795570 ) M3M4_PR_M
NEW met2 ( 209520 4795570 ) via2_FR
NEW met3 ( 209760 4795570 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[75\] ( padframe mprj_io_dm[75] ) ( gpio_control_in\[25\] pad_gpio_dm[0] )
+ ROUTED met2 ( 209040 3969730 0 ) ( 209040 3971210 )
NEW met3 ( 208800 3971210 ) ( 209040 3971210 )
NEW met4 ( 208800 3971210 ) ( 208800 4001550 )
NEW met3 ( 208800 4001550 ) ( 209040 4001550 )
NEW met2 ( 209040 4001550 ) ( 209040 4048170 )
NEW met3 ( 208800 4048170 ) ( 209040 4048170 )
NEW met3 ( 208800 4048170 ) ( 208800 4051130 0 )
NEW met2 ( 209040 3971210 ) via2_FR
NEW met3 ( 208800 3971210 ) M3M4_PR_M
NEW met3 ( 208800 4001550 ) M3M4_PR_M
NEW met2 ( 209040 4001550 ) via2_FR
NEW met2 ( 209040 4048170 ) via2_FR
NEW met3 ( 209040 3971210 ) RECT ( 0 -150 380 150 )
NEW met3 ( 208800 4001550 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_dm\[76\] ( padframe mprj_io_dm[76] ) ( gpio_control_in\[25\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 3978825 0 ) ( 210960 3981385 )
NEW met1 ( 210960 3981385 ) ( 215760 3981385 )
NEW met2 ( 215760 3981385 ) ( 215760 4051870 )
NEW met3 ( 211680 4051870 ) ( 215760 4051870 )
NEW met3 ( 211680 4051870 ) ( 211680 4054460 0 )
NEW met1 ( 210960 3981385 ) M1M2_PR
NEW met1 ( 215760 3981385 ) M1M2_PR
NEW met2 ( 215760 4051870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[77\] ( padframe mprj_io_dm[77] ) ( gpio_control_in\[25\] pad_gpio_dm[2] )
+ ROUTED met1 ( 210960 3949565 ) ( 215760 3949565 )
NEW met2 ( 210960 3947900 0 ) ( 210960 3949565 )
NEW met3 ( 213840 3960110 ) ( 215760 3960110 )
NEW met2 ( 213840 3960110 ) ( 213840 3974170 )
NEW met3 ( 213600 3974170 ) ( 213840 3974170 )
NEW met4 ( 213600 3974170 ) ( 213600 4002290 )
NEW met3 ( 208560 4002290 ) ( 213600 4002290 )
NEW met2 ( 208560 4002290 ) ( 208560 4055570 )
NEW met3 ( 208560 4055570 ) ( 208800 4055570 )
NEW met3 ( 208800 4055570 ) ( 208800 4058530 0 )
NEW met2 ( 215760 3949565 ) ( 215760 3960110 )
NEW met1 ( 215760 3949565 ) M1M2_PR
NEW met1 ( 210960 3949565 ) M1M2_PR
NEW met2 ( 215760 3960110 ) via2_FR
NEW met2 ( 213840 3960110 ) via2_FR
NEW met2 ( 213840 3974170 ) via2_FR
NEW met3 ( 213600 3974170 ) M3M4_PR_M
NEW met3 ( 213600 4002290 ) M3M4_PR_M
NEW met2 ( 208560 4002290 ) via2_FR
NEW met2 ( 208560 4055570 ) via2_FR
NEW met3 ( 213840 3974170 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_dm\[78\] ( padframe mprj_io_dm[78] ) ( gpio_control_in\[26\] pad_gpio_dm[0] )
+ ROUTED met1 ( 214320 3759015 ) ( 214320 3759385 )
NEW met1 ( 214320 3759385 ) ( 214800 3759385 )
NEW met2 ( 214800 3759385 ) ( 214800 3769745 )
NEW met1 ( 214800 3769745 ) ( 216240 3769745 )
NEW met2 ( 216240 3769745 ) ( 216240 3790465 )
NEW met1 ( 214800 3790465 ) ( 216240 3790465 )
NEW met2 ( 214800 3790465 ) ( 214800 3835050 )
NEW met3 ( 211680 3835050 0 ) ( 214800 3835050 )
NEW met2 ( 210480 3753650 0 ) ( 210480 3755685 )
NEW met1 ( 210480 3755685 ) ( 214320 3755685 )
NEW met2 ( 214320 3755685 ) ( 214320 3759015 )
NEW met1 ( 214320 3759015 ) M1M2_PR
NEW met1 ( 214800 3759385 ) M1M2_PR
NEW met1 ( 214800 3769745 ) M1M2_PR
NEW met1 ( 216240 3769745 ) M1M2_PR
NEW met1 ( 216240 3790465 ) M1M2_PR
NEW met1 ( 214800 3790465 ) M1M2_PR
NEW met2 ( 214800 3835050 ) via2_FR
NEW met1 ( 210480 3755685 ) M1M2_PR
NEW met1 ( 214320 3755685 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[79\] ( padframe mprj_io_dm[79] ) ( gpio_control_in\[26\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 3762900 0 ) ( 210480 3765305 )
NEW met1 ( 210480 3765305 ) ( 215760 3765305 )
NEW met2 ( 215760 3765305 ) ( 215760 3838750 )
NEW met3 ( 211680 3838750 0 ) ( 215760 3838750 )
NEW met1 ( 210480 3765305 ) M1M2_PR
NEW met1 ( 215760 3765305 ) M1M2_PR
NEW met2 ( 215760 3838750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[7\] ( padframe mprj_io_dm[7] ) ( gpio_control_in\[2\] pad_gpio_dm[1] )
+ ROUTED met3 ( 3374880 976430 ) ( 3377520 976430 )
NEW met2 ( 3377520 974210 0 ) ( 3377520 976430 )
NEW met3 ( 3374880 1081510 ) ( 3374880 1083730 0 )
NEW met4 ( 3374880 976430 ) ( 3374880 1081510 )
NEW met3 ( 3374880 976430 ) M3M4_PR_M
NEW met2 ( 3377520 976430 ) via2_FR
NEW met3 ( 3374880 1081510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[80\] ( padframe mprj_io_dm[80] ) ( gpio_control_in\[26\] pad_gpio_dm[2] )
+ ROUTED met1 ( 209520 3785285 ) ( 218160 3785285 )
NEW met2 ( 209520 3785285 ) ( 209520 3839490 )
NEW met3 ( 209520 3839490 ) ( 209760 3839490 )
NEW met3 ( 209760 3839490 ) ( 209760 3842450 0 )
NEW met2 ( 210960 3732005 0 ) ( 211440 3732005 )
NEW met2 ( 211440 3732005 ) ( 211440 3744585 )
NEW met1 ( 211440 3744585 ) ( 214320 3744585 )
NEW met2 ( 214320 3744585 ) ( 214320 3754945 )
NEW met1 ( 214320 3754945 ) ( 218160 3754945 )
NEW met2 ( 218160 3754945 ) ( 218160 3785285 )
NEW met1 ( 218160 3785285 ) M1M2_PR
NEW met1 ( 209520 3785285 ) M1M2_PR
NEW met2 ( 209520 3839490 ) via2_FR
NEW met1 ( 211440 3744585 ) M1M2_PR
NEW met1 ( 214320 3744585 ) M1M2_PR
NEW met1 ( 214320 3754945 ) M1M2_PR
NEW met1 ( 218160 3754945 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[81\] ( padframe mprj_io_dm[81] ) ( gpio_control_in\[27\] pad_gpio_dm[0] )
+ ROUTED met1 ( 214800 3557365 ) ( 214800 3557735 )
NEW met1 ( 214800 3557735 ) ( 216720 3557735 )
NEW met2 ( 216720 3557735 ) ( 216720 3569205 )
NEW met1 ( 210000 3569205 ) ( 216720 3569205 )
NEW met2 ( 210000 3569205 ) ( 210000 3616010 )
NEW met3 ( 209760 3616010 ) ( 210000 3616010 )
NEW met3 ( 209760 3616010 ) ( 209760 3618970 0 )
NEW met2 ( 210960 3537570 0 ) ( 210960 3539605 )
NEW met1 ( 210960 3539605 ) ( 218640 3539605 )
NEW met2 ( 218640 3539605 ) ( 218640 3556255 )
NEW met1 ( 215280 3556255 ) ( 218640 3556255 )
NEW met1 ( 215280 3556255 ) ( 215280 3556625 )
NEW met1 ( 214800 3556625 ) ( 215280 3556625 )
NEW met2 ( 214800 3556625 ) ( 214800 3557365 )
NEW met1 ( 214800 3557365 ) M1M2_PR
NEW met1 ( 216720 3557735 ) M1M2_PR
NEW met1 ( 216720 3569205 ) M1M2_PR
NEW met1 ( 210000 3569205 ) M1M2_PR
NEW met2 ( 210000 3616010 ) via2_FR
NEW met1 ( 210960 3539605 ) M1M2_PR
NEW met1 ( 218640 3539605 ) M1M2_PR
NEW met1 ( 218640 3556255 ) M1M2_PR
NEW met1 ( 214800 3556625 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[82\] ( padframe mprj_io_dm[82] ) ( gpio_control_in\[27\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 3589370 ) ( 211920 3589370 )
NEW met2 ( 210960 3589370 ) ( 210960 3620450 )
NEW met3 ( 210720 3620450 ) ( 210960 3620450 )
NEW met3 ( 210720 3620450 ) ( 210720 3622670 0 )
NEW met2 ( 210960 3546820 0 ) ( 210960 3549595 )
NEW met1 ( 210960 3549595 ) ( 211920 3549595 )
NEW met2 ( 211920 3549595 ) ( 211920 3589370 )
NEW met2 ( 210960 3620450 ) via2_FR
NEW met1 ( 210960 3549595 ) M1M2_PR
NEW met1 ( 211920 3549595 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[83\] ( padframe mprj_io_dm[83] ) ( gpio_control_in\[27\] pad_gpio_dm[2] )
+ ROUTED met3 ( 210480 3569390 ) ( 211680 3569390 )
NEW met2 ( 210480 3569390 ) ( 210480 3623410 )
NEW met3 ( 210480 3623410 ) ( 210720 3623410 )
NEW met3 ( 210720 3623410 ) ( 210720 3626370 0 )
NEW met2 ( 210480 3516110 0 ) ( 210480 3518515 )
NEW met1 ( 210480 3518515 ) ( 214320 3518515 )
NEW met2 ( 214320 3518515 ) ( 214320 3555330 )
NEW met3 ( 211680 3555330 ) ( 214320 3555330 )
NEW met4 ( 211680 3555330 ) ( 211680 3569390 )
NEW met3 ( 211680 3569390 ) M3M4_PR_M
NEW met2 ( 210480 3569390 ) via2_FR
NEW met2 ( 210480 3623410 ) via2_FR
NEW met1 ( 210480 3518515 ) M1M2_PR
NEW met1 ( 214320 3518515 ) M1M2_PR
NEW met2 ( 214320 3555330 ) via2_FR
NEW met3 ( 211680 3555330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_dm\[84\] ( padframe mprj_io_dm[84] ) ( gpio_control_in\[28\] pad_gpio_dm[0] )
+ ROUTED met1 ( 211440 3359785 ) ( 212400 3359785 )
NEW met2 ( 211440 3359785 ) ( 211440 3399930 )
NEW met3 ( 211440 3399930 ) ( 211680 3399930 )
NEW met3 ( 211680 3399930 ) ( 211680 3402890 0 )
NEW met2 ( 210960 3321490 0 ) ( 210960 3324265 )
NEW met1 ( 210960 3324265 ) ( 214320 3324265 )
NEW met2 ( 214320 3324265 ) ( 214320 3344615 )
NEW met1 ( 214320 3344615 ) ( 214800 3344615 )
NEW met1 ( 214800 3344615 ) ( 214800 3346095 )
NEW met1 ( 212400 3346095 ) ( 214800 3346095 )
NEW met2 ( 212400 3346095 ) ( 212400 3359785 )
NEW met1 ( 212400 3359785 ) M1M2_PR
NEW met1 ( 211440 3359785 ) M1M2_PR
NEW met2 ( 211440 3399930 ) via2_FR
NEW met1 ( 210960 3324265 ) M1M2_PR
NEW met1 ( 214320 3324265 ) M1M2_PR
NEW met1 ( 214320 3344615 ) M1M2_PR
NEW met1 ( 212400 3346095 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[85\] ( padframe mprj_io_dm[85] ) ( gpio_control_in\[28\] pad_gpio_dm[1] )
+ ROUTED met3 ( 209760 3404370 ) ( 210000 3404370 )
NEW met3 ( 209760 3404370 ) ( 209760 3406590 0 )
NEW met1 ( 210000 3353125 ) ( 214800 3353125 )
NEW met2 ( 214800 3333515 ) ( 214800 3353125 )
NEW met1 ( 210960 3333515 ) ( 214800 3333515 )
NEW met2 ( 210960 3330740 0 ) ( 210960 3333515 )
NEW met2 ( 210000 3353125 ) ( 210000 3404370 )
NEW met2 ( 210000 3404370 ) via2_FR
NEW met1 ( 210000 3353125 ) M1M2_PR
NEW met1 ( 214800 3353125 ) M1M2_PR
NEW met1 ( 214800 3333515 ) M1M2_PR
NEW met1 ( 210960 3333515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[86\] ( padframe mprj_io_dm[86] ) ( gpio_control_in\[28\] pad_gpio_dm[2] )
+ ROUTED met3 ( 209520 3407330 ) ( 209760 3407330 )
NEW met3 ( 209760 3407330 ) ( 209760 3410290 0 )
NEW met1 ( 209520 3353865 ) ( 216240 3353865 )
NEW met2 ( 216240 3342210 ) ( 216240 3353865 )
NEW met2 ( 215280 3342210 ) ( 216240 3342210 )
NEW met2 ( 215280 3312055 ) ( 215280 3342210 )
NEW met1 ( 211440 3312055 ) ( 215280 3312055 )
NEW met2 ( 211440 3300030 ) ( 211440 3312055 )
NEW met2 ( 210960 3300030 0 ) ( 211440 3300030 )
NEW met2 ( 209520 3353865 ) ( 209520 3407330 )
NEW met2 ( 209520 3407330 ) via2_FR
NEW met1 ( 209520 3353865 ) M1M2_PR
NEW met1 ( 216240 3353865 ) M1M2_PR
NEW met1 ( 215280 3312055 ) M1M2_PR
NEW met1 ( 211440 3312055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[87\] ( padframe mprj_io_dm[87] ) ( gpio_control_in\[29\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210480 3183850 ) ( 210720 3183850 )
NEW met3 ( 210720 3183850 ) ( 210720 3186810 0 )
NEW met1 ( 210480 3137045 ) ( 215280 3137045 )
NEW met2 ( 215280 3108185 ) ( 215280 3137045 )
NEW met1 ( 210960 3108185 ) ( 215280 3108185 )
NEW met2 ( 210960 3105625 0 ) ( 210960 3108185 )
NEW met2 ( 210480 3137045 ) ( 210480 3183850 )
NEW met2 ( 210480 3183850 ) via2_FR
NEW met1 ( 210480 3137045 ) M1M2_PR
NEW met1 ( 215280 3137045 ) M1M2_PR
NEW met1 ( 215280 3108185 ) M1M2_PR
NEW met1 ( 210960 3108185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[88\] ( padframe mprj_io_dm[88] ) ( gpio_control_in\[29\] pad_gpio_dm[1] )
+ ROUTED met3 ( 211680 3190510 0 ) ( 215760 3190510 )
NEW met2 ( 210960 3114825 0 ) ( 210960 3117435 )
NEW met1 ( 210960 3117435 ) ( 214800 3117435 )
NEW met2 ( 214800 3117435 ) ( 214800 3125945 )
NEW met1 ( 214800 3125945 ) ( 217200 3125945 )
NEW met2 ( 217200 3125945 ) ( 217200 3139265 )
NEW met1 ( 215760 3139265 ) ( 217200 3139265 )
NEW met2 ( 215760 3139265 ) ( 215760 3190510 )
NEW met2 ( 215760 3190510 ) via2_FR
NEW met1 ( 210960 3117435 ) M1M2_PR
NEW met1 ( 214800 3117435 ) M1M2_PR
NEW met1 ( 214800 3125945 ) M1M2_PR
NEW met1 ( 217200 3125945 ) M1M2_PR
NEW met1 ( 217200 3139265 ) M1M2_PR
NEW met1 ( 215760 3139265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[89\] ( padframe mprj_io_dm[89] ) ( gpio_control_in\[29\] pad_gpio_dm[2] )
+ ROUTED met3 ( 211680 3191990 ) ( 214800 3191990 )
NEW met3 ( 211680 3191990 ) ( 211680 3194580 0 )
NEW met2 ( 210960 3083950 0 ) ( 210960 3085985 )
NEW met1 ( 210960 3085985 ) ( 215760 3085985 )
NEW met2 ( 215760 3085985 ) ( 215760 3101155 )
NEW met1 ( 215760 3101155 ) ( 218160 3101155 )
NEW met2 ( 218160 3101155 ) ( 218160 3142225 )
NEW met1 ( 214800 3142225 ) ( 218160 3142225 )
NEW met2 ( 214800 3142225 ) ( 214800 3191990 )
NEW met2 ( 214800 3191990 ) via2_FR
NEW met1 ( 210960 3085985 ) M1M2_PR
NEW met1 ( 215760 3085985 ) M1M2_PR
NEW met1 ( 215760 3101155 ) M1M2_PR
NEW met1 ( 218160 3101155 ) M1M2_PR
NEW met1 ( 218160 3142225 ) M1M2_PR
NEW met1 ( 214800 3142225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[8\] ( padframe mprj_io_dm[8] ) ( gpio_control_in\[2\] pad_gpio_dm[2] )
+ ROUTED met1 ( 3372240 1006585 ) ( 3377040 1006585 )
NEW met2 ( 3377040 1004920 0 ) ( 3377040 1006585 )
NEW met3 ( 3372240 1087430 ) ( 3373920 1087430 0 )
NEW met2 ( 3372240 1006585 ) ( 3372240 1087430 )
NEW met1 ( 3372240 1006585 ) M1M2_PR
NEW met1 ( 3377040 1006585 ) M1M2_PR
NEW met2 ( 3372240 1087430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[90\] ( padframe mprj_io_dm[90] ) ( gpio_control_in\[30\] pad_gpio_dm[0] )
+ ROUTED met3 ( 210720 2968510 ) ( 210960 2968510 )
NEW met3 ( 210720 2968510 ) ( 210720 2971100 0 )
NEW met2 ( 210480 2889700 0 ) ( 210480 2892105 )
NEW met1 ( 210480 2892105 ) ( 214800 2892105 )
NEW met2 ( 214800 2892105 ) ( 214800 2920965 )
NEW met1 ( 210960 2920965 ) ( 214800 2920965 )
NEW met2 ( 210960 2920965 ) ( 210960 2968510 )
NEW met2 ( 210960 2968510 ) via2_FR
NEW met1 ( 210480 2892105 ) M1M2_PR
NEW met1 ( 214800 2892105 ) M1M2_PR
NEW met1 ( 214800 2920965 ) M1M2_PR
NEW met1 ( 210960 2920965 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[91\] ( padframe mprj_io_dm[91] ) ( gpio_control_in\[30\] pad_gpio_dm[1] )
+ ROUTED met3 ( 211680 2974430 0 ) ( 214320 2974430 )
NEW met2 ( 210480 2898950 0 ) ( 210480 2901355 )
NEW met1 ( 210480 2901355 ) ( 216240 2901355 )
NEW met2 ( 216240 2901355 ) ( 216240 2924850 )
NEW met2 ( 215760 2924850 ) ( 216240 2924850 )
NEW met2 ( 215760 2924850 ) ( 215760 2925405 )
NEW met1 ( 214320 2925405 ) ( 215760 2925405 )
NEW met2 ( 214320 2925405 ) ( 214320 2974430 )
NEW met2 ( 214320 2974430 ) via2_FR
NEW met1 ( 210480 2901355 ) M1M2_PR
NEW met1 ( 216240 2901355 ) M1M2_PR
NEW met1 ( 215760 2925405 ) M1M2_PR
NEW met1 ( 214320 2925405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[92\] ( padframe mprj_io_dm[92] ) ( gpio_control_in\[30\] pad_gpio_dm[2] )
+ ROUTED met3 ( 210480 2975910 ) ( 210720 2975910 )
NEW met3 ( 210720 2975910 ) ( 210720 2978870 0 )
NEW met3 ( 206880 2922630 ) ( 210480 2922630 )
NEW met4 ( 206880 2869350 ) ( 206880 2922630 )
NEW met3 ( 206880 2869350 ) ( 209040 2869350 )
NEW met2 ( 209040 2867870 0 ) ( 209040 2869350 )
NEW met2 ( 210480 2922630 ) ( 210480 2975910 )
NEW met2 ( 210480 2975910 ) via2_FR
NEW met2 ( 210480 2922630 ) via2_FR
NEW met3 ( 206880 2922630 ) M3M4_PR_M
NEW met3 ( 206880 2869350 ) M3M4_PR_M
NEW met2 ( 209040 2869350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[93\] ( padframe mprj_io_dm[93] ) ( gpio_control_in\[31\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210960 2673620 0 ) ( 210960 2676395 )
NEW met1 ( 210960 2676395 ) ( 213840 2676395 )
NEW met2 ( 213840 2724310 ) ( 214320 2724310 )
NEW met2 ( 214320 2724310 ) ( 214320 2755390 )
NEW met3 ( 211680 2755390 0 ) ( 214320 2755390 )
NEW met2 ( 213840 2676395 ) ( 213840 2724310 )
NEW met1 ( 210960 2676395 ) M1M2_PR
NEW met1 ( 213840 2676395 ) M1M2_PR
NEW met2 ( 214320 2755390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[94\] ( padframe mprj_io_dm[94] ) ( gpio_control_in\[31\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210480 2682870 0 ) ( 210480 2685275 )
NEW met1 ( 210480 2685275 ) ( 214320 2685275 )
NEW met2 ( 214320 2723570 ) ( 214800 2723570 )
NEW met2 ( 214800 2723570 ) ( 214800 2758350 )
NEW met3 ( 211680 2758350 0 ) ( 214800 2758350 )
NEW met2 ( 214320 2685275 ) ( 214320 2723570 )
NEW met1 ( 210480 2685275 ) M1M2_PR
NEW met1 ( 214320 2685275 ) M1M2_PR
NEW met2 ( 214800 2758350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[95\] ( padframe mprj_io_dm[95] ) ( gpio_control_in\[31\] pad_gpio_dm[2] )
+ ROUTED met2 ( 210960 2652005 0 ) ( 211440 2652005 )
NEW met2 ( 211440 2652005 ) ( 211440 2664185 )
NEW met1 ( 211440 2664185 ) ( 213360 2664185 )
NEW met1 ( 211920 2727825 ) ( 213360 2727825 )
NEW met2 ( 211920 2727825 ) ( 211920 2759830 )
NEW met3 ( 211680 2759830 ) ( 211920 2759830 )
NEW met3 ( 211680 2759830 ) ( 211680 2762790 0 )
NEW met2 ( 213360 2664185 ) ( 213360 2727825 )
NEW met1 ( 211440 2664185 ) M1M2_PR
NEW met1 ( 213360 2664185 ) M1M2_PR
NEW met1 ( 213360 2727825 ) M1M2_PR
NEW met1 ( 211920 2727825 ) M1M2_PR
NEW met2 ( 211920 2759830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[96\] ( padframe mprj_io_dm[96] ) ( gpio_control_in\[32\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 2035740 0 ) ( 210480 2037405 )
NEW met1 ( 210480 2037405 ) ( 215760 2037405 )
NEW met2 ( 215760 2055350 ) ( 216240 2055350 )
NEW met2 ( 216240 2055350 ) ( 216240 2067745 )
NEW met1 ( 210960 2067745 ) ( 216240 2067745 )
NEW met2 ( 210960 2067745 ) ( 210960 2116030 )
NEW met3 ( 210720 2116030 ) ( 210960 2116030 )
NEW met3 ( 210720 2116030 ) ( 210720 2117140 0 )
NEW met2 ( 215760 2037405 ) ( 215760 2055350 )
NEW met1 ( 210480 2037405 ) M1M2_PR
NEW met1 ( 215760 2037405 ) M1M2_PR
NEW met1 ( 216240 2067745 ) M1M2_PR
NEW met1 ( 210960 2067745 ) M1M2_PR
NEW met2 ( 210960 2116030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[97\] ( padframe mprj_io_dm[97] ) ( gpio_control_in\[32\] pad_gpio_dm[1] )
+ ROUTED met2 ( 210960 2044825 0 ) ( 210960 2047395 )
NEW met1 ( 210960 2047395 ) ( 213840 2047395 )
NEW met2 ( 213840 2047395 ) ( 213840 2120470 )
NEW met3 ( 211680 2120470 0 ) ( 213840 2120470 )
NEW met1 ( 210960 2047395 ) M1M2_PR
NEW met1 ( 213840 2047395 ) M1M2_PR
NEW met2 ( 213840 2120470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[98\] ( padframe mprj_io_dm[98] ) ( gpio_control_in\[32\] pad_gpio_dm[2] )
+ ROUTED met1 ( 211920 2055905 ) ( 215760 2055905 )
NEW met2 ( 215760 2055905 ) ( 215760 2121950 )
NEW met3 ( 211680 2121950 ) ( 215760 2121950 )
NEW met3 ( 211680 2121950 ) ( 211680 2124540 0 )
NEW met2 ( 210480 2013910 0 ) ( 210480 2014835 )
NEW met1 ( 210480 2014835 ) ( 211920 2014835 )
NEW met2 ( 211920 2014835 ) ( 211920 2055905 )
NEW met1 ( 211920 2055905 ) M1M2_PR
NEW met1 ( 215760 2055905 ) M1M2_PR
NEW met2 ( 215760 2121950 ) via2_FR
NEW met1 ( 210480 2014835 ) M1M2_PR
NEW met1 ( 211920 2014835 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_dm\[99\] ( padframe mprj_io_dm[99] ) ( gpio_control_in\[33\] pad_gpio_dm[0] )
+ ROUTED met2 ( 210480 1819660 0 ) ( 210480 1821325 )
NEW met1 ( 210480 1821325 ) ( 214800 1821325 )
NEW met1 ( 186960 1862765 ) ( 214800 1862765 )
NEW met2 ( 186960 1862765 ) ( 186960 1899950 )
NEW met3 ( 186720 1899950 ) ( 186960 1899950 )
NEW met3 ( 186720 1899950 ) ( 186720 1901060 0 )
NEW met2 ( 214800 1821325 ) ( 214800 1862765 )
NEW met1 ( 210480 1821325 ) M1M2_PR
NEW met1 ( 214800 1821325 ) M1M2_PR
NEW met1 ( 214800 1862765 ) M1M2_PR
NEW met1 ( 186960 1862765 ) M1M2_PR
NEW met2 ( 186960 1899950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_dm\[9\] ( padframe mprj_io_dm[9] ) ( gpio_control_in\[3\] pad_gpio_dm[0] )
+ ROUTED met1 ( 3370800 1211935 ) ( 3377040 1211935 )
NEW met2 ( 3377040 1209375 0 ) ( 3377040 1211935 )
NEW met3 ( 3370800 1305730 ) ( 3373920 1305730 )
NEW met3 ( 3373920 1305730 ) ( 3373920 1306100 0 )
NEW met2 ( 3370800 1211935 ) ( 3370800 1305730 )
NEW met1 ( 3370800 1211935 ) M1M2_PR
NEW met1 ( 3377040 1211935 ) M1M2_PR
NEW met2 ( 3370800 1305730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_enh\[0\] ( por porb_h ) ( padframe porb_h ) ( padframe mprj_io_enh[9] ) ( padframe mprj_io_enh[8] )
( padframe mprj_io_enh[7] ) ( padframe mprj_io_enh[6] ) ( padframe mprj_io_enh[5] ) ( padframe mprj_io_enh[4] ) ( padframe mprj_io_enh[3] )
( padframe mprj_io_enh[37] ) ( padframe mprj_io_enh[36] ) ( padframe mprj_io_enh[35] ) ( padframe mprj_io_enh[34] ) ( padframe mprj_io_enh[33] )
( padframe mprj_io_enh[32] ) ( padframe mprj_io_enh[31] ) ( padframe mprj_io_enh[30] ) ( padframe mprj_io_enh[2] ) ( padframe mprj_io_enh[29] )
( padframe mprj_io_enh[28] ) ( padframe mprj_io_enh[27] ) ( padframe mprj_io_enh[26] ) ( padframe mprj_io_enh[25] ) ( padframe mprj_io_enh[24] )
( padframe mprj_io_enh[23] ) ( padframe mprj_io_enh[22] ) ( padframe mprj_io_enh[21] ) ( padframe mprj_io_enh[20] ) ( padframe mprj_io_enh[1] )
( padframe mprj_io_enh[19] ) ( padframe mprj_io_enh[18] ) ( padframe mprj_io_enh[17] ) ( padframe mprj_io_enh[16] ) ( padframe mprj_io_enh[15] )
( padframe mprj_io_enh[14] ) ( padframe mprj_io_enh[13] ) ( padframe mprj_io_enh[12] ) ( padframe mprj_io_enh[11] ) ( padframe mprj_io_enh[10] )
( padframe mprj_io_enh[0] )
+ ROUTED met2 ( 209040 2658450 ) ( 209040 2661205 0 )
NEW met3 ( 208800 2658450 ) ( 209040 2658450 )
NEW met3 ( 210720 4810370 ) ( 215520 4810370 )
NEW met2 ( 209040 3955670 ) ( 209040 3957150 0 )
NEW met3 ( 208800 3955670 ) ( 209040 3955670 )
NEW met3 ( 210480 4808890 ) ( 210720 4808890 )
NEW met2 ( 210480 4806300 0 ) ( 210480 4808890 )
NEW met3 ( 210720 4808890 ) ( 210720 4810370 )
NEW met2 ( 416160 4977610 0 ) ( 417360 4977610 )
NEW met3 ( 1214880 4970210 ) ( 1214880 4970950 )
NEW met2 ( 1187280 4970210 ) ( 1187280 4977610 0 )
NEW met2 ( 2399280 4978350 0 ) ( 2400720 4978350 )
NEW met3 ( 2400720 4978350 ) ( 2444400 4978350 )
NEW met2 ( 2444400 4978350 ) ( 2444400 4996850 )
NEW met3 ( 2444400 4996850 ) ( 2488080 4996850 )
NEW met2 ( 2488080 4996850 ) ( 2488080 4997405 )
NEW met2 ( 2399280 4972430 ) ( 2399280 4978350 0 )
NEW met2 ( 2654640 4977610 ) ( 2656080 4977610 0 )
NEW met2 ( 2654640 4976870 ) ( 2654640 4977610 )
NEW met3 ( 2617200 4976870 ) ( 2654640 4976870 )
NEW met2 ( 2617200 4976870 ) ( 2617200 4999810 )
NEW met3 ( 2605920 4999810 ) ( 2617200 4999810 )
NEW met3 ( 2605920 4997590 ) ( 2605920 4999810 )
NEW met2 ( 2656080 4972430 ) ( 2656080 4977610 0 )
NEW met3 ( 215520 4810370 ) ( 310320 4810370 )
NEW met2 ( 3377040 995670 0 ) ( 3377040 997150 )
NEW met3 ( 3376800 997150 ) ( 3377040 997150 )
NEW met2 ( 3376080 995670 ) ( 3376560 995670 )
NEW met2 ( 3376560 995670 ) ( 3376560 996410 )
NEW met2 ( 3376560 996410 ) ( 3377040 996410 )
NEW met2 ( 3377520 1221740 0 ) ( 3377520 1223590 )
NEW met3 ( 3377520 1223590 ) ( 3377760 1223590 )
NEW met3 ( 3373920 1194730 ) ( 3375600 1194730 )
NEW met2 ( 3375600 1194730 ) ( 3375600 1221740 )
NEW met2 ( 3375600 1221740 ) ( 3377520 1221740 0 )
NEW met2 ( 3377520 1444110 ) ( 3377520 1446700 0 )
NEW met2 ( 3376080 1444110 ) ( 3377520 1444110 )
NEW met3 ( 3376800 1447810 ) ( 3377040 1447810 )
NEW met2 ( 3377040 1446700 0 ) ( 3377040 1447810 )
NEW met2 ( 3377040 1446700 0 ) ( 3377520 1446700 0 )
NEW met2 ( 3377520 1669070 ) ( 3377520 1671660 0 )
NEW met3 ( 3376800 1669070 ) ( 3377520 1669070 )
NEW met4 ( 3376800 1669995 ) ( 3378720 1669995 )
NEW met4 ( 3376800 1669070 ) ( 3376800 1669995 )
NEW met3 ( 3376080 1857770 ) ( 3378720 1857770 )
NEW met1 ( 3361200 2822175 ) ( 3375120 2822175 )
NEW met2 ( 3376560 3009795 ) ( 3377040 3009795 0 )
NEW met2 ( 3376560 3009795 ) ( 3376560 3018275 )
NEW met1 ( 3373200 3018275 ) ( 3376560 3018275 )
NEW met1 ( 3361200 3018275 ) ( 3373200 3018275 )
NEW met3 ( 3375120 3263770 ) ( 3377760 3263770 )
NEW met4 ( 3377760 3263770 ) ( 3377760 3296330 )
NEW met3 ( 3377760 3296330 ) ( 3382560 3296330 )
NEW met2 ( 3378960 3458390 ) ( 3378960 3460795 0 )
NEW met3 ( 3378960 3458390 ) ( 3382560 3458390 )
NEW met2 ( 3378960 3683350 ) ( 3378960 3685795 0 )
NEW met3 ( 3378960 3683350 ) ( 3382560 3683350 )
NEW met1 ( 3153840 4903055 ) ( 3372720 4903055 )
NEW met2 ( 310320 4810370 ) ( 310320 4967990 )
NEW met2 ( 2583600 4997405 ) ( 2583600 4997590 )
NEW met1 ( 2488080 4997405 ) ( 2583600 4997405 )
NEW met3 ( 2583600 4997590 ) ( 2605920 4997590 )
NEW met2 ( 3163920 4977610 ) ( 3165120 4977610 0 )
NEW met2 ( 3163920 4972430 ) ( 3163920 4977610 )
NEW met3 ( 2656080 4972430 ) ( 3163920 4972430 )
NEW met2 ( 3153840 4903055 ) ( 3153840 4972430 )
NEW met2 ( 3375120 544795 ) ( 3377040 544795 0 )
NEW met2 ( 3375120 544795 ) ( 3375120 545010 )
NEW met2 ( 3374640 545010 ) ( 3375120 545010 )
NEW met2 ( 3374640 545010 ) ( 3374640 553890 )
NEW met3 ( 3326880 553890 ) ( 3374640 553890 )
NEW met3 ( 3326880 553890 ) ( 3326880 554980 0 )
NEW met3 ( 3374640 553890 ) ( 3375840 553890 )
NEW met3 ( 3376080 965330 ) ( 3376800 965330 )
NEW met2 ( 3376080 965330 ) ( 3376080 995670 )
NEW met4 ( 3376800 1447810 ) ( 3376800 1669070 )
NEW met4 ( 3378720 1669995 ) ( 3378720 1857770 )
NEW met2 ( 3376080 1897730 ) ( 3377040 1897730 0 )
NEW met2 ( 3376080 1857770 ) ( 3376080 1897730 )
NEW met2 ( 3375120 2783880 ) ( 3376560 2783880 )
NEW met2 ( 3376560 2783510 ) ( 3376560 2783880 )
NEW met2 ( 3376560 2783510 ) ( 3377040 2783510 )
NEW met2 ( 3377040 2783510 ) ( 3377040 2783880 0 )
NEW met2 ( 3375120 2783880 ) ( 3375120 2822175 )
NEW met2 ( 3361200 2822175 ) ( 3361200 3018275 )
NEW met4 ( 3382560 3296330 ) ( 3382560 3458390 )
NEW met4 ( 3382560 3458390 ) ( 3382560 3683350 )
NEW met3 ( 3376560 4378950 ) ( 3378720 4378950 )
NEW met2 ( 3378480 4800750 ) ( 3378480 4802795 0 )
NEW met3 ( 3378480 4800750 ) ( 3378720 4800750 )
NEW met2 ( 3372720 4802795 ) ( 3378480 4802795 0 )
NEW met2 ( 3372720 4802795 ) ( 3372720 4903055 )
NEW met4 ( 3378720 4378950 ) ( 3378720 4800750 )
NEW met2 ( 210480 1804490 ) ( 210480 1807080 0 )
NEW met3 ( 210480 1804490 ) ( 211680 1804490 )
NEW met2 ( 209040 2021310 ) ( 209040 2023160 0 )
NEW met3 ( 208800 2021310 ) ( 209040 2021310 )
NEW met3 ( 209040 2021310 ) ( 211680 2021310 )
NEW met3 ( 208800 3970470 ) ( 215520 3970470 )
NEW met4 ( 208800 3955670 ) ( 208800 3970470 )
NEW met2 ( 619440 4979090 ) ( 619440 4997035 )
NEW met2 ( 1954320 4977610 0 ) ( 1955760 4977610 )
NEW met2 ( 1955760 4972430 ) ( 1955760 4977610 )
NEW met3 ( 1955040 4971690 ) ( 1955040 4972430 )
NEW met3 ( 1955040 4972430 ) ( 1955760 4972430 )
NEW met2 ( 3377040 770710 0 ) ( 3377040 772190 )
NEW met2 ( 3376560 772190 ) ( 3377040 772190 )
NEW met2 ( 3376560 772190 ) ( 3376560 775890 )
NEW met3 ( 3376560 775890 ) ( 3376800 775890 )
NEW met4 ( 3375840 774225 ) ( 3376800 774225 )
NEW met4 ( 3376800 774225 ) ( 3376800 775890 )
NEW met4 ( 3376800 775890 ) ( 3376800 965330 )
NEW met3 ( 3369120 1370110 ) ( 3369120 1371590 )
NEW met3 ( 3369120 1371590 ) ( 3376080 1371590 )
NEW met3 ( 3374880 1367890 ) ( 3376080 1367890 )
NEW met2 ( 3376080 1367890 ) ( 3376080 1371590 )
NEW met2 ( 3376080 1371590 ) ( 3376080 1444110 )
NEW met2 ( 3377040 3232135 ) ( 3377040 3234910 0 )
NEW met1 ( 3373200 3232135 ) ( 3377040 3232135 )
NEW met1 ( 3373200 3254335 ) ( 3375120 3254335 )
NEW met2 ( 3373200 3232135 ) ( 3373200 3254335 )
NEW met2 ( 3375120 3254335 ) ( 3375120 3263770 )
NEW met4 ( 211680 1733265 ) ( 212640 1733265 )
NEW met4 ( 211680 1733265 ) ( 211680 1804490 )
NEW met3 ( 208800 2095310 ) ( 211680 2095310 )
NEW met4 ( 208800 2095310 ) ( 208800 2658450 )
NEW met4 ( 211680 2021310 ) ( 211680 2095310 )
NEW met2 ( 209040 3093200 0 ) ( 209040 3095790 )
NEW met3 ( 208800 3095790 ) ( 209040 3095790 )
NEW met2 ( 209040 3523510 ) ( 209040 3525205 0 )
NEW met3 ( 207840 3523510 ) ( 209040 3523510 )
NEW met3 ( 208800 3523510 ) ( 208800 3524990 )
NEW met3 ( 310320 4967990 ) ( 417360 4967990 )
NEW met2 ( 417360 4967990 ) ( 417360 4977610 )
NEW met3 ( 3366960 633810 ) ( 3375840 633810 )
NEW met2 ( 3366960 633810 ) ( 3367430 633810 0 )
NEW met4 ( 3375840 553890 ) ( 3375840 633810 )
NEW met4 ( 3375840 633810 ) ( 3375840 774225 )
NEW met4 ( 3373920 1103895 ) ( 3376800 1103895 )
NEW met4 ( 3373920 1103895 ) ( 3373920 1194730 )
NEW met4 ( 3376800 997150 ) ( 3376800 1103895 )
NEW met3 ( 3374880 1286490 ) ( 3377760 1286490 )
NEW met4 ( 3374880 1286490 ) ( 3374880 1367890 )
NEW met4 ( 3377760 1223590 ) ( 3377760 1286490 )
NEW met2 ( 3373200 3018275 ) ( 3373200 3232135 )
NEW met2 ( 3378960 3909790 ) ( 3378960 3910900 0 )
NEW met3 ( 3378960 3909790 ) ( 3382560 3909790 )
NEW met2 ( 3376560 3910530 ) ( 3377040 3910530 )
NEW met2 ( 3377040 3910530 ) ( 3377040 3910900 0 )
NEW met2 ( 3377040 3910900 0 ) ( 3378960 3910900 0 )
NEW met4 ( 3382560 3683350 ) ( 3382560 3909790 )
NEW met2 ( 3377520 4161390 ) ( 3378000 4161390 )
NEW met2 ( 3376560 4356750 ) ( 3377040 4356750 0 )
NEW met2 ( 3376560 4356750 ) ( 3376560 4378950 )
NEW met1 ( 464880 4997035 ) ( 619440 4997035 )
NEW met2 ( 673200 4970210 ) ( 673200 4977610 0 )
NEW met2 ( 672720 4979090 ) ( 673200 4979090 0 )
NEW met2 ( 673200 4977610 0 ) ( 673200 4979090 0 )
NEW met3 ( 619440 4979090 ) ( 672720 4979090 )
NEW met2 ( 930000 4977610 ) ( 930240 4977610 0 )
NEW met2 ( 930000 4970210 ) ( 930000 4977610 )
NEW met3 ( 673200 4970210 ) ( 1214880 4970210 )
NEW met3 ( 1472160 4970950 ) ( 1472160 4971690 )
NEW met2 ( 1445040 4977610 ) ( 1445280 4977610 0 )
NEW met2 ( 1445040 4970950 ) ( 1445040 4977610 )
NEW met3 ( 1214880 4970950 ) ( 1472160 4970950 )
NEW met3 ( 1472160 4971690 ) ( 1955040 4971690 )
NEW met3 ( 1955760 4972430 ) ( 2399280 4972430 )
NEW met3 ( 417360 4967990 ) ( 464880 4967990 )
NEW met2 ( 464880 4967990 ) ( 464880 4997035 )
NEW met3 ( 207840 1493690 ) ( 212640 1493690 )
NEW met4 ( 212640 1411550 ) ( 212640 1493690 )
NEW met3 ( 209760 1411550 ) ( 212640 1411550 )
NEW met3 ( 212640 1713470 ) ( 215520 1713470 )
NEW met4 ( 212640 1713470 ) ( 212640 1733265 )
NEW met4 ( 208800 1863135 ) ( 209760 1863135 )
NEW met4 ( 209760 1841490 ) ( 209760 1863135 )
NEW met3 ( 209760 1841490 ) ( 211680 1841490 )
NEW met4 ( 211680 1804490 ) ( 211680 1841490 )
NEW met2 ( 209040 2874530 ) ( 209040 2877120 0 )
NEW met3 ( 208800 2874530 ) ( 209040 2874530 )
NEW met4 ( 208800 2658450 ) ( 208800 2874530 )
NEW met4 ( 208800 2874530 ) ( 208800 3095790 )
NEW met2 ( 209040 3306690 ) ( 209040 3309280 0 )
NEW met3 ( 208800 3306690 ) ( 209040 3306690 )
NEW met3 ( 206880 3306690 ) ( 208800 3306690 )
NEW met4 ( 208800 3095790 ) ( 208800 3306690 )
NEW met3 ( 209760 945350 ) ( 210000 945350 )
NEW met2 ( 210000 943130 0 ) ( 210000 945350 )
NEW met2 ( 209520 1157730 ) ( 209520 1159210 0 )
NEW met3 ( 209520 1157730 ) ( 209760 1157730 )
NEW met4 ( 209760 945350 ) ( 209760 1157730 )
NEW met2 ( 210000 1373810 ) ( 210000 1375290 0 )
NEW met3 ( 209760 1373810 ) ( 210000 1373810 )
NEW met2 ( 232560 1370110 ) ( 232560 1373810 )
NEW met3 ( 210000 1373810 ) ( 232560 1373810 )
NEW met4 ( 209760 1157730 ) ( 209760 1373810 )
NEW met4 ( 209760 1373810 ) ( 209760 1411550 )
NEW met3 ( 232560 1370110 ) ( 3369120 1370110 )
NEW met4 ( 208800 1863135 ) ( 208800 2021310 )
NEW met3 ( 206880 3328150 ) ( 206880 3328890 )
NEW met4 ( 206880 3328890 ) ( 206880 3374030 )
NEW met3 ( 206880 3374030 ) ( 207120 3374030 )
NEW met4 ( 206880 3306690 ) ( 206880 3328150 )
NEW met3 ( 207840 3555330 ) ( 207840 3556810 )
NEW met3 ( 207840 3555330 ) ( 208800 3555330 )
NEW met4 ( 208800 3524990 ) ( 208800 3555330 )
NEW met1 ( 3376560 4032075 ) ( 3378480 4032075 )
NEW met2 ( 3378480 4032075 ) ( 3378480 4132715 )
NEW met1 ( 3377520 4132715 ) ( 3378480 4132715 )
NEW met2 ( 3376560 3910530 ) ( 3376560 4032075 )
NEW met2 ( 3377520 4132715 ) ( 3377520 4161390 )
NEW met2 ( 3376560 4314755 ) ( 3377040 4314755 )
NEW met1 ( 3377040 4312905 ) ( 3377040 4314755 )
NEW met2 ( 3377040 4233725 ) ( 3377040 4312905 )
NEW met1 ( 3377040 4233725 ) ( 3378000 4233725 )
NEW met2 ( 3376560 4314755 ) ( 3376560 4356750 )
NEW met2 ( 3378000 4161390 ) ( 3378000 4233725 )
NEW met3 ( 207120 3463570 ) ( 207840 3463570 )
NEW met2 ( 207120 3374030 ) ( 207120 3463570 )
NEW met4 ( 207840 3463570 ) ( 207840 3523510 )
NEW met2 ( 209040 3741070 0 ) ( 209040 3743290 )
NEW met3 ( 208800 3743290 ) ( 209040 3743290 )
NEW met4 ( 207840 3744585 ) ( 208800 3744585 )
NEW met4 ( 207840 3556810 ) ( 207840 3744585 )
NEW met2 ( 210960 1591205 0 ) ( 210960 1593590 )
NEW met3 ( 210960 1593590 ) ( 215520 1593590 )
NEW met3 ( 207840 1593590 ) ( 210960 1593590 )
NEW met4 ( 207840 1493690 ) ( 207840 1593590 )
NEW met4 ( 215520 1593590 ) ( 215520 1713470 )
NEW met3 ( 215520 4203570 ) ( 215520 4205050 )
NEW met4 ( 215520 3970470 ) ( 215520 4203570 )
NEW met4 ( 215520 4205050 ) ( 215520 4810370 )
NEW met4 ( 208800 3743290 ) ( 208800 3955670 )
NEW met2 ( 209040 2658450 ) via2_FR
NEW met3 ( 208800 2658450 ) M3M4_PR_M
NEW met3 ( 215520 4810370 ) M3M4_PR_M
NEW met2 ( 209040 3955670 ) via2_FR
NEW met3 ( 208800 3955670 ) M3M4_PR_M
NEW met2 ( 210480 4808890 ) via2_FR
NEW met2 ( 1187280 4970210 ) via2_FR
NEW met2 ( 2400720 4978350 ) via2_FR
NEW met2 ( 2444400 4978350 ) via2_FR
NEW met2 ( 2444400 4996850 ) via2_FR
NEW met2 ( 2488080 4996850 ) via2_FR
NEW met1 ( 2488080 4997405 ) M1M2_PR
NEW met2 ( 2399280 4972430 ) via2_FR
NEW met2 ( 2654640 4976870 ) via2_FR
NEW met2 ( 2617200 4976870 ) via2_FR
NEW met2 ( 2617200 4999810 ) via2_FR
NEW met2 ( 2656080 4972430 ) via2_FR
NEW met2 ( 310320 4810370 ) via2_FR
NEW met1 ( 3153840 4903055 ) M1M2_PR
NEW met2 ( 3377040 997150 ) via2_FR
NEW met3 ( 3376800 997150 ) M3M4_PR_M
NEW met2 ( 3377520 1223590 ) via2_FR
NEW met3 ( 3377760 1223590 ) M3M4_PR_M
NEW met3 ( 3373920 1194730 ) M3M4_PR_M
NEW met2 ( 3375600 1194730 ) via2_FR
NEW met3 ( 3376800 1447810 ) M3M4_PR_M
NEW met2 ( 3377040 1447810 ) via2_FR
NEW met2 ( 3377520 1669070 ) via2_FR
NEW met3 ( 3376800 1669070 ) M3M4_PR_M
NEW met2 ( 3376080 1857770 ) via2_FR
NEW met3 ( 3378720 1857770 ) M3M4_PR_M
NEW met1 ( 3361200 2822175 ) M1M2_PR
NEW met1 ( 3375120 2822175 ) M1M2_PR
NEW met1 ( 3376560 3018275 ) M1M2_PR
NEW met1 ( 3373200 3018275 ) M1M2_PR
NEW met1 ( 3361200 3018275 ) M1M2_PR
NEW met2 ( 3375120 3263770 ) via2_FR
NEW met3 ( 3377760 3263770 ) M3M4_PR_M
NEW met3 ( 3377760 3296330 ) M3M4_PR_M
NEW met3 ( 3382560 3296330 ) M3M4_PR_M
NEW met2 ( 3378960 3458390 ) via2_FR
NEW met3 ( 3382560 3458390 ) M3M4_PR_M
NEW met2 ( 3378960 3683350 ) via2_FR
NEW met3 ( 3382560 3683350 ) M3M4_PR_M
NEW met1 ( 3372720 4903055 ) M1M2_PR
NEW met2 ( 310320 4967990 ) via2_FR
NEW met1 ( 2583600 4997405 ) M1M2_PR
NEW met2 ( 2583600 4997590 ) via2_FR
NEW met2 ( 3163920 4972430 ) via2_FR
NEW met2 ( 3153840 4972430 ) via2_FR
NEW met2 ( 3374640 553890 ) via2_FR
NEW met3 ( 3375840 553890 ) M3M4_PR_M
NEW met2 ( 3376080 965330 ) via2_FR
NEW met3 ( 3376800 965330 ) M3M4_PR_M
NEW met2 ( 3376560 4378950 ) via2_FR
NEW met3 ( 3378720 4378950 ) M3M4_PR_M
NEW met2 ( 3378480 4800750 ) via2_FR
NEW met3 ( 3378720 4800750 ) M3M4_PR_M
NEW met2 ( 210480 1804490 ) via2_FR
NEW met3 ( 211680 1804490 ) M3M4_PR_M
NEW met2 ( 209040 2021310 ) via2_FR
NEW met3 ( 208800 2021310 ) M3M4_PR_M
NEW met3 ( 211680 2021310 ) M3M4_PR_M
NEW met3 ( 208800 3970470 ) M3M4_PR_M
NEW met3 ( 215520 3970470 ) M3M4_PR_M
NEW met2 ( 619440 4979090 ) via2_FR
NEW met1 ( 619440 4997035 ) M1M2_PR
NEW met2 ( 1955760 4972430 ) via2_FR
NEW met2 ( 3376560 775890 ) via2_FR
NEW met3 ( 3376800 775890 ) M3M4_PR_M
NEW met2 ( 3376080 1371590 ) via2_FR
NEW met3 ( 3374880 1367890 ) M3M4_PR_M
NEW met2 ( 3376080 1367890 ) via2_FR
NEW met1 ( 3377040 3232135 ) M1M2_PR
NEW met1 ( 3373200 3232135 ) M1M2_PR
NEW met1 ( 3375120 3254335 ) M1M2_PR
NEW met1 ( 3373200 3254335 ) M1M2_PR
NEW met3 ( 208800 2095310 ) M3M4_PR_M
NEW met3 ( 211680 2095310 ) M3M4_PR_M
NEW met2 ( 209040 3095790 ) via2_FR
NEW met3 ( 208800 3095790 ) M3M4_PR_M
NEW met2 ( 209040 3523510 ) via2_FR
NEW met3 ( 207840 3523510 ) M3M4_PR_M
NEW met3 ( 208800 3524990 ) M3M4_PR_M
NEW met2 ( 417360 4967990 ) via2_FR
NEW met3 ( 3375840 633810 ) M3M4_PR_M
NEW met2 ( 3366960 633810 ) via2_FR
NEW met3 ( 3374880 1286490 ) M3M4_PR_M
NEW met3 ( 3377760 1286490 ) M3M4_PR_M
NEW met2 ( 3378960 3909790 ) via2_FR
NEW met3 ( 3382560 3909790 ) M3M4_PR_M
NEW met1 ( 464880 4997035 ) M1M2_PR
NEW met2 ( 673200 4970210 ) via2_FR
NEW met2 ( 672720 4979090 ) via2_FR
NEW met2 ( 930000 4970210 ) via2_FR
NEW met2 ( 1445040 4970950 ) via2_FR
NEW met2 ( 464880 4967990 ) via2_FR
NEW met3 ( 207840 1493690 ) M3M4_PR_M
NEW met3 ( 212640 1493690 ) M3M4_PR_M
NEW met3 ( 212640 1411550 ) M3M4_PR_M
NEW met3 ( 209760 1411550 ) M3M4_PR_M
NEW met3 ( 212640 1713470 ) M3M4_PR_M
NEW met3 ( 215520 1713470 ) M3M4_PR_M
NEW met3 ( 209760 1841490 ) M3M4_PR_M
NEW met3 ( 211680 1841490 ) M3M4_PR_M
NEW met2 ( 209040 2874530 ) via2_FR
NEW met3 ( 208800 2874530 ) M3M4_PR_M
NEW met2 ( 209040 3306690 ) via2_FR
NEW met3 ( 208800 3306690 ) M3M4_PR_M
NEW met3 ( 206880 3306690 ) M3M4_PR_M
NEW met3 ( 209760 945350 ) M3M4_PR_M
NEW met2 ( 210000 945350 ) via2_FR
NEW met2 ( 209520 1157730 ) via2_FR
NEW met3 ( 209760 1157730 ) M3M4_PR_M
NEW met2 ( 210000 1373810 ) via2_FR
NEW met3 ( 209760 1373810 ) M3M4_PR_M
NEW met2 ( 232560 1370110 ) via2_FR
NEW met2 ( 232560 1373810 ) via2_FR
NEW met3 ( 206880 3328150 ) M3M4_PR_M
NEW met3 ( 206880 3328890 ) M3M4_PR_M
NEW met3 ( 206880 3374030 ) M3M4_PR_M
NEW met2 ( 207120 3374030 ) via2_FR
NEW met3 ( 207840 3556810 ) M3M4_PR_M
NEW met3 ( 208800 3555330 ) M3M4_PR_M
NEW met1 ( 3376560 4032075 ) M1M2_PR
NEW met1 ( 3378480 4032075 ) M1M2_PR
NEW met1 ( 3378480 4132715 ) M1M2_PR
NEW met1 ( 3377520 4132715 ) M1M2_PR
NEW met1 ( 3377040 4314755 ) M1M2_PR
NEW met1 ( 3377040 4312905 ) M1M2_PR
NEW met1 ( 3377040 4233725 ) M1M2_PR
NEW met1 ( 3378000 4233725 ) M1M2_PR
NEW met2 ( 207120 3463570 ) via2_FR
NEW met3 ( 207840 3463570 ) M3M4_PR_M
NEW met2 ( 209040 3743290 ) via2_FR
NEW met3 ( 208800 3743290 ) M3M4_PR_M
NEW met2 ( 210960 1593590 ) via2_FR
NEW met3 ( 215520 1593590 ) M3M4_PR_M
NEW met3 ( 207840 1593590 ) M3M4_PR_M
NEW met3 ( 215520 4203570 ) M3M4_PR_M
NEW met3 ( 215520 4205050 ) M3M4_PR_M
NEW met3 ( 209040 2658450 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3955670 ) RECT ( 0 -150 380 150 )
NEW met3 ( 1187280 4970210 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3377040 997150 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3377520 1223590 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3376800 1447810 ) RECT ( -380 -150 0 150 )
NEW met3 ( 3153840 4972430 ) RECT ( -800 -150 0 150 )
NEW met3 ( 3378480 4800750 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209040 2021310 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3376560 775890 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209040 3095790 ) RECT ( 0 -150 380 150 )
NEW met3 ( 930000 4970210 ) RECT ( -800 -150 0 150 )
NEW met3 ( 1445040 4970950 ) RECT ( -800 -150 0 150 )
NEW met3 ( 209040 2874530 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209040 3306690 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 945350 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209520 1157730 ) RECT ( -380 -150 0 150 )
NEW met3 ( 210000 1373810 ) RECT ( 0 -150 380 150 )
NEW met3 ( 206880 3374030 ) RECT ( -380 -150 0 150 )
NEW met3 ( 209040 3743290 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[0\] ( padframe mprj_io_holdover[0] ) ( gpio_control_bidir\[0\] pad_gpio_holdover )
+ ROUTED met2 ( 3372240 595330 ) ( 3372720 595330 )
NEW met1 ( 3372720 566655 ) ( 3375600 566655 )
NEW met2 ( 3375600 557220 ) ( 3375600 566655 )
NEW met2 ( 3375600 557220 ) ( 3377040 557220 0 )
NEW met2 ( 3372720 566655 ) ( 3372720 595330 )
NEW met3 ( 3372240 640470 ) ( 3373920 640470 0 )
NEW met2 ( 3372240 595330 ) ( 3372240 640470 )
NEW met1 ( 3372720 566655 ) M1M2_PR
NEW met1 ( 3375600 566655 ) M1M2_PR
NEW met2 ( 3372240 640470 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[10\] ( padframe mprj_io_holdover[10] ) ( gpio_control_in\[10\] pad_gpio_holdover )
+ ROUTED met3 ( 3372960 3473190 ) ( 3376560 3473190 )
NEW met2 ( 3376560 3473190 ) ( 3377040 3473190 0 )
NEW met3 ( 3372960 3108370 ) ( 3373920 3108370 )
NEW met3 ( 3373920 3105410 0 ) ( 3373920 3108370 )
NEW met4 ( 3372960 3108370 ) ( 3372960 3473190 )
NEW met3 ( 3372960 3473190 ) M3M4_PR_M
NEW met2 ( 3376560 3473190 ) via2_FR
NEW met3 ( 3372960 3108370 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[11\] ( padframe mprj_io_holdover[11] ) ( gpio_control_in\[11\] pad_gpio_holdover )
+ ROUTED met3 ( 3373920 3696670 ) ( 3377520 3696670 )
NEW met2 ( 3377520 3696670 ) ( 3377520 3698150 0 )
NEW met3 ( 3373920 3330370 0 ) ( 3373920 3333330 )
NEW met4 ( 3373920 3333330 ) ( 3373920 3696670 )
NEW met3 ( 3373920 3696670 ) M3M4_PR_M
NEW met2 ( 3377520 3696670 ) via2_FR
NEW met3 ( 3373920 3333330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[12\] ( padframe mprj_io_holdover[12] ) ( gpio_control_in\[12\] pad_gpio_holdover )
+ ROUTED met3 ( 3380640 3556810 0 ) ( 3380640 3559770 )
NEW met3 ( 3378960 3920890 ) ( 3380640 3920890 )
NEW met2 ( 3378960 3920890 ) ( 3378960 3923110 0 )
NEW met4 ( 3380640 3559770 ) ( 3380640 3920890 )
NEW met3 ( 3380640 3559770 ) M3M4_PR_M
NEW met3 ( 3380640 3920890 ) M3M4_PR_M
NEW met2 ( 3378960 3920890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[13\] ( padframe mprj_io_holdover[13] ) ( gpio_control_in\[13\] pad_gpio_holdover )
+ ROUTED met3 ( 3372960 4367110 ) ( 3377040 4367110 )
NEW met2 ( 3377040 4367110 ) ( 3377040 4369330 0 )
NEW met3 ( 3372960 3784730 ) ( 3373920 3784730 )
NEW met3 ( 3373920 3781770 0 ) ( 3373920 3784730 )
NEW met4 ( 3372960 3784730 ) ( 3372960 4367110 )
NEW met3 ( 3372960 4367110 ) M3M4_PR_M
NEW met2 ( 3377040 4367110 ) via2_FR
NEW met3 ( 3372960 3784730 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[14\] ( padframe mprj_io_holdover[14] ) ( gpio_control_in\[14\] pad_gpio_holdover )
+ ROUTED met3 ( 3372000 4673470 ) ( 3373920 4673470 0 )
NEW met3 ( 3372000 4812590 ) ( 3376080 4812590 )
NEW met2 ( 3376080 4812590 ) ( 3376080 4815180 )
NEW met2 ( 3376080 4815180 ) ( 3377040 4815180 0 )
NEW met4 ( 3372000 4673470 ) ( 3372000 4812590 )
NEW met3 ( 3372000 4673470 ) M3M4_PR_M
NEW met3 ( 3372000 4812590 ) M3M4_PR_M
NEW met2 ( 3376080 4812590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[15\] ( padframe mprj_io_holdover[15] ) ( gpio_control_in\[15\] pad_gpio_holdover )
+ ROUTED met2 ( 2872560 4987045 ) ( 2872560 5018865 )
NEW met2 ( 3067440 4987045 ) ( 3067440 4999255 )
NEW met2 ( 2782800 5018865 ) ( 2782800 5019050 )
NEW met3 ( 2781600 5019050 0 ) ( 2782800 5019050 )
NEW met1 ( 2782800 5018865 ) ( 2872560 5018865 )
NEW met1 ( 2872560 4987045 ) ( 3067440 4987045 )
NEW met2 ( 3150960 4977610 ) ( 3152880 4977610 0 )
NEW met2 ( 3150960 4977610 ) ( 3150960 4977795 )
NEW met1 ( 3127920 4977795 ) ( 3150960 4977795 )
NEW met2 ( 3127920 4977795 ) ( 3127920 4999255 )
NEW met1 ( 3067440 4999255 ) ( 3127920 4999255 )
NEW met1 ( 2872560 5018865 ) M1M2_PR
NEW met1 ( 2872560 4987045 ) M1M2_PR
NEW met1 ( 3067440 4987045 ) M1M2_PR
NEW met1 ( 3067440 4999255 ) M1M2_PR
NEW met1 ( 2782800 5018865 ) M1M2_PR
NEW met2 ( 2782800 5019050 ) via2_FR
NEW met1 ( 3150960 4977795 ) M1M2_PR
NEW met1 ( 3127920 4977795 ) M1M2_PR
NEW met1 ( 3127920 4999255 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[16\] ( padframe mprj_io_holdover[16] ) ( gpio_control_in\[16\] pad_gpio_holdover )
+ ROUTED met2 ( 2642160 4978350 ) ( 2643840 4978350 0 )
NEW met2 ( 2642160 4978350 ) ( 2642160 4978535 )
NEW met1 ( 2620560 4978535 ) ( 2642160 4978535 )
NEW met2 ( 2620560 4978535 ) ( 2620560 4997590 )
NEW met3 ( 2607600 4997590 ) ( 2620560 4997590 )
NEW met2 ( 2607600 4997590 ) ( 2607600 5011465 )
NEW met2 ( 2525520 5011465 ) ( 2525520 5011650 )
NEW met3 ( 2524800 5011650 0 ) ( 2525520 5011650 )
NEW met1 ( 2525520 5011465 ) ( 2607600 5011465 )
NEW met1 ( 2607600 5011465 ) M1M2_PR
NEW met1 ( 2642160 4978535 ) M1M2_PR
NEW met1 ( 2620560 4978535 ) M1M2_PR
NEW met2 ( 2620560 4997590 ) via2_FR
NEW met2 ( 2607600 4997590 ) via2_FR
NEW met1 ( 2525520 5011465 ) M1M2_PR
NEW met2 ( 2525520 5011650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[17\] ( padframe mprj_io_holdover[17] ) ( gpio_control_in\[17\] pad_gpio_holdover )
+ ROUTED met2 ( 2385360 4979090 ) ( 2386800 4979090 0 )
NEW met3 ( 2332560 4979090 ) ( 2385360 4979090 )
NEW met2 ( 2332560 4979090 ) ( 2332560 5019235 )
NEW met2 ( 2140560 5019050 ) ( 2140560 5019235 )
NEW met3 ( 2139360 5019050 0 ) ( 2140560 5019050 )
NEW met1 ( 2140560 5019235 ) ( 2332560 5019235 )
NEW met1 ( 2332560 5019235 ) M1M2_PR
NEW met2 ( 2385360 4979090 ) via2_FR
NEW met2 ( 2332560 4979090 ) via2_FR
NEW met1 ( 2140560 5019235 ) M1M2_PR
NEW met2 ( 2140560 5019050 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[18\] ( padframe mprj_io_holdover[18] ) ( gpio_control_in\[18\] pad_gpio_holdover )
+ ROUTED met2 ( 1829040 4972430 ) ( 1829040 4978905 )
NEW met1 ( 1805040 4978905 ) ( 1829040 4978905 )
NEW met2 ( 1805040 4978905 ) ( 1805040 4979090 )
NEW met3 ( 1802400 4979090 0 ) ( 1805040 4979090 )
NEW met2 ( 1941840 4972430 ) ( 1941840 4977610 0 )
NEW met3 ( 1829040 4972430 ) ( 1941840 4972430 )
NEW met2 ( 1829040 4972430 ) via2_FR
NEW met1 ( 1829040 4978905 ) M1M2_PR
NEW met1 ( 1805040 4978905 ) M1M2_PR
NEW met2 ( 1805040 4979090 ) via2_FR
NEW met2 ( 1941840 4972430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[19\] ( padframe mprj_io_holdover[19] ) ( gpio_control_in\[19\] pad_gpio_holdover )
+ ROUTED met2 ( 1432800 4977610 0 ) ( 1434000 4977610 )
NEW met2 ( 1548240 5026265 ) ( 1548240 5026450 )
NEW met3 ( 1548240 5026450 ) ( 1550880 5026450 0 )
NEW met2 ( 1497840 4977610 ) ( 1497840 5026265 )
NEW met3 ( 1434000 4977610 ) ( 1497840 4977610 )
NEW met1 ( 1497840 5026265 ) ( 1548240 5026265 )
NEW met2 ( 1434000 4977610 ) via2_FR
NEW met1 ( 1548240 5026265 ) M1M2_PR
NEW met2 ( 1548240 5026450 ) via2_FR
NEW met2 ( 1497840 4977610 ) via2_FR
NEW met1 ( 1497840 5026265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[1\] ( padframe mprj_io_holdover[1] ) ( gpio_control_bidir\[1\] pad_gpio_holdover )
+ ROUTED met3 ( 3374640 865430 ) ( 3374880 865430 )
NEW met3 ( 3374880 865430 ) ( 3374880 866910 0 )
NEW met2 ( 3374160 812890 ) ( 3374640 812890 )
NEW met2 ( 3374160 784585 ) ( 3374160 812890 )
NEW met1 ( 3374160 784585 ) ( 3377520 784585 )
NEW met2 ( 3377520 783290 0 ) ( 3377520 784585 )
NEW met2 ( 3374640 812890 ) ( 3374640 865430 )
NEW met2 ( 3374640 865430 ) via2_FR
NEW met1 ( 3374160 784585 ) M1M2_PR
NEW met1 ( 3377520 784585 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[20\] ( padframe mprj_io_holdover[20] ) ( gpio_control_in\[20\] pad_gpio_holdover )
+ ROUTED met2 ( 1238640 4969285 ) ( 1238640 4969470 )
NEW met2 ( 1238640 4969470 ) ( 1239120 4969470 )
NEW met2 ( 1239120 4969470 ) ( 1239120 4970765 )
NEW met1 ( 1239120 4970765 ) ( 1252560 4970765 )
NEW met2 ( 1252560 4970765 ) ( 1252560 4975390 )
NEW met2 ( 1174800 4969285 ) ( 1174800 4977610 0 )
NEW met1 ( 1174800 4969285 ) ( 1238640 4969285 )
NEW met3 ( 1292640 4975390 ) ( 1292640 4979090 0 )
NEW met3 ( 1252560 4975390 ) ( 1292640 4975390 )
NEW met1 ( 1238640 4969285 ) M1M2_PR
NEW met1 ( 1239120 4970765 ) M1M2_PR
NEW met1 ( 1252560 4970765 ) M1M2_PR
NEW met2 ( 1252560 4975390 ) via2_FR
NEW met1 ( 1174800 4969285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[21\] ( padframe mprj_io_holdover[21] ) ( gpio_control_in\[21\] pad_gpio_holdover )
+ ROUTED met2 ( 1033200 4977055 ) ( 1033200 4979830 )
NEW met3 ( 1033200 4979830 ) ( 1035360 4979830 0 )
NEW met2 ( 918960 4977055 ) ( 918960 4977610 )
NEW met2 ( 917760 4977610 0 ) ( 918960 4977610 )
NEW met1 ( 918960 4977055 ) ( 1033200 4977055 )
NEW met1 ( 1033200 4977055 ) M1M2_PR
NEW met2 ( 1033200 4979830 ) via2_FR
NEW met1 ( 918960 4977055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[22\] ( padframe mprj_io_holdover[22] ) ( gpio_control_in\[22\] pad_gpio_holdover )
+ ROUTED met2 ( 775920 4997405 ) ( 775920 4997590 )
NEW met3 ( 775920 4997590 ) ( 778560 4997590 0 )
NEW met2 ( 660720 4979090 0 ) ( 662160 4979090 )
NEW met2 ( 662160 4978905 ) ( 662160 4979090 )
NEW met1 ( 662160 4978905 ) ( 662160 4979645 )
NEW met1 ( 662160 4979645 ) ( 720720 4979645 )
NEW met2 ( 720720 4979645 ) ( 720720 4997405 )
NEW met1 ( 720720 4997405 ) ( 775920 4997405 )
NEW met1 ( 775920 4997405 ) M1M2_PR
NEW met2 ( 775920 4997590 ) via2_FR
NEW met1 ( 662160 4978905 ) M1M2_PR
NEW met1 ( 720720 4979645 ) M1M2_PR
NEW met1 ( 720720 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[23\] ( padframe mprj_io_holdover[23] ) ( gpio_control_in\[23\] pad_gpio_holdover )
+ ROUTED met2 ( 403920 4979090 0 ) ( 405360 4979090 )
NEW met2 ( 405360 4978905 ) ( 405360 4979090 )
NEW met1 ( 405360 4978905 ) ( 405360 4980015 )
NEW met2 ( 519600 4979830 ) ( 519600 4980015 )
NEW met3 ( 519600 4979830 ) ( 521760 4979830 0 )
NEW met1 ( 405360 4980015 ) ( 519600 4980015 )
NEW met1 ( 405360 4978905 ) M1M2_PR
NEW met1 ( 519600 4980015 ) M1M2_PR
NEW met2 ( 519600 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[24\] ( padframe mprj_io_holdover[24] ) ( gpio_control_in\[24\] pad_gpio_holdover )
+ ROUTED met3 ( 210720 4484770 0 ) ( 210720 4487730 )
NEW met3 ( 210480 4791870 ) ( 210720 4791870 )
NEW met2 ( 210480 4791870 ) ( 210480 4793720 0 )
NEW met4 ( 210720 4487730 ) ( 210720 4791870 )
NEW met3 ( 210720 4487730 ) M3M4_PR_M
NEW met3 ( 210720 4791870 ) M3M4_PR_M
NEW met2 ( 210480 4791870 ) via2_FR
NEW met3 ( 210720 4791870 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[25\] ( padframe mprj_io_holdover[25] ) ( gpio_control_in\[25\] pad_gpio_holdover )
+ ROUTED met2 ( 210960 3944785 0 ) ( 211440 3944785 )
NEW met2 ( 211440 3944785 ) ( 211440 3950305 )
NEW met1 ( 211440 3950305 ) ( 214320 3950305 )
NEW met2 ( 214320 4019310 ) ( 215280 4019310 )
NEW met2 ( 215280 4019310 ) ( 215280 4052610 )
NEW met2 ( 215280 4052610 ) ( 215760 4052610 )
NEW met2 ( 214320 3950305 ) ( 214320 4019310 )
NEW met3 ( 211680 4061490 ) ( 215760 4061490 )
NEW met3 ( 211680 4061490 ) ( 211680 4062600 0 )
NEW met2 ( 215760 4052610 ) ( 215760 4061490 )
NEW met1 ( 211440 3950305 ) M1M2_PR
NEW met1 ( 214320 3950305 ) M1M2_PR
NEW met2 ( 215760 4061490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[26\] ( padframe mprj_io_holdover[26] ) ( gpio_control_in\[26\] pad_gpio_holdover )
+ ROUTED met1 ( 212400 3786395 ) ( 217680 3786395 )
NEW met2 ( 212400 3786395 ) ( 212400 3845410 )
NEW met3 ( 211680 3845410 ) ( 212400 3845410 )
NEW met3 ( 211680 3845410 ) ( 211680 3846890 0 )
NEW met2 ( 210480 3728860 0 ) ( 210480 3730525 )
NEW met1 ( 210480 3730525 ) ( 215760 3730525 )
NEW met2 ( 215760 3730525 ) ( 215760 3751245 )
NEW met1 ( 215760 3751245 ) ( 217680 3751245 )
NEW met2 ( 217680 3751245 ) ( 217680 3786395 )
NEW met1 ( 217680 3786395 ) M1M2_PR
NEW met1 ( 212400 3786395 ) M1M2_PR
NEW met2 ( 212400 3845410 ) via2_FR
NEW met1 ( 210480 3730525 ) M1M2_PR
NEW met1 ( 215760 3730525 ) M1M2_PR
NEW met1 ( 215760 3751245 ) M1M2_PR
NEW met1 ( 217680 3751245 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[27\] ( padframe mprj_io_holdover[27] ) ( gpio_control_in\[27\] pad_gpio_holdover )
+ ROUTED met1 ( 208560 3570315 ) ( 218160 3570315 )
NEW met2 ( 208560 3570315 ) ( 208560 3629330 )
NEW met3 ( 208560 3629330 ) ( 208800 3629330 )
NEW met3 ( 208800 3629330 ) ( 208800 3630810 0 )
NEW met2 ( 210960 3512780 0 ) ( 210960 3514445 )
NEW met1 ( 210960 3514445 ) ( 214800 3514445 )
NEW met2 ( 214800 3514445 ) ( 214800 3536275 )
NEW met1 ( 214800 3536275 ) ( 218160 3536275 )
NEW met2 ( 218160 3536275 ) ( 218160 3570315 )
NEW met1 ( 218160 3570315 ) M1M2_PR
NEW met1 ( 208560 3570315 ) M1M2_PR
NEW met2 ( 208560 3629330 ) via2_FR
NEW met1 ( 210960 3514445 ) M1M2_PR
NEW met1 ( 214800 3514445 ) M1M2_PR
NEW met1 ( 214800 3536275 ) M1M2_PR
NEW met1 ( 218160 3536275 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[28\] ( padframe mprj_io_holdover[28] ) ( gpio_control_in\[28\] pad_gpio_holdover )
+ ROUTED met2 ( 210960 3296700 0 ) ( 210960 3298365 )
NEW met1 ( 210960 3298365 ) ( 214800 3298365 )
NEW met3 ( 214560 3332590 ) ( 214800 3332590 )
NEW met4 ( 214560 3332590 ) ( 214560 3414730 )
NEW met3 ( 211680 3414730 0 ) ( 214560 3414730 )
NEW met2 ( 214800 3298365 ) ( 214800 3332590 )
NEW met1 ( 210960 3298365 ) M1M2_PR
NEW met1 ( 214800 3298365 ) M1M2_PR
NEW met2 ( 214800 3332590 ) via2_FR
NEW met3 ( 214560 3332590 ) M3M4_PR_M
NEW met3 ( 214560 3414730 ) M3M4_PR_M
NEW met3 ( 214800 3332590 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[29\] ( padframe mprj_io_holdover[29] ) ( gpio_control_in\[29\] pad_gpio_holdover )
+ ROUTED met3 ( 209520 3197170 ) ( 209760 3197170 )
NEW met3 ( 209760 3197170 ) ( 209760 3198650 0 )
NEW met3 ( 209520 3137230 ) ( 210720 3137230 )
NEW met4 ( 210720 3122430 ) ( 210720 3137230 )
NEW met3 ( 210720 3122430 ) ( 212400 3122430 )
NEW met2 ( 212400 3116695 ) ( 212400 3122430 )
NEW met1 ( 212400 3116695 ) ( 214800 3116695 )
NEW met2 ( 214800 3083395 ) ( 214800 3116695 )
NEW met1 ( 210960 3083395 ) ( 214800 3083395 )
NEW met2 ( 210960 3080785 0 ) ( 210960 3083395 )
NEW met2 ( 209520 3137230 ) ( 209520 3197170 )
NEW met2 ( 209520 3197170 ) via2_FR
NEW met2 ( 209520 3137230 ) via2_FR
NEW met3 ( 210720 3137230 ) M3M4_PR_M
NEW met3 ( 210720 3122430 ) M3M4_PR_M
NEW met2 ( 212400 3122430 ) via2_FR
NEW met1 ( 212400 3116695 ) M1M2_PR
NEW met1 ( 214800 3116695 ) M1M2_PR
NEW met1 ( 214800 3083395 ) M1M2_PR
NEW met1 ( 210960 3083395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[2\] ( padframe mprj_io_holdover[2] ) ( gpio_control_in\[2\] pad_gpio_holdover )
+ ROUTED met1 ( 3375120 1025085 ) ( 3375600 1025085 )
NEW met1 ( 3375120 1023975 ) ( 3375120 1025085 )
NEW met2 ( 3375120 1008250 ) ( 3375120 1023975 )
NEW met2 ( 3375120 1008250 ) ( 3377040 1008250 0 )
NEW met3 ( 3375600 1090390 ) ( 3375840 1090390 )
NEW met3 ( 3375840 1090390 ) ( 3375840 1091870 0 )
NEW met2 ( 3375600 1025085 ) ( 3375600 1090390 )
NEW met1 ( 3375600 1025085 ) M1M2_PR
NEW met1 ( 3375120 1023975 ) M1M2_PR
NEW met2 ( 3375600 1090390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[30\] ( padframe mprj_io_holdover[30] ) ( gpio_control_in\[30\] pad_gpio_holdover )
+ ROUTED met3 ( 209760 2981090 ) ( 210000 2981090 )
NEW met3 ( 209760 2981090 ) ( 209760 2982570 0 )
NEW met3 ( 210000 2921150 ) ( 211680 2921150 )
NEW met4 ( 211680 2906350 ) ( 211680 2921150 )
NEW met3 ( 211680 2906350 ) ( 214320 2906350 )
NEW met2 ( 214320 2867315 ) ( 214320 2906350 )
NEW met1 ( 210480 2867315 ) ( 214320 2867315 )
NEW met2 ( 210480 2864910 0 ) ( 210480 2867315 )
NEW met2 ( 210000 2921150 ) ( 210000 2981090 )
NEW met2 ( 210000 2981090 ) via2_FR
NEW met2 ( 210000 2921150 ) via2_FR
NEW met3 ( 211680 2921150 ) M3M4_PR_M
NEW met3 ( 211680 2906350 ) M3M4_PR_M
NEW met2 ( 214320 2906350 ) via2_FR
NEW met1 ( 214320 2867315 ) M1M2_PR
NEW met1 ( 210480 2867315 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[31\] ( padframe mprj_io_holdover[31] ) ( gpio_control_in\[31\] pad_gpio_holdover )
+ ROUTED met2 ( 210960 2648830 0 ) ( 211440 2648830 )
NEW met2 ( 211440 2648830 ) ( 211440 2651605 )
NEW met1 ( 211440 2651605 ) ( 214320 2651605 )
NEW met2 ( 214320 2651605 ) ( 214320 2684350 )
NEW met3 ( 214320 2684350 ) ( 215520 2684350 )
NEW met3 ( 210480 2705070 ) ( 215520 2705070 )
NEW met2 ( 210480 2705070 ) ( 210480 2765010 )
NEW met3 ( 210480 2765010 ) ( 210720 2765010 )
NEW met3 ( 210720 2765010 ) ( 210720 2766490 0 )
NEW met4 ( 215520 2684350 ) ( 215520 2705070 )
NEW met1 ( 211440 2651605 ) M1M2_PR
NEW met1 ( 214320 2651605 ) M1M2_PR
NEW met2 ( 214320 2684350 ) via2_FR
NEW met3 ( 215520 2684350 ) M3M4_PR_M
NEW met3 ( 215520 2705070 ) M3M4_PR_M
NEW met2 ( 210480 2705070 ) via2_FR
NEW met2 ( 210480 2765010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[32\] ( padframe mprj_io_holdover[32] ) ( gpio_control_in\[32\] pad_gpio_holdover )
+ ROUTED met3 ( 202080 2012430 ) ( 209040 2012430 )
NEW met2 ( 209040 2010785 0 ) ( 209040 2012430 )
NEW met3 ( 202080 2125650 ) ( 202080 2128610 0 )
NEW met4 ( 202080 2012430 ) ( 202080 2125650 )
NEW met3 ( 202080 2012430 ) M3M4_PR_M
NEW met2 ( 209040 2012430 ) via2_FR
NEW met3 ( 202080 2125650 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[33\] ( padframe mprj_io_holdover[33] ) ( gpio_control_in\[33\] pad_gpio_holdover )
+ ROUTED met3 ( 210480 1797090 ) ( 210720 1797090 )
NEW met2 ( 210480 1794870 0 ) ( 210480 1797090 )
NEW met3 ( 210720 1842230 ) ( 210720 1843710 )
NEW met4 ( 210720 1843710 ) ( 210720 1909570 )
NEW met3 ( 210720 1909570 ) ( 210720 1912530 0 )
NEW met4 ( 210720 1797090 ) ( 210720 1842230 )
NEW met3 ( 210720 1797090 ) M3M4_PR_M
NEW met2 ( 210480 1797090 ) via2_FR
NEW met3 ( 210720 1842230 ) M3M4_PR_M
NEW met3 ( 210720 1843710 ) M3M4_PR_M
NEW met3 ( 210720 1909570 ) M3M4_PR_M
NEW met3 ( 210720 1797090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_holdover\[34\] ( padframe mprj_io_holdover[34] ) ( gpio_control_in\[34\] pad_gpio_holdover )
+ ROUTED met3 ( 202080 1580270 ) ( 209040 1580270 )
NEW met2 ( 209040 1578790 0 ) ( 209040 1580270 )
NEW met3 ( 202080 1693490 ) ( 202080 1696450 0 )
NEW met4 ( 202080 1580270 ) ( 202080 1693490 )
NEW met3 ( 202080 1580270 ) M3M4_PR_M
NEW met2 ( 209040 1580270 ) via2_FR
NEW met3 ( 202080 1693490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[35\] ( padframe mprj_io_holdover[35] ) ( gpio_control_in\[35\] pad_gpio_holdover )
+ ROUTED met3 ( 202080 1364190 ) ( 209040 1364190 )
NEW met2 ( 209040 1362710 0 ) ( 209040 1364190 )
NEW met3 ( 202080 1477410 ) ( 202080 1480370 0 )
NEW met4 ( 202080 1364190 ) ( 202080 1477410 )
NEW met3 ( 202080 1364190 ) M3M4_PR_M
NEW met2 ( 209040 1364190 ) via2_FR
NEW met3 ( 202080 1477410 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[36\] ( padframe mprj_io_holdover[36] ) ( gpio_control_in\[36\] pad_gpio_holdover )
+ ROUTED met3 ( 205920 1148850 ) ( 209040 1148850 )
NEW met2 ( 209040 1146785 0 ) ( 209040 1148850 )
NEW met3 ( 205920 1262070 ) ( 205920 1264660 0 )
NEW met4 ( 205920 1148850 ) ( 205920 1262070 )
NEW met3 ( 205920 1148850 ) M3M4_PR_M
NEW met2 ( 209040 1148850 ) via2_FR
NEW met3 ( 205920 1262070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_holdover\[37\] ( padframe mprj_io_holdover[37] ) ( gpio_control_in\[37\] pad_gpio_holdover )
+ ROUTED met3 ( 209760 1045990 ) ( 210000 1045990 )
NEW met3 ( 209760 1045990 ) ( 209760 1048950 0 )
NEW met1 ( 210000 987345 ) ( 212400 987345 )
NEW met2 ( 212400 933325 ) ( 212400 987345 )
NEW met1 ( 210480 933325 ) ( 212400 933325 )
NEW met2 ( 210480 930920 0 ) ( 210480 933325 )
NEW met2 ( 210000 987345 ) ( 210000 1045990 )
NEW met2 ( 210000 1045990 ) via2_FR
NEW met1 ( 210000 987345 ) M1M2_PR
NEW met1 ( 212400 987345 ) M1M2_PR
NEW met1 ( 212400 933325 ) M1M2_PR
NEW met1 ( 210480 933325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[3\] ( padframe mprj_io_holdover[3] ) ( gpio_control_in\[3\] pad_gpio_holdover )
+ ROUTED met2 ( 3376080 1234320 ) ( 3376560 1234320 )
NEW met2 ( 3376560 1233950 ) ( 3376560 1234320 )
NEW met2 ( 3376560 1233950 ) ( 3377040 1233950 )
NEW met2 ( 3377040 1233950 ) ( 3377040 1234320 0 )
NEW met3 ( 3375840 1316830 ) ( 3376080 1316830 )
NEW met3 ( 3375840 1316830 ) ( 3375840 1317570 0 )
NEW met2 ( 3376080 1234320 ) ( 3376080 1316830 )
NEW met2 ( 3376080 1316830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[4\] ( padframe mprj_io_holdover[4] ) ( gpio_control_in\[4\] pad_gpio_holdover )
+ ROUTED met1 ( 3372240 1463535 ) ( 3376080 1463535 )
NEW met2 ( 3376080 1459280 ) ( 3376080 1463535 )
NEW met2 ( 3376080 1459280 ) ( 3377040 1459280 0 )
NEW met3 ( 3372240 1542530 ) ( 3373920 1542530 0 )
NEW met2 ( 3372240 1463535 ) ( 3372240 1542530 )
NEW met1 ( 3372240 1463535 ) M1M2_PR
NEW met1 ( 3376080 1463535 ) M1M2_PR
NEW met2 ( 3372240 1542530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[5\] ( padframe mprj_io_holdover[5] ) ( gpio_control_in\[5\] pad_gpio_holdover )
+ ROUTED met2 ( 3375600 1684240 ) ( 3377040 1684240 0 )
NEW met3 ( 3375600 1766750 ) ( 3375840 1766750 )
NEW met3 ( 3375840 1766750 ) ( 3375840 1767490 0 )
NEW met2 ( 3375600 1684240 ) ( 3375600 1766750 )
NEW met2 ( 3375600 1766750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[6\] ( padframe mprj_io_holdover[6] ) ( gpio_control_in\[6\] pad_gpio_holdover )
+ ROUTED met3 ( 3373200 1992450 ) ( 3373920 1992450 )
NEW met3 ( 3373920 1992450 ) ( 3373920 1993930 0 )
NEW met1 ( 3373200 1912345 ) ( 3377520 1912345 )
NEW met2 ( 3377520 1910310 0 ) ( 3377520 1912345 )
NEW met2 ( 3373200 1912345 ) ( 3373200 1992450 )
NEW met2 ( 3373200 1992450 ) via2_FR
NEW met1 ( 3373200 1912345 ) M1M2_PR
NEW met1 ( 3377520 1912345 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[7\] ( padframe mprj_io_holdover[7] ) ( gpio_control_in\[7\] pad_gpio_holdover )
+ ROUTED met3 ( 3373920 2434600 0 ) ( 3373920 2437190 )
NEW met3 ( 3373920 2794610 ) ( 3377520 2794610 )
NEW met2 ( 3377520 2794610 ) ( 3377520 2796090 0 )
NEW met4 ( 3373920 2437190 ) ( 3373920 2794610 )
NEW met3 ( 3373920 2437190 ) M3M4_PR_M
NEW met3 ( 3373920 2794610 ) M3M4_PR_M
NEW met2 ( 3377520 2794610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_holdover\[8\] ( padframe mprj_io_holdover[8] ) ( gpio_control_in\[8\] pad_gpio_holdover )
+ ROUTED met1 ( 3360720 2663815 ) ( 3369360 2663815 )
NEW met2 ( 3369360 2654750 ) ( 3369360 2663815 )
NEW met3 ( 3369360 2654750 ) ( 3373920 2654750 0 )
NEW met1 ( 3360720 3009765 ) ( 3375600 3009765 )
NEW met2 ( 3375600 3009765 ) ( 3375600 3022160 )
NEW met2 ( 3375600 3022160 ) ( 3377040 3022160 0 )
NEW met2 ( 3360720 2663815 ) ( 3360720 3009765 )
NEW met1 ( 3360720 2663815 ) M1M2_PR
NEW met1 ( 3369360 2663815 ) M1M2_PR
NEW met2 ( 3369360 2654750 ) via2_FR
NEW met1 ( 3360720 3009765 ) M1M2_PR
NEW met1 ( 3375600 3009765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_holdover\[9\] ( padframe mprj_io_holdover[9] ) ( gpio_control_in\[9\] pad_gpio_holdover )
+ ROUTED met4 ( 3369120 3211785 ) ( 3371040 3211785 )
NEW met4 ( 3371040 3211785 ) ( 3371040 3241570 )
NEW met3 ( 3371040 3241570 ) ( 3376080 3241570 )
NEW met2 ( 3376080 3241570 ) ( 3376080 3247120 )
NEW met2 ( 3376080 3247120 ) ( 3376560 3247120 )
NEW met2 ( 3376560 3247120 ) ( 3376560 3247490 )
NEW met2 ( 3376560 3247490 ) ( 3377040 3247490 )
NEW met2 ( 3377040 3247120 0 ) ( 3377040 3247490 )
NEW met4 ( 3369120 3151845 ) ( 3370080 3151845 )
NEW met4 ( 3369120 3151845 ) ( 3369120 3211785 )
NEW met3 ( 3371040 2922630 ) ( 3371280 2922630 )
NEW met2 ( 3371280 2879710 ) ( 3371280 2922630 )
NEW met3 ( 3371280 2879710 ) ( 3373920 2879710 0 )
NEW met3 ( 3370080 3124650 ) ( 3370320 3124650 )
NEW met2 ( 3370320 3024010 ) ( 3370320 3124650 )
NEW met3 ( 3370320 3024010 ) ( 3371040 3024010 )
NEW met4 ( 3370080 3124650 ) ( 3370080 3151845 )
NEW met4 ( 3371040 2922630 ) ( 3371040 3024010 )
NEW met3 ( 3371040 3241570 ) M3M4_PR_M
NEW met2 ( 3376080 3241570 ) via2_FR
NEW met3 ( 3371040 2922630 ) M3M4_PR_M
NEW met2 ( 3371280 2922630 ) via2_FR
NEW met2 ( 3371280 2879710 ) via2_FR
NEW met3 ( 3370080 3124650 ) M3M4_PR_M
NEW met2 ( 3370320 3124650 ) via2_FR
NEW met2 ( 3370320 3024010 ) via2_FR
NEW met3 ( 3371040 3024010 ) M3M4_PR_M
NEW met3 ( 3371280 2922630 ) RECT ( 0 -150 380 150 )
NEW met3 ( 3370080 3124650 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[0\] ( padframe mprj_io_ib_mode_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3374640 597735 ) ( 3375600 597735 )
NEW met1 ( 3374640 574055 ) ( 3375600 574055 )
NEW met2 ( 3375600 572390 ) ( 3375600 574055 )
NEW met2 ( 3375600 572390 ) ( 3377040 572390 0 )
NEW met2 ( 3374640 574055 ) ( 3374640 597735 )
NEW met3 ( 3375600 643430 ) ( 3375840 643430 )
NEW met3 ( 3375840 643430 ) ( 3375840 644910 0 )
NEW met2 ( 3375600 597735 ) ( 3375600 643430 )
NEW met1 ( 3374640 597735 ) M1M2_PR
NEW met1 ( 3375600 597735 ) M1M2_PR
NEW met1 ( 3374640 574055 ) M1M2_PR
NEW met1 ( 3375600 574055 ) M1M2_PR
NEW met2 ( 3375600 643430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[10\] ( padframe mprj_io_ib_mode_sel[10] ) ( gpio_control_in\[10\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3370080 3486510 ) ( 3377520 3486510 )
NEW met2 ( 3377520 3486510 ) ( 3377520 3488360 0 )
NEW met3 ( 3370080 3242310 ) ( 3382560 3242310 )
NEW met4 ( 3370080 3242310 ) ( 3370080 3486510 )
NEW met3 ( 3375600 3110590 ) ( 3378720 3110590 )
NEW met2 ( 3375600 3110590 ) ( 3375600 3202350 )
NEW met3 ( 3375600 3202350 ) ( 3382560 3202350 )
NEW met3 ( 3378720 3109850 0 ) ( 3378720 3110590 )
NEW met4 ( 3382560 3202350 ) ( 3382560 3242310 )
NEW met3 ( 3370080 3486510 ) M3M4_PR_M
NEW met2 ( 3377520 3486510 ) via2_FR
NEW met3 ( 3370080 3242310 ) M3M4_PR_M
NEW met3 ( 3382560 3242310 ) M3M4_PR_M
NEW met2 ( 3375600 3110590 ) via2_FR
NEW met2 ( 3375600 3202350 ) via2_FR
NEW met3 ( 3382560 3202350 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[11\] ( padframe mprj_io_ib_mode_sel[11] ) ( gpio_control_in\[11\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3371040 3334810 ) ( 3373920 3334810 0 )
NEW met3 ( 3371040 3707030 ) ( 3375600 3707030 )
NEW met2 ( 3375600 3707030 ) ( 3375600 3713320 )
NEW met2 ( 3375600 3713320 ) ( 3376560 3713320 )
NEW met2 ( 3376560 3713320 ) ( 3376560 3713690 )
NEW met2 ( 3376560 3713690 ) ( 3377040 3713690 )
NEW met2 ( 3377040 3713320 0 ) ( 3377040 3713690 )
NEW met4 ( 3371040 3334810 ) ( 3371040 3707030 )
NEW met3 ( 3371040 3334810 ) M3M4_PR_M
NEW met3 ( 3371040 3707030 ) M3M4_PR_M
NEW met2 ( 3375600 3707030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[12\] ( padframe mprj_io_ib_mode_sel[12] ) ( gpio_control_in\[12\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3370080 3560510 ) ( 3373920 3560510 0 )
NEW met3 ( 3370080 3937170 ) ( 3377520 3937170 )
NEW met2 ( 3377520 3937170 ) ( 3377520 3938280 0 )
NEW met4 ( 3370080 3560510 ) ( 3370080 3937170 )
NEW met3 ( 3370080 3560510 ) M3M4_PR_M
NEW met3 ( 3370080 3937170 ) M3M4_PR_M
NEW met2 ( 3377520 3937170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[13\] ( padframe mprj_io_ib_mode_sel[13] ) ( gpio_control_in\[13\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378960 4381910 ) ( 3383520 4381910 )
NEW met2 ( 3378960 4381910 ) ( 3378960 4384500 0 )
NEW met3 ( 3383520 3785470 0 ) ( 3383520 3786950 )
NEW met4 ( 3383520 3786950 ) ( 3383520 4381910 )
NEW met3 ( 3383520 4381910 ) M3M4_PR_M
NEW met2 ( 3378960 4381910 ) via2_FR
NEW met3 ( 3383520 3786950 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[14\] ( padframe mprj_io_ib_mode_sel[14] ) ( gpio_control_in\[14\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3379680 4677910 0 ) ( 3379680 4679390 )
NEW met3 ( 3378960 4828130 ) ( 3379680 4828130 )
NEW met2 ( 3378960 4828130 ) ( 3378960 4830350 0 )
NEW met4 ( 3379680 4679390 ) ( 3379680 4828130 )
NEW met3 ( 3379680 4679390 ) M3M4_PR_M
NEW met3 ( 3379680 4828130 ) M3M4_PR_M
NEW met2 ( 3378960 4828130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[15\] ( padframe mprj_io_ib_mode_sel[15] ) ( gpio_control_in\[15\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2786640 4989265 ) ( 2786640 4989450 )
NEW met3 ( 2785440 4989450 0 ) ( 2786640 4989450 )
NEW met2 ( 2981040 4989265 ) ( 2981040 4998145 )
NEW met1 ( 2786640 4989265 ) ( 2981040 4989265 )
NEW met2 ( 3135600 4978350 ) ( 3137520 4978350 0 )
NEW met2 ( 3135600 4978350 ) ( 3135600 4978535 )
NEW met1 ( 3128880 4978535 ) ( 3135600 4978535 )
NEW met2 ( 3128880 4978535 ) ( 3128880 4998145 )
NEW met1 ( 2981040 4998145 ) ( 3128880 4998145 )
NEW met1 ( 2786640 4989265 ) M1M2_PR
NEW met2 ( 2786640 4989450 ) via2_FR
NEW met1 ( 2981040 4989265 ) M1M2_PR
NEW met1 ( 2981040 4998145 ) M1M2_PR
NEW met1 ( 3135600 4978535 ) M1M2_PR
NEW met1 ( 3128880 4978535 ) M1M2_PR
NEW met1 ( 3128880 4998145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[16\] ( padframe mprj_io_ib_mode_sel[16] ) ( gpio_control_in\[16\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2619120 4978165 ) ( 2619120 4996850 )
NEW met1 ( 2619120 4978165 ) ( 2626800 4978165 )
NEW met2 ( 2626800 4978165 ) ( 2626800 4978350 )
NEW met2 ( 2626800 4978350 ) ( 2628720 4978350 0 )
NEW met2 ( 2583600 4996665 ) ( 2583600 4996850 )
NEW met1 ( 2531760 4996665 ) ( 2583600 4996665 )
NEW met2 ( 2531760 4996665 ) ( 2531760 4996850 )
NEW met3 ( 2528640 4996850 0 ) ( 2531760 4996850 )
NEW met3 ( 2583600 4996850 ) ( 2619120 4996850 )
NEW met2 ( 2619120 4996850 ) via2_FR
NEW met1 ( 2619120 4978165 ) M1M2_PR
NEW met1 ( 2626800 4978165 ) M1M2_PR
NEW met2 ( 2583600 4996850 ) via2_FR
NEW met1 ( 2583600 4996665 ) M1M2_PR
NEW met1 ( 2531760 4996665 ) M1M2_PR
NEW met2 ( 2531760 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[17\] ( padframe mprj_io_ib_mode_sel[17] ) ( gpio_control_in\[17\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 2370480 4979090 ) ( 2371680 4979090 0 )
NEW met2 ( 2370480 4978905 ) ( 2370480 4979090 )
NEW met1 ( 2363280 4978905 ) ( 2370480 4978905 )
NEW met2 ( 2363280 4978905 ) ( 2363280 5004435 )
NEW met2 ( 2144880 5004250 ) ( 2144880 5004435 )
NEW met3 ( 2143680 5004250 0 ) ( 2144880 5004250 )
NEW met1 ( 2144880 5004435 ) ( 2363280 5004435 )
NEW met1 ( 2370480 4978905 ) M1M2_PR
NEW met1 ( 2363280 4978905 ) M1M2_PR
NEW met1 ( 2363280 5004435 ) M1M2_PR
NEW met1 ( 2144880 5004435 ) M1M2_PR
NEW met2 ( 2144880 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[18\] ( padframe mprj_io_ib_mode_sel[18] ) ( gpio_control_in\[18\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1807920 5004065 ) ( 1807920 5004250 )
NEW met3 ( 1806720 5004250 0 ) ( 1807920 5004250 )
NEW met2 ( 1925040 4979090 ) ( 1926480 4979090 0 )
NEW met2 ( 1925040 4978905 ) ( 1925040 4979090 )
NEW met1 ( 1918320 4978905 ) ( 1925040 4978905 )
NEW met2 ( 1918320 4978905 ) ( 1918320 5004065 )
NEW met1 ( 1807920 5004065 ) ( 1918320 5004065 )
NEW met1 ( 1807920 5004065 ) M1M2_PR
NEW met2 ( 1807920 5004250 ) via2_FR
NEW met1 ( 1925040 4978905 ) M1M2_PR
NEW met1 ( 1918320 4978905 ) M1M2_PR
NEW met1 ( 1918320 5004065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[19\] ( padframe mprj_io_ib_mode_sel[19] ) ( gpio_control_in\[19\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1417680 4968545 ) ( 1417680 4977610 0 )
NEW met2 ( 1551600 4978905 ) ( 1551600 4979090 )
NEW met3 ( 1551600 4979090 ) ( 1554720 4979090 0 )
NEW met2 ( 1512240 4968545 ) ( 1512240 4978535 )
NEW met1 ( 1512240 4978535 ) ( 1529040 4978535 )
NEW met1 ( 1529040 4978535 ) ( 1529040 4978905 )
NEW met1 ( 1417680 4968545 ) ( 1512240 4968545 )
NEW met1 ( 1529040 4978905 ) ( 1551600 4978905 )
NEW met1 ( 1417680 4968545 ) M1M2_PR
NEW met1 ( 1551600 4978905 ) M1M2_PR
NEW met2 ( 1551600 4979090 ) via2_FR
NEW met1 ( 1512240 4968545 ) M1M2_PR
NEW met1 ( 1512240 4978535 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[1\] ( padframe mprj_io_ib_mode_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3378480 869870 ) ( 3378720 869870 )
NEW met3 ( 3378720 869870 ) ( 3378720 870610 0 )
NEW met1 ( 3378480 800125 ) ( 3378480 804935 )
NEW met2 ( 3378480 798460 0 ) ( 3378480 800125 )
NEW met2 ( 3378480 804935 ) ( 3378480 869870 )
NEW met2 ( 3378480 869870 ) via2_FR
NEW met1 ( 3378480 804935 ) M1M2_PR
NEW met1 ( 3378480 800125 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[20\] ( padframe mprj_io_ib_mode_sel[20] ) ( gpio_control_in\[20\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 1215600 4968915 ) ( 1215600 4970025 )
NEW met1 ( 1215600 4970025 ) ( 1238640 4970025 )
NEW met2 ( 1238640 4970025 ) ( 1238640 4997405 )
NEW met2 ( 1160880 4968915 ) ( 1160880 4977610 )
NEW met2 ( 1159680 4977610 0 ) ( 1160880 4977610 )
NEW met1 ( 1160880 4968915 ) ( 1215600 4968915 )
NEW met2 ( 1294320 4997405 ) ( 1294320 4997590 )
NEW met3 ( 1294320 4997590 ) ( 1296480 4997590 0 )
NEW met1 ( 1238640 4997405 ) ( 1294320 4997405 )
NEW met1 ( 1215600 4968915 ) M1M2_PR
NEW met1 ( 1215600 4970025 ) M1M2_PR
NEW met1 ( 1238640 4970025 ) M1M2_PR
NEW met1 ( 1238640 4997405 ) M1M2_PR
NEW met1 ( 1160880 4968915 ) M1M2_PR
NEW met1 ( 1294320 4997405 ) M1M2_PR
NEW met2 ( 1294320 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[21\] ( padframe mprj_io_ib_mode_sel[21] ) ( gpio_control_in\[21\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 993840 4969285 ) ( 993840 5025895 )
NEW met1 ( 993840 5025895 ) ( 1036560 5025895 )
NEW met2 ( 1036560 5025710 ) ( 1036560 5025895 )
NEW met3 ( 1036560 5025710 ) ( 1039680 5025710 0 )
NEW met2 ( 902640 4969285 ) ( 902640 4977610 0 )
NEW met1 ( 902640 4969285 ) ( 993840 4969285 )
NEW met1 ( 993840 4969285 ) M1M2_PR
NEW met1 ( 993840 5025895 ) M1M2_PR
NEW met1 ( 1036560 5025895 ) M1M2_PR
NEW met2 ( 1036560 5025710 ) via2_FR
NEW met1 ( 902640 4969285 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[22\] ( padframe mprj_io_ib_mode_sel[22] ) ( gpio_control_in\[22\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 782880 4977610 ) ( 782880 4979090 0 )
NEW met2 ( 734160 4977055 ) ( 734160 4977610 )
NEW met1 ( 646800 4977055 ) ( 734160 4977055 )
NEW met2 ( 646800 4977055 ) ( 646800 4977610 )
NEW met2 ( 645600 4977610 0 ) ( 646800 4977610 )
NEW met3 ( 734160 4977610 ) ( 782880 4977610 )
NEW met2 ( 734160 4977610 ) via2_FR
NEW met1 ( 734160 4977055 ) M1M2_PR
NEW met1 ( 646800 4977055 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[23\] ( padframe mprj_io_ib_mode_sel[23] ) ( gpio_control_in\[23\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 388560 4968915 ) ( 388560 4977610 0 )
NEW met2 ( 503760 4968915 ) ( 503760 4975390 )
NEW met3 ( 503760 4975390 ) ( 525600 4975390 )
NEW met3 ( 525600 4975390 ) ( 525600 4979090 0 )
NEW met1 ( 388560 4968915 ) ( 503760 4968915 )
NEW met1 ( 388560 4968915 ) M1M2_PR
NEW met1 ( 503760 4968915 ) M1M2_PR
NEW met2 ( 503760 4975390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[24\] ( padframe mprj_io_ib_mode_sel[24] ) ( gpio_control_in\[24\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 211680 4488470 0 ) ( 214800 4488470 )
NEW met1 ( 210480 4776145 ) ( 214800 4776145 )
NEW met2 ( 210480 4776145 ) ( 210480 4778550 0 )
NEW met2 ( 214800 4488470 ) ( 214800 4776145 )
NEW met2 ( 214800 4488470 ) via2_FR
NEW met1 ( 214800 4776145 ) M1M2_PR
NEW met1 ( 210480 4776145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[25\] ( padframe mprj_io_ib_mode_sel[25] ) ( gpio_control_in\[25\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 207840 3959370 ) ( 212400 3959370 )
NEW met2 ( 212400 3932175 ) ( 212400 3959370 )
NEW met1 ( 210960 3932175 ) ( 212400 3932175 )
NEW met2 ( 210960 3929605 0 ) ( 210960 3932175 )
NEW met3 ( 186960 4009690 ) ( 207840 4009690 )
NEW met4 ( 207840 3959370 ) ( 207840 4009690 )
NEW met3 ( 186720 4063710 ) ( 186960 4063710 )
NEW met3 ( 186720 4063710 ) ( 186720 4066670 0 )
NEW met2 ( 186960 4009690 ) ( 186960 4063710 )
NEW met3 ( 207840 3959370 ) M3M4_PR_M
NEW met2 ( 212400 3959370 ) via2_FR
NEW met1 ( 212400 3932175 ) M1M2_PR
NEW met1 ( 210960 3932175 ) M1M2_PR
NEW met2 ( 186960 4009690 ) via2_FR
NEW met3 ( 207840 4009690 ) M3M4_PR_M
NEW met2 ( 186960 4063710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[26\] ( padframe mprj_io_ib_mode_sel[26] ) ( gpio_control_in\[26\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 186960 3798050 ) ( 202080 3798050 )
NEW met2 ( 186960 3798050 ) ( 186960 3847630 )
NEW met3 ( 186720 3847630 ) ( 186960 3847630 )
NEW met3 ( 186720 3847630 ) ( 186720 3850590 0 )
NEW met3 ( 202080 3735890 ) ( 214320 3735890 )
NEW met2 ( 214320 3715355 ) ( 214320 3735890 )
NEW met1 ( 210480 3715355 ) ( 214320 3715355 )
NEW met2 ( 210480 3713690 0 ) ( 210480 3715355 )
NEW met4 ( 202080 3735890 ) ( 202080 3798050 )
NEW met3 ( 202080 3798050 ) M3M4_PR_M
NEW met2 ( 186960 3798050 ) via2_FR
NEW met2 ( 186960 3847630 ) via2_FR
NEW met3 ( 202080 3735890 ) M3M4_PR_M
NEW met2 ( 214320 3735890 ) via2_FR
NEW met1 ( 214320 3715355 ) M1M2_PR
NEW met1 ( 210480 3715355 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[27\] ( padframe mprj_io_ib_mode_sel[27] ) ( gpio_control_in\[27\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 3631550 ) ( 204960 3634510 0 )
NEW met3 ( 204960 3517590 ) ( 214320 3517590 )
NEW met2 ( 214320 3500385 ) ( 214320 3517590 )
NEW met1 ( 210960 3500385 ) ( 214320 3500385 )
NEW met2 ( 210960 3497610 0 ) ( 210960 3500385 )
NEW met4 ( 204960 3517590 ) ( 204960 3631550 )
NEW met3 ( 204960 3631550 ) M3M4_PR_M
NEW met3 ( 204960 3517590 ) M3M4_PR_M
NEW met2 ( 214320 3517590 ) via2_FR
NEW met1 ( 214320 3500385 ) M1M2_PR
NEW met1 ( 210960 3500385 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[28\] ( padframe mprj_io_ib_mode_sel[28] ) ( gpio_control_in\[28\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 3416210 ) ( 204960 3418800 0 )
NEW met3 ( 204960 3304470 ) ( 214320 3304470 )
NEW met2 ( 214320 3284305 ) ( 214320 3304470 )
NEW met1 ( 210960 3284305 ) ( 214320 3284305 )
NEW met2 ( 210960 3281530 0 ) ( 210960 3284305 )
NEW met4 ( 204960 3304470 ) ( 204960 3416210 )
NEW met3 ( 204960 3416210 ) M3M4_PR_M
NEW met3 ( 204960 3304470 ) M3M4_PR_M
NEW met2 ( 214320 3304470 ) via2_FR
NEW met1 ( 214320 3284305 ) M1M2_PR
NEW met1 ( 210960 3284305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[29\] ( padframe mprj_io_ib_mode_sel[29] ) ( gpio_control_in\[29\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 3200130 ) ( 204960 3202720 0 )
NEW met3 ( 204960 3088390 ) ( 212400 3088390 )
NEW met2 ( 212400 3068225 ) ( 212400 3088390 )
NEW met1 ( 210960 3068225 ) ( 212400 3068225 )
NEW met2 ( 210960 3065605 0 ) ( 210960 3068225 )
NEW met4 ( 204960 3088390 ) ( 204960 3200130 )
NEW met3 ( 204960 3200130 ) M3M4_PR_M
NEW met3 ( 204960 3088390 ) M3M4_PR_M
NEW met2 ( 212400 3088390 ) via2_FR
NEW met1 ( 212400 3068225 ) M1M2_PR
NEW met1 ( 210960 3068225 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[2\] ( padframe mprj_io_ib_mode_sel[2] ) ( gpio_control_in\[2\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3376080 1025085 ) ( 3377520 1025085 )
NEW met2 ( 3377520 1023420 0 ) ( 3377520 1025085 )
NEW met3 ( 3375840 1094830 ) ( 3376080 1094830 )
NEW met3 ( 3375840 1094830 ) ( 3375840 1095570 0 )
NEW met2 ( 3376080 1025085 ) ( 3376080 1094830 )
NEW met1 ( 3376080 1025085 ) M1M2_PR
NEW met1 ( 3377520 1025085 ) M1M2_PR
NEW met2 ( 3376080 1094830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[30\] ( padframe mprj_io_ib_mode_sel[30] ) ( gpio_control_in\[30\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 210480 2851035 ) ( 212400 2851035 )
NEW met2 ( 210480 2849740 0 ) ( 210480 2851035 )
NEW met3 ( 204960 2984050 ) ( 204960 2987010 0 )
NEW met3 ( 204960 2870090 ) ( 211440 2870090 )
NEW met2 ( 211440 2862505 ) ( 211440 2870090 )
NEW met1 ( 211440 2862505 ) ( 212400 2862505 )
NEW met4 ( 204960 2870090 ) ( 204960 2984050 )
NEW met2 ( 212400 2851035 ) ( 212400 2862505 )
NEW met1 ( 212400 2851035 ) M1M2_PR
NEW met1 ( 210480 2851035 ) M1M2_PR
NEW met3 ( 204960 2984050 ) M3M4_PR_M
NEW met3 ( 204960 2870090 ) M3M4_PR_M
NEW met2 ( 211440 2870090 ) via2_FR
NEW met1 ( 211440 2862505 ) M1M2_PR
NEW met1 ( 212400 2862505 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[31\] ( padframe mprj_io_ib_mode_sel[31] ) ( gpio_control_in\[31\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204960 2662890 ) ( 213360 2662890 )
NEW met2 ( 213360 2636065 ) ( 213360 2662890 )
NEW met1 ( 210480 2636065 ) ( 213360 2636065 )
NEW met2 ( 210480 2633660 0 ) ( 210480 2636065 )
NEW met3 ( 204960 2767970 ) ( 204960 2770930 0 )
NEW met4 ( 204960 2662890 ) ( 204960 2767970 )
NEW met3 ( 204960 2662890 ) M3M4_PR_M
NEW met2 ( 213360 2662890 ) via2_FR
NEW met1 ( 213360 2636065 ) M1M2_PR
NEW met1 ( 210480 2636065 ) M1M2_PR
NEW met3 ( 204960 2767970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[32\] ( padframe mprj_io_ib_mode_sel[32] ) ( gpio_control_in\[32\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1997630 ) ( 209040 1997630 )
NEW met2 ( 209040 1995605 0 ) ( 209040 1997630 )
NEW met3 ( 205920 2131570 ) ( 205920 2132680 0 )
NEW met4 ( 205920 1997630 ) ( 205920 2131570 )
NEW met3 ( 205920 1997630 ) M3M4_PR_M
NEW met2 ( 209040 1997630 ) via2_FR
NEW met3 ( 205920 2131570 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[33\] ( padframe mprj_io_ib_mode_sel[33] ) ( gpio_control_in\[33\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1782290 ) ( 209040 1782290 )
NEW met2 ( 209040 1779700 0 ) ( 209040 1782290 )
NEW met3 ( 205920 1915490 ) ( 205920 1916970 0 )
NEW met4 ( 205920 1782290 ) ( 205920 1915490 )
NEW met3 ( 205920 1782290 ) M3M4_PR_M
NEW met2 ( 209040 1782290 ) via2_FR
NEW met3 ( 205920 1915490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[34\] ( padframe mprj_io_ib_mode_sel[34] ) ( gpio_control_in\[34\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1566210 ) ( 209040 1566210 )
NEW met2 ( 209040 1563620 0 ) ( 209040 1566210 )
NEW met3 ( 205920 1699410 ) ( 205920 1700890 0 )
NEW met4 ( 205920 1566210 ) ( 205920 1699410 )
NEW met3 ( 205920 1566210 ) M3M4_PR_M
NEW met2 ( 209040 1566210 ) via2_FR
NEW met3 ( 205920 1699410 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[35\] ( padframe mprj_io_ib_mode_sel[35] ) ( gpio_control_in\[35\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 205920 1350130 ) ( 209040 1350130 )
NEW met2 ( 209040 1347540 0 ) ( 209040 1350130 )
NEW met3 ( 205920 1483330 ) ( 205920 1484810 0 )
NEW met4 ( 205920 1350130 ) ( 205920 1483330 )
NEW met3 ( 205920 1350130 ) M3M4_PR_M
NEW met2 ( 209040 1350130 ) via2_FR
NEW met3 ( 205920 1483330 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[36\] ( padframe mprj_io_ib_mode_sel[36] ) ( gpio_control_in\[36\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 1134050 ) ( 209040 1134050 )
NEW met2 ( 209040 1131605 0 ) ( 209040 1134050 )
NEW met3 ( 204000 1267250 ) ( 204000 1268730 0 )
NEW met4 ( 204000 1134050 ) ( 204000 1267250 )
NEW met3 ( 204000 1134050 ) M3M4_PR_M
NEW met2 ( 209040 1134050 ) via2_FR
NEW met3 ( 204000 1267250 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[37\] ( padframe mprj_io_ib_mode_sel[37] ) ( gpio_control_in\[37\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 204000 917970 ) ( 209040 917970 )
NEW met2 ( 209040 915605 0 ) ( 209040 917970 )
NEW met3 ( 204000 1051910 ) ( 204000 1052650 0 )
NEW met4 ( 204000 917970 ) ( 204000 1051910 )
NEW met3 ( 204000 917970 ) M3M4_PR_M
NEW met2 ( 209040 917970 ) via2_FR
NEW met3 ( 204000 1051910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[3\] ( padframe mprj_io_ib_mode_sel[3] ) ( gpio_control_in\[3\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3377520 1249490 0 ) ( 3377520 1251710 )
NEW met2 ( 3376560 1251710 ) ( 3377520 1251710 )
NEW met2 ( 3376560 1251710 ) ( 3376560 1320530 )
NEW met3 ( 3376560 1320530 ) ( 3376800 1320530 )
NEW met3 ( 3376800 1320530 ) ( 3376800 1322010 0 )
NEW met2 ( 3376560 1320530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[4\] ( padframe mprj_io_ib_mode_sel[4] ) ( gpio_control_in\[4\] pad_gpio_ib_mode_sel )
+ ROUTED met1 ( 3373200 1476855 ) ( 3377520 1476855 )
NEW met2 ( 3377520 1474450 0 ) ( 3377520 1476855 )
NEW met3 ( 3373200 1545490 ) ( 3373920 1545490 )
NEW met3 ( 3373920 1545490 ) ( 3373920 1546970 0 )
NEW met2 ( 3373200 1476855 ) ( 3373200 1545490 )
NEW met1 ( 3373200 1476855 ) M1M2_PR
NEW met1 ( 3377520 1476855 ) M1M2_PR
NEW met2 ( 3373200 1545490 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[5\] ( padframe mprj_io_ib_mode_sel[5] ) ( gpio_control_in\[5\] pad_gpio_ib_mode_sel )
+ ROUTED met2 ( 3376560 1699410 ) ( 3377040 1699410 0 )
NEW met2 ( 3376560 1699410 ) ( 3376560 1770450 )
NEW met3 ( 3376560 1770450 ) ( 3376800 1770450 )
NEW met3 ( 3376800 1770450 ) ( 3376800 1771930 0 )
NEW met2 ( 3376560 1770450 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[6\] ( padframe mprj_io_ib_mode_sel[6] ) ( gpio_control_in\[6\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3376560 1996890 ) ( 3376800 1996890 )
NEW met3 ( 3376800 1996890 ) ( 3376800 1997630 0 )
NEW met2 ( 3376080 1958410 ) ( 3376560 1958410 )
NEW met2 ( 3376080 1925480 ) ( 3376080 1958410 )
NEW met2 ( 3376080 1925480 ) ( 3376560 1925480 )
NEW met2 ( 3376560 1925110 ) ( 3376560 1925480 )
NEW met2 ( 3376560 1925110 ) ( 3377040 1925110 )
NEW met2 ( 3377040 1925110 ) ( 3377040 1925480 0 )
NEW met2 ( 3376560 1958410 ) ( 3376560 1996890 )
NEW met2 ( 3376560 1996890 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[7\] ( padframe mprj_io_ib_mode_sel[7] ) ( gpio_control_in\[7\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3371040 2438670 ) ( 3373920 2438670 0 )
NEW met3 ( 3371040 2808670 ) ( 3375600 2808670 )
NEW met2 ( 3375600 2808670 ) ( 3375600 2811260 )
NEW met2 ( 3375600 2811260 ) ( 3376560 2811260 )
NEW met2 ( 3376560 2811260 ) ( 3376560 2811630 )
NEW met2 ( 3376560 2811630 ) ( 3377040 2811630 )
NEW met2 ( 3377040 2811260 0 ) ( 3377040 2811630 )
NEW met4 ( 3371040 2438670 ) ( 3371040 2808670 )
NEW met3 ( 3371040 2438670 ) M3M4_PR_M
NEW met3 ( 3371040 2808670 ) M3M4_PR_M
NEW met2 ( 3375600 2808670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[8\] ( padframe mprj_io_ib_mode_sel[8] ) ( gpio_control_in\[8\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3372960 2661410 ) ( 3373920 2661410 )
NEW met3 ( 3373920 2658450 0 ) ( 3373920 2661410 )
NEW met3 ( 3372960 3037330 ) ( 3375600 3037330 )
NEW met2 ( 3375600 3037330 ) ( 3377040 3037330 0 )
NEW met4 ( 3372960 2661410 ) ( 3372960 3037330 )
NEW met3 ( 3372960 2661410 ) M3M4_PR_M
NEW met3 ( 3372960 3037330 ) M3M4_PR_M
NEW met2 ( 3375600 3037330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_ib_mode_sel\[9\] ( padframe mprj_io_ib_mode_sel[9] ) ( gpio_control_in\[9\] pad_gpio_ib_mode_sel )
+ ROUTED met3 ( 3373920 2883780 0 ) ( 3373920 2886370 )
NEW met3 ( 3373920 3260810 ) ( 3377520 3260810 )
NEW met2 ( 3377520 3260810 ) ( 3377520 3262290 0 )
NEW met3 ( 3373920 3197170 ) ( 3373920 3199390 )
NEW met3 ( 3373920 3197170 ) ( 3374880 3197170 )
NEW met4 ( 3373920 3199390 ) ( 3373920 3260810 )
NEW met4 ( 3373920 3101895 ) ( 3374880 3101895 )
NEW met4 ( 3373920 2886370 ) ( 3373920 3101895 )
NEW met4 ( 3374880 3101895 ) ( 3374880 3197170 )
NEW met3 ( 3373920 2886370 ) M3M4_PR_M
NEW met3 ( 3373920 3260810 ) M3M4_PR_M
NEW met2 ( 3377520 3260810 ) via2_FR
NEW met3 ( 3373920 3199390 ) M3M4_PR_M
NEW met3 ( 3374880 3197170 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[0\] ( padframe mprj_io_in[0] ) ( gpio_control_bidir\[0\] pad_gpio_in )
+ ROUTED met3 ( 3371040 507270 ) ( 3377040 507270 )
NEW met2 ( 3377040 504680 0 ) ( 3377040 507270 )
NEW met3 ( 3371040 648610 ) ( 3373920 648610 0 )
NEW met4 ( 3371040 507270 ) ( 3371040 648610 )
NEW met3 ( 3371040 507270 ) M3M4_PR_M
NEW met2 ( 3377040 507270 ) via2_FR
NEW met3 ( 3371040 648610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[10\] ( padframe mprj_io_in[10] ) ( gpio_control_in\[10\] pad_gpio_in )
+ ROUTED met1 ( 3374640 3418245 ) ( 3377520 3418245 )
NEW met2 ( 3377520 3418245 ) ( 3377520 3420650 0 )
NEW met1 ( 3372240 3347945 ) ( 3374640 3347945 )
NEW met2 ( 3374640 3347945 ) ( 3374640 3418245 )
NEW met3 ( 3372240 3113550 ) ( 3373920 3113550 0 )
NEW met2 ( 3372240 3113550 ) ( 3372240 3347945 )
NEW met1 ( 3374640 3418245 ) M1M2_PR
NEW met1 ( 3377520 3418245 ) M1M2_PR
NEW met1 ( 3372240 3347945 ) M1M2_PR
NEW met1 ( 3374640 3347945 ) M1M2_PR
NEW met2 ( 3372240 3113550 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[11\] ( padframe mprj_io_in[11] ) ( gpio_control_in\[11\] pad_gpio_in )
+ ROUTED met1 ( 3373200 3643205 ) ( 3377520 3643205 )
NEW met2 ( 3377520 3643205 ) ( 3377520 3645775 0 )
NEW met3 ( 3373200 3339990 ) ( 3373920 3339990 )
NEW met3 ( 3373920 3338880 0 ) ( 3373920 3339990 )
NEW met2 ( 3373200 3339990 ) ( 3373200 3643205 )
NEW met1 ( 3373200 3643205 ) M1M2_PR
NEW met1 ( 3377520 3643205 ) M1M2_PR
NEW met2 ( 3373200 3339990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[12\] ( padframe mprj_io_in[12] ) ( gpio_control_in\[12\] pad_gpio_in )
+ ROUTED met3 ( 3371280 3564950 ) ( 3373920 3564950 0 )
NEW met1 ( 3371280 3868165 ) ( 3377040 3868165 )
NEW met2 ( 3377040 3868165 ) ( 3377040 3870775 0 )
NEW met2 ( 3371280 3564950 ) ( 3371280 3868165 )
NEW met2 ( 3371280 3564950 ) via2_FR
NEW met1 ( 3371280 3868165 ) M1M2_PR
NEW met1 ( 3377040 3868165 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[13\] ( padframe mprj_io_in[13] ) ( gpio_control_in\[13\] pad_gpio_in )
+ ROUTED met3 ( 3378720 3789910 0 ) ( 3378720 3792870 )
NEW met3 ( 3378480 4314570 ) ( 3378720 4314570 )
NEW met2 ( 3378480 4314570 ) ( 3378480 4316790 0 )
NEW met4 ( 3378720 3792870 ) ( 3378720 4314570 )
NEW met3 ( 3378720 3792870 ) M3M4_PR_M
NEW met3 ( 3378720 4314570 ) M3M4_PR_M
NEW met2 ( 3378480 4314570 ) via2_FR
NEW met3 ( 3378720 4314570 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_in\[14\] ( padframe mprj_io_in[14] ) ( gpio_control_in\[14\] pad_gpio_in )
+ ROUTED met3 ( 3370800 4681610 ) ( 3373920 4681610 0 )
NEW met1 ( 3370800 4760235 ) ( 3377520 4760235 )
NEW met2 ( 3377520 4760235 ) ( 3377520 4762640 0 )
NEW met2 ( 3370800 4681610 ) ( 3370800 4760235 )
NEW met2 ( 3370800 4681610 ) via2_FR
NEW met1 ( 3370800 4760235 ) M1M2_PR
NEW met1 ( 3377520 4760235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[15\] ( padframe mprj_io_in[15] ) ( gpio_control_in\[15\] pad_gpio_in )
+ ROUTED met2 ( 3205200 4968545 ) ( 3205200 4977610 0 )
NEW met2 ( 2790000 4968545 ) ( 2790000 4976870 )
NEW met3 ( 2789760 4976870 ) ( 2790000 4976870 )
NEW met3 ( 2789760 4976870 ) ( 2789760 4979090 0 )
NEW met1 ( 2790000 4968545 ) ( 3205200 4968545 )
NEW met1 ( 3205200 4968545 ) M1M2_PR
NEW met1 ( 2790000 4968545 ) M1M2_PR
NEW met2 ( 2790000 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[16\] ( padframe mprj_io_in[16] ) ( gpio_control_in\[16\] pad_gpio_in )
+ ROUTED met2 ( 2533200 4968545 ) ( 2533200 4976870 )
NEW met3 ( 2532960 4976870 ) ( 2533200 4976870 )
NEW met3 ( 2532960 4976870 ) ( 2532960 4979090 0 )
NEW met2 ( 2694960 4968545 ) ( 2694960 4977610 )
NEW met2 ( 2694960 4977610 ) ( 2696160 4977610 0 )
NEW met1 ( 2533200 4968545 ) ( 2694960 4968545 )
NEW met1 ( 2533200 4968545 ) M1M2_PR
NEW met2 ( 2533200 4976870 ) via2_FR
NEW met1 ( 2694960 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[17\] ( padframe mprj_io_in[17] ) ( gpio_control_in\[17\] pad_gpio_in )
+ ROUTED met2 ( 2439120 4968175 ) ( 2439120 4977610 0 )
NEW met2 ( 2148720 4968175 ) ( 2148720 4979830 )
NEW met3 ( 2148000 4979830 0 ) ( 2148720 4979830 )
NEW met1 ( 2148720 4968175 ) ( 2439120 4968175 )
NEW met1 ( 2439120 4968175 ) M1M2_PR
NEW met1 ( 2148720 4968175 ) M1M2_PR
NEW met2 ( 2148720 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[18\] ( padframe mprj_io_in[18] ) ( gpio_control_in\[18\] pad_gpio_in )
+ ROUTED met2 ( 1811760 4968175 ) ( 1811760 4979830 )
NEW met3 ( 1811040 4979830 0 ) ( 1811760 4979830 )
NEW met2 ( 1994160 4968175 ) ( 1994160 4977610 0 )
NEW met1 ( 1811760 4968175 ) ( 1994160 4968175 )
NEW met1 ( 1811760 4968175 ) M1M2_PR
NEW met2 ( 1811760 4979830 ) via2_FR
NEW met1 ( 1994160 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[19\] ( padframe mprj_io_in[19] ) ( gpio_control_in\[19\] pad_gpio_in )
+ ROUTED met2 ( 1556400 4996850 ) ( 1556400 4997035 )
NEW met3 ( 1556400 4996850 ) ( 1558560 4996850 0 )
NEW met2 ( 1485360 4978350 0 ) ( 1486800 4978350 )
NEW met2 ( 1486800 4978350 ) ( 1486800 4978535 )
NEW met1 ( 1486800 4978535 ) ( 1490640 4978535 )
NEW met2 ( 1490640 4978535 ) ( 1490640 4997035 )
NEW met1 ( 1490640 4997035 ) ( 1556400 4997035 )
NEW met1 ( 1556400 4997035 ) M1M2_PR
NEW met2 ( 1556400 4996850 ) via2_FR
NEW met1 ( 1486800 4978535 ) M1M2_PR
NEW met1 ( 1490640 4978535 ) M1M2_PR
NEW met1 ( 1490640 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[1\] ( padframe mprj_io_in[1] ) ( gpio_control_bidir\[1\] pad_gpio_in )
+ ROUTED met3 ( 3372960 872090 ) ( 3373920 872090 )
NEW met3 ( 3373920 872090 ) ( 3373920 875050 0 )
NEW met3 ( 3372960 732230 ) ( 3377040 732230 )
NEW met2 ( 3377040 730750 0 ) ( 3377040 732230 )
NEW met4 ( 3372960 732230 ) ( 3372960 872090 )
NEW met3 ( 3372960 872090 ) M3M4_PR_M
NEW met3 ( 3372960 732230 ) M3M4_PR_M
NEW met2 ( 3377040 732230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[20\] ( padframe mprj_io_in[20] ) ( gpio_control_in\[20\] pad_gpio_in )
+ ROUTED met2 ( 1227120 4979090 0 ) ( 1228560 4979090 )
NEW met2 ( 1228560 4978905 ) ( 1228560 4979090 )
NEW met1 ( 1228560 4978905 ) ( 1232880 4978905 )
NEW met2 ( 1232880 4978905 ) ( 1232880 4997035 )
NEW met2 ( 1298160 4996850 ) ( 1298160 4997035 )
NEW met3 ( 1298160 4996850 ) ( 1300800 4996850 0 )
NEW met1 ( 1232880 4997035 ) ( 1298160 4997035 )
NEW met1 ( 1228560 4978905 ) M1M2_PR
NEW met1 ( 1232880 4978905 ) M1M2_PR
NEW met1 ( 1232880 4997035 ) M1M2_PR
NEW met1 ( 1298160 4997035 ) M1M2_PR
NEW met2 ( 1298160 4996850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[21\] ( padframe mprj_io_in[21] ) ( gpio_control_in\[21\] pad_gpio_in )
+ ROUTED met2 ( 1040880 5004250 ) ( 1040880 5004435 )
NEW met3 ( 1040880 5004250 ) ( 1044000 5004250 0 )
NEW met2 ( 970320 4978350 0 ) ( 972240 4978350 )
NEW met2 ( 972240 4978165 ) ( 972240 4978350 )
NEW met1 ( 972240 4978165 ) ( 975600 4978165 )
NEW met2 ( 975600 4978165 ) ( 975600 5004435 )
NEW met1 ( 975600 5004435 ) ( 1040880 5004435 )
NEW met1 ( 1040880 5004435 ) M1M2_PR
NEW met2 ( 1040880 5004250 ) via2_FR
NEW met1 ( 972240 4978165 ) M1M2_PR
NEW met1 ( 975600 4978165 ) M1M2_PR
NEW met1 ( 975600 5004435 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[22\] ( padframe mprj_io_in[22] ) ( gpio_control_in\[22\] pad_gpio_in )
+ ROUTED met2 ( 784560 4996850 ) ( 784560 4997035 )
NEW met3 ( 784560 4996850 ) ( 786720 4996850 0 )
NEW met2 ( 713280 4979090 0 ) ( 714960 4979090 )
NEW met2 ( 714960 4978905 ) ( 714960 4979090 )
NEW met1 ( 714960 4978905 ) ( 718800 4978905 )
NEW met2 ( 718800 4978905 ) ( 718800 4997035 )
NEW met1 ( 718800 4997035 ) ( 784560 4997035 )
NEW met1 ( 784560 4997035 ) M1M2_PR
NEW met2 ( 784560 4996850 ) via2_FR
NEW met1 ( 714960 4978905 ) M1M2_PR
NEW met1 ( 718800 4978905 ) M1M2_PR
NEW met1 ( 718800 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[23\] ( padframe mprj_io_in[23] ) ( gpio_control_in\[23\] pad_gpio_in )
+ ROUTED met2 ( 456240 4979090 0 ) ( 457680 4979090 )
NEW met2 ( 457680 4978905 ) ( 457680 4979090 )
NEW met1 ( 457680 4978905 ) ( 527280 4978905 )
NEW met2 ( 527280 4978905 ) ( 527280 4979090 )
NEW met3 ( 527280 4979090 ) ( 529920 4979090 0 )
NEW met1 ( 457680 4978905 ) M1M2_PR
NEW met1 ( 527280 4978905 ) M1M2_PR
NEW met2 ( 527280 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[24\] ( padframe mprj_io_in[24] ) ( gpio_control_in\[24\] pad_gpio_in )
+ ROUTED met3 ( 203040 4492910 0 ) ( 203040 4495870 )
NEW met3 ( 203040 4843670 ) ( 209040 4843670 )
NEW met2 ( 209040 4843670 ) ( 209040 4846260 0 )
NEW met4 ( 203040 4495870 ) ( 203040 4843670 )
NEW met3 ( 203040 4495870 ) M3M4_PR_M
NEW met3 ( 203040 4843670 ) M3M4_PR_M
NEW met2 ( 209040 4843670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[25\] ( padframe mprj_io_in[25] ) ( gpio_control_in\[25\] pad_gpio_in )
+ ROUTED met3 ( 209520 4068150 ) ( 209760 4068150 )
NEW met3 ( 209760 4068150 ) ( 209760 4071110 0 )
NEW met1 ( 209520 3998775 ) ( 209520 4000995 )
NEW met2 ( 209520 3997110 0 ) ( 209520 3998775 )
NEW met2 ( 209520 4000995 ) ( 209520 4068150 )
NEW met2 ( 209520 4068150 ) via2_FR
NEW met1 ( 209520 4000995 ) M1M2_PR
NEW met1 ( 209520 3998775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[26\] ( padframe mprj_io_in[26] ) ( gpio_control_in\[26\] pad_gpio_in )
+ ROUTED met2 ( 209040 3781225 0 ) ( 209040 3783805 )
NEW met1 ( 209040 3783805 ) ( 209040 3784915 )
NEW met2 ( 209040 3784915 ) ( 209040 3852070 )
NEW met3 ( 208800 3852070 ) ( 209040 3852070 )
NEW met3 ( 208800 3852070 ) ( 208800 3855030 0 )
NEW met1 ( 209040 3783805 ) M1M2_PR
NEW met1 ( 209040 3784915 ) M1M2_PR
NEW met2 ( 209040 3852070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[27\] ( padframe mprj_io_in[27] ) ( gpio_control_in\[27\] pad_gpio_in )
+ ROUTED met2 ( 209040 3565320 0 ) ( 209040 3567725 )
NEW met1 ( 207600 3567725 ) ( 209040 3567725 )
NEW met1 ( 207600 3567725 ) ( 207600 3570315 )
NEW met2 ( 207600 3570315 ) ( 207600 3635990 )
NEW met3 ( 207600 3635990 ) ( 207840 3635990 )
NEW met3 ( 207840 3635990 ) ( 207840 3638950 0 )
NEW met1 ( 209040 3567725 ) M1M2_PR
NEW met1 ( 207600 3570315 ) M1M2_PR
NEW met2 ( 207600 3635990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[28\] ( padframe mprj_io_in[28] ) ( gpio_control_in\[28\] pad_gpio_in )
+ ROUTED met3 ( 208800 3419910 ) ( 209040 3419910 )
NEW met3 ( 208800 3419910 ) ( 208800 3422870 0 )
NEW met1 ( 209040 3351645 ) ( 209040 3353125 )
NEW met2 ( 209040 3349240 0 ) ( 209040 3351645 )
NEW met2 ( 209040 3353125 ) ( 209040 3419910 )
NEW met2 ( 209040 3419910 ) via2_FR
NEW met1 ( 209040 3353125 ) M1M2_PR
NEW met1 ( 209040 3351645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[29\] ( padframe mprj_io_in[29] ) ( gpio_control_in\[29\] pad_gpio_in )
+ ROUTED met3 ( 208800 3203830 ) ( 209040 3203830 )
NEW met3 ( 208800 3203830 ) ( 208800 3206790 0 )
NEW met1 ( 209040 3135935 ) ( 209040 3137045 )
NEW met2 ( 209040 3133160 0 ) ( 209040 3135935 )
NEW met2 ( 209040 3137045 ) ( 209040 3203830 )
NEW met2 ( 209040 3203830 ) via2_FR
NEW met1 ( 209040 3137045 ) M1M2_PR
NEW met1 ( 209040 3135935 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[2\] ( padframe mprj_io_in[2] ) ( gpio_control_in\[2\] pad_gpio_in )
+ ROUTED met3 ( 3372000 957930 ) ( 3377040 957930 )
NEW met2 ( 3377040 955710 0 ) ( 3377040 957930 )
NEW met3 ( 3372000 1100010 ) ( 3373920 1100010 0 )
NEW met4 ( 3372000 957930 ) ( 3372000 1100010 )
NEW met3 ( 3372000 957930 ) M3M4_PR_M
NEW met2 ( 3377040 957930 ) via2_FR
NEW met3 ( 3372000 1100010 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[30\] ( padframe mprj_io_in[30] ) ( gpio_control_in\[30\] pad_gpio_in )
+ ROUTED met3 ( 208800 2987750 ) ( 209040 2987750 )
NEW met3 ( 208800 2987750 ) ( 208800 2990710 0 )
NEW met1 ( 209040 2919855 ) ( 209040 2920965 )
NEW met2 ( 209040 2917225 0 ) ( 209040 2919855 )
NEW met2 ( 209040 2920965 ) ( 209040 2987750 )
NEW met2 ( 209040 2987750 ) via2_FR
NEW met1 ( 209040 2920965 ) M1M2_PR
NEW met1 ( 209040 2919855 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[31\] ( padframe mprj_io_in[31] ) ( gpio_control_in\[31\] pad_gpio_in )
+ ROUTED met2 ( 209040 2701225 0 ) ( 209040 2703775 )
NEW met1 ( 209040 2703775 ) ( 209040 2704885 )
NEW met2 ( 209040 2704885 ) ( 209040 2771670 )
NEW met3 ( 208800 2771670 ) ( 209040 2771670 )
NEW met3 ( 208800 2771670 ) ( 208800 2774630 0 )
NEW met1 ( 209040 2703775 ) M1M2_PR
NEW met1 ( 209040 2704885 ) M1M2_PR
NEW met2 ( 209040 2771670 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[32\] ( padframe mprj_io_in[32] ) ( gpio_control_in\[32\] pad_gpio_in )
+ ROUTED met2 ( 209040 2063120 0 ) ( 209040 2065895 )
NEW met1 ( 209040 2065895 ) ( 209040 2067005 )
NEW met2 ( 209040 2067005 ) ( 209040 2133790 )
NEW met3 ( 208800 2133790 ) ( 209040 2133790 )
NEW met3 ( 208800 2133790 ) ( 208800 2136750 0 )
NEW met1 ( 209040 2065895 ) M1M2_PR
NEW met1 ( 209040 2067005 ) M1M2_PR
NEW met2 ( 209040 2133790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[33\] ( padframe mprj_io_in[33] ) ( gpio_control_in\[33\] pad_gpio_in )
+ ROUTED met2 ( 210960 1847225 0 ) ( 210960 1849815 )
NEW met1 ( 210960 1849815 ) ( 211920 1849815 )
NEW met2 ( 211920 1849815 ) ( 211920 1917710 )
NEW met3 ( 211680 1917710 ) ( 211920 1917710 )
NEW met3 ( 211680 1917710 ) ( 211680 1920670 0 )
NEW met1 ( 210960 1849815 ) M1M2_PR
NEW met1 ( 211920 1849815 ) M1M2_PR
NEW met2 ( 211920 1917710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[34\] ( padframe mprj_io_in[34] ) ( gpio_control_in\[34\] pad_gpio_in )
+ ROUTED met1 ( 209040 1633735 ) ( 209040 1635215 )
NEW met2 ( 209040 1631330 0 ) ( 209040 1633735 )
NEW met1 ( 186480 1646685 ) ( 209040 1646685 )
NEW met2 ( 186480 1646685 ) ( 186480 1701630 )
NEW met3 ( 186480 1701630 ) ( 186720 1701630 )
NEW met3 ( 186720 1701630 ) ( 186720 1704590 0 )
NEW met2 ( 209040 1635215 ) ( 209040 1646685 )
NEW met1 ( 209040 1635215 ) M1M2_PR
NEW met1 ( 209040 1633735 ) M1M2_PR
NEW met1 ( 209040 1646685 ) M1M2_PR
NEW met1 ( 186480 1646685 ) M1M2_PR
NEW met2 ( 186480 1701630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[35\] ( padframe mprj_io_in[35] ) ( gpio_control_in\[35\] pad_gpio_in )
+ ROUTED met1 ( 209040 1416915 ) ( 209040 1419135 )
NEW met2 ( 209040 1415250 0 ) ( 209040 1416915 )
NEW met3 ( 208800 1486290 ) ( 209040 1486290 )
NEW met3 ( 208800 1486290 ) ( 208800 1488880 0 )
NEW met2 ( 209040 1419135 ) ( 209040 1486290 )
NEW met1 ( 209040 1419135 ) M1M2_PR
NEW met1 ( 209040 1416915 ) M1M2_PR
NEW met2 ( 209040 1486290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[36\] ( padframe mprj_io_in[36] ) ( gpio_control_in\[36\] pad_gpio_in )
+ ROUTED met1 ( 186480 1222295 ) ( 209040 1222295 )
NEW met2 ( 209040 1203055 ) ( 209040 1222295 )
NEW met1 ( 209040 1201945 ) ( 209040 1203055 )
NEW met2 ( 209040 1199170 0 ) ( 209040 1201945 )
NEW met3 ( 186480 1270210 ) ( 186720 1270210 )
NEW met3 ( 186720 1270210 ) ( 186720 1272800 0 )
NEW met2 ( 186480 1222295 ) ( 186480 1270210 )
NEW met1 ( 186480 1222295 ) M1M2_PR
NEW met1 ( 209040 1222295 ) M1M2_PR
NEW met1 ( 209040 1203055 ) M1M2_PR
NEW met1 ( 209040 1201945 ) M1M2_PR
NEW met2 ( 186480 1270210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[37\] ( padframe mprj_io_in[37] ) ( gpio_control_in\[37\] pad_gpio_in )
+ ROUTED met3 ( 186720 1054130 ) ( 186960 1054130 )
NEW met3 ( 186720 1054130 ) ( 186720 1057090 0 )
NEW met2 ( 186960 1003995 ) ( 186960 1054130 )
NEW met2 ( 209040 986975 ) ( 209040 1003995 )
NEW met1 ( 209040 985865 ) ( 209040 986975 )
NEW met2 ( 209040 983090 0 ) ( 209040 985865 )
NEW met1 ( 186960 1003995 ) ( 209040 1003995 )
NEW met1 ( 186960 1003995 ) M1M2_PR
NEW met2 ( 186960 1054130 ) via2_FR
NEW met1 ( 209040 1003995 ) M1M2_PR
NEW met1 ( 209040 986975 ) M1M2_PR
NEW met1 ( 209040 985865 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[3\] ( padframe mprj_io_in[3] ) ( gpio_control_in\[3\] pad_gpio_in )
+ ROUTED met3 ( 3372000 1184370 ) ( 3377040 1184370 )
NEW met2 ( 3377040 1181780 0 ) ( 3377040 1184370 )
NEW met3 ( 3372000 1325710 ) ( 3373920 1325710 0 )
NEW met4 ( 3372000 1184370 ) ( 3372000 1325710 )
NEW met3 ( 3372000 1184370 ) M3M4_PR_M
NEW met2 ( 3377040 1184370 ) via2_FR
NEW met3 ( 3372000 1325710 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[4\] ( padframe mprj_io_in[4] ) ( gpio_control_in\[4\] pad_gpio_in )
+ ROUTED met3 ( 3372960 1547710 ) ( 3373920 1547710 )
NEW met3 ( 3373920 1547710 ) ( 3373920 1550670 0 )
NEW met3 ( 3372960 1409330 ) ( 3377040 1409330 )
NEW met2 ( 3377040 1406740 0 ) ( 3377040 1409330 )
NEW met4 ( 3372960 1409330 ) ( 3372960 1547710 )
NEW met3 ( 3372960 1547710 ) M3M4_PR_M
NEW met3 ( 3372960 1409330 ) M3M4_PR_M
NEW met2 ( 3377040 1409330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_in\[5\] ( padframe mprj_io_in[5] ) ( gpio_control_in\[5\] pad_gpio_in )
+ ROUTED met3 ( 3372960 1634290 ) ( 3377040 1634290 )
NEW met2 ( 3377040 1631700 0 ) ( 3377040 1634290 )
NEW met3 ( 3372960 1772670 ) ( 3373920 1772670 )
NEW met3 ( 3373920 1772670 ) ( 3373920 1775630 0 )
NEW met4 ( 3372960 1634290 ) ( 3372960 1772670 )
NEW met3 ( 3372960 1634290 ) M3M4_PR_M
NEW met2 ( 3377040 1634290 ) via2_FR
NEW met3 ( 3372960 1772670 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[6\] ( padframe mprj_io_in[6] ) ( gpio_control_in\[6\] pad_gpio_in )
+ ROUTED met3 ( 3372000 1859990 ) ( 3377040 1859990 )
NEW met2 ( 3377040 1857770 0 ) ( 3377040 1859990 )
NEW met3 ( 3372000 2002070 ) ( 3373920 2002070 0 )
NEW met4 ( 3372000 1859990 ) ( 3372000 2002070 )
NEW met3 ( 3372000 1859990 ) M3M4_PR_M
NEW met2 ( 3377040 1859990 ) via2_FR
NEW met3 ( 3372000 2002070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[7\] ( padframe mprj_io_in[7] ) ( gpio_control_in\[7\] pad_gpio_in )
+ ROUTED met1 ( 3373680 2489545 ) ( 3374640 2489545 )
NEW met2 ( 3374640 2443850 ) ( 3374640 2489545 )
NEW met3 ( 3374640 2443850 ) ( 3374880 2443850 )
NEW met3 ( 3374880 2443110 0 ) ( 3374880 2443850 )
NEW met2 ( 3373680 2698410 ) ( 3374160 2698410 )
NEW met2 ( 3374160 2698410 ) ( 3374160 2741145 )
NEW met1 ( 3374160 2741145 ) ( 3377040 2741145 )
NEW met2 ( 3377040 2741145 ) ( 3377040 2743775 0 )
NEW met2 ( 3373680 2489545 ) ( 3373680 2698410 )
NEW met1 ( 3373680 2489545 ) M1M2_PR
NEW met1 ( 3374640 2489545 ) M1M2_PR
NEW met2 ( 3374640 2443850 ) via2_FR
NEW met1 ( 3374160 2741145 ) M1M2_PR
NEW met1 ( 3377040 2741145 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_in\[8\] ( padframe mprj_io_in[8] ) ( gpio_control_in\[8\] pad_gpio_in )
+ ROUTED met3 ( 3380640 2662890 0 ) ( 3380640 2663630 )
NEW met2 ( 3378960 2967030 ) ( 3378960 2969775 0 )
NEW met3 ( 3378960 2967030 ) ( 3380640 2967030 )
NEW met4 ( 3380640 2663630 ) ( 3380640 2967030 )
NEW met3 ( 3380640 2663630 ) M3M4_PR_M
NEW met2 ( 3378960 2967030 ) via2_FR
NEW met3 ( 3380640 2967030 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_in\[9\] ( padframe mprj_io_in[9] ) ( gpio_control_in\[9\] pad_gpio_in )
+ ROUTED met3 ( 3375840 2887850 0 ) ( 3375840 2890810 )
NEW met3 ( 3375840 3192730 ) ( 3377040 3192730 )
NEW met2 ( 3377040 3192730 ) ( 3377040 3194775 0 )
NEW met4 ( 3375840 2890810 ) ( 3375840 3192730 )
NEW met3 ( 3375840 2890810 ) M3M4_PR_M
NEW met3 ( 3375840 3192730 ) M3M4_PR_M
NEW met2 ( 3377040 3192730 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[0\] ( padframe mprj_io_inp_dis[0] ) ( gpio_control_bidir\[0\] pad_gpio_inenb )
+ ROUTED met3 ( 3372000 540570 ) ( 3377040 540570 )
NEW met2 ( 3377040 538350 0 ) ( 3377040 540570 )
NEW met3 ( 3372000 653050 ) ( 3373920 653050 0 )
NEW met4 ( 3372000 540570 ) ( 3372000 653050 )
NEW met3 ( 3372000 540570 ) M3M4_PR_M
NEW met2 ( 3377040 540570 ) via2_FR
NEW met3 ( 3372000 653050 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[10\] ( padframe mprj_io_inp_dis[10] ) ( gpio_control_in\[10\] pad_gpio_inenb )
+ ROUTED met1 ( 3371760 3453395 ) ( 3377520 3453395 )
NEW met2 ( 3377520 3453395 ) ( 3377520 3454320 0 )
NEW met3 ( 3371760 3117990 ) ( 3373920 3117990 0 )
NEW met2 ( 3371760 3117990 ) ( 3371760 3453395 )
NEW met1 ( 3371760 3453395 ) M1M2_PR
NEW met1 ( 3377520 3453395 ) M1M2_PR
NEW met2 ( 3371760 3117990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[11\] ( padframe mprj_io_inp_dis[11] ) ( gpio_control_in\[11\] pad_gpio_inenb )
+ ROUTED met1 ( 3370800 3678355 ) ( 3377520 3678355 )
NEW met2 ( 3377520 3678355 ) ( 3377520 3679280 0 )
NEW met3 ( 3370800 3342950 ) ( 3373920 3342950 0 )
NEW met2 ( 3370800 3342950 ) ( 3370800 3678355 )
NEW met1 ( 3370800 3678355 ) M1M2_PR
NEW met1 ( 3377520 3678355 ) M1M2_PR
NEW met2 ( 3370800 3342950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[12\] ( padframe mprj_io_inp_dis[12] ) ( gpio_control_in\[12\] pad_gpio_inenb )
+ ROUTED met1 ( 3370320 3600285 ) ( 3371760 3600285 )
NEW met3 ( 3371760 3568650 ) ( 3373920 3568650 0 )
NEW met2 ( 3371760 3568650 ) ( 3371760 3600285 )
NEW met1 ( 3370320 3751245 ) ( 3372240 3751245 )
NEW met2 ( 3370320 3600285 ) ( 3370320 3751245 )
NEW met1 ( 3372240 3902205 ) ( 3377520 3902205 )
NEW met2 ( 3377520 3902205 ) ( 3377520 3904240 0 )
NEW met2 ( 3372240 3751245 ) ( 3372240 3902205 )
NEW met1 ( 3371760 3600285 ) M1M2_PR
NEW met1 ( 3370320 3600285 ) M1M2_PR
NEW met2 ( 3371760 3568650 ) via2_FR
NEW met1 ( 3370320 3751245 ) M1M2_PR
NEW met1 ( 3372240 3751245 ) M1M2_PR
NEW met1 ( 3372240 3902205 ) M1M2_PR
NEW met1 ( 3377520 3902205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[13\] ( padframe mprj_io_inp_dis[13] ) ( gpio_control_in\[13\] pad_gpio_inenb )
+ ROUTED met3 ( 3376800 3793610 0 ) ( 3376800 3795830 )
NEW met3 ( 3376800 4349350 ) ( 3377040 4349350 )
NEW met2 ( 3377040 4349350 ) ( 3377040 4350460 0 )
NEW met4 ( 3376800 3795830 ) ( 3376800 4349350 )
NEW met3 ( 3376800 3795830 ) M3M4_PR_M
NEW met3 ( 3376800 4349350 ) M3M4_PR_M
NEW met2 ( 3377040 4349350 ) via2_FR
NEW met3 ( 3376800 4349350 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[14\] ( padframe mprj_io_inp_dis[14] ) ( gpio_control_in\[14\] pad_gpio_inenb )
+ ROUTED met3 ( 3369840 4686050 ) ( 3373920 4686050 0 )
NEW met1 ( 3369840 4795015 ) ( 3377520 4795015 )
NEW met2 ( 3377520 4795015 ) ( 3377520 4796310 0 )
NEW met2 ( 3369840 4686050 ) ( 3369840 4795015 )
NEW met2 ( 3369840 4686050 ) via2_FR
NEW met1 ( 3369840 4795015 ) M1M2_PR
NEW met1 ( 3377520 4795015 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[15\] ( padframe mprj_io_inp_dis[15] ) ( gpio_control_in\[15\] pad_gpio_inenb )
+ ROUTED met1 ( 2795760 5012575 ) ( 2830800 5012575 )
NEW met2 ( 2795760 5012390 ) ( 2795760 5012575 )
NEW met3 ( 2794080 5012390 0 ) ( 2795760 5012390 )
NEW met1 ( 2830800 5008135 ) ( 2850960 5008135 )
NEW met2 ( 2850960 4988710 ) ( 2850960 5008135 )
NEW met2 ( 2850960 4988710 ) ( 2851920 4988710 )
NEW met2 ( 2851920 4973910 ) ( 2851920 4988710 )
NEW met2 ( 2830800 5008135 ) ( 2830800 5012575 )
NEW met2 ( 3171600 4973910 ) ( 3171600 4977610 0 )
NEW met3 ( 2851920 4973910 ) ( 3171600 4973910 )
NEW met1 ( 2830800 5012575 ) M1M2_PR
NEW met1 ( 2795760 5012575 ) M1M2_PR
NEW met2 ( 2795760 5012390 ) via2_FR
NEW met1 ( 2830800 5008135 ) M1M2_PR
NEW met1 ( 2850960 5008135 ) M1M2_PR
NEW met2 ( 2851920 4973910 ) via2_FR
NEW met2 ( 3171600 4973910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[16\] ( padframe mprj_io_inp_dis[16] ) ( gpio_control_in\[16\] pad_gpio_inenb )
+ ROUTED met2 ( 2661360 4978350 ) ( 2662560 4978350 0 )
NEW met3 ( 2606160 4978350 ) ( 2661360 4978350 )
NEW met2 ( 2606160 4978350 ) ( 2606160 4982605 )
NEW met2 ( 2539440 4982605 ) ( 2539440 4982790 )
NEW met3 ( 2536800 4982790 0 ) ( 2539440 4982790 )
NEW met1 ( 2539440 4982605 ) ( 2606160 4982605 )
NEW met2 ( 2661360 4978350 ) via2_FR
NEW met2 ( 2606160 4978350 ) via2_FR
NEW met1 ( 2606160 4982605 ) M1M2_PR
NEW met1 ( 2539440 4982605 ) M1M2_PR
NEW met2 ( 2539440 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[17\] ( padframe mprj_io_inp_dis[17] ) ( gpio_control_in\[17\] pad_gpio_inenb )
+ ROUTED met2 ( 2405040 4979090 ) ( 2405520 4979090 0 )
NEW met2 ( 2405040 4978905 ) ( 2405040 4979090 )
NEW met1 ( 2405040 4978905 ) ( 2405040 4979645 )
NEW met2 ( 2154480 5004805 ) ( 2154480 5004990 )
NEW met3 ( 2151840 5004990 0 ) ( 2154480 5004990 )
NEW met2 ( 2361840 4979645 ) ( 2361840 5004805 )
NEW met1 ( 2154480 5004805 ) ( 2361840 5004805 )
NEW met1 ( 2361840 4979645 ) ( 2405040 4979645 )
NEW met1 ( 2405040 4978905 ) M1M2_PR
NEW met1 ( 2154480 5004805 ) M1M2_PR
NEW met2 ( 2154480 5004990 ) via2_FR
NEW met1 ( 2361840 5004805 ) M1M2_PR
NEW met1 ( 2361840 4979645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[18\] ( padframe mprj_io_inp_dis[18] ) ( gpio_control_in\[18\] pad_gpio_inenb )
+ ROUTED met3 ( 1814880 4976130 ) ( 1814880 4979090 0 )
NEW met2 ( 1960560 4976130 ) ( 1960560 4977610 0 )
NEW met3 ( 1814880 4976130 ) ( 1960560 4976130 )
NEW met2 ( 1960560 4976130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[19\] ( padframe mprj_io_inp_dis[19] ) ( gpio_control_in\[19\] pad_gpio_inenb )
+ ROUTED met2 ( 1546320 4973910 ) ( 1546320 4978350 )
NEW met3 ( 1546320 4978350 ) ( 1562880 4978350 )
NEW met3 ( 1562880 4978350 ) ( 1562880 4979090 0 )
NEW met2 ( 1451760 4973910 ) ( 1451760 4977610 0 )
NEW met3 ( 1451760 4973910 ) ( 1546320 4973910 )
NEW met2 ( 1546320 4973910 ) via2_FR
NEW met2 ( 1546320 4978350 ) via2_FR
NEW met2 ( 1451760 4973910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[1\] ( padframe mprj_io_inp_dis[1] ) ( gpio_control_bidir\[1\] pad_gpio_inenb )
+ ROUTED met3 ( 3385200 878010 ) ( 3385440 878010 )
NEW met3 ( 3385440 878010 ) ( 3385440 878750 0 )
NEW met2 ( 3378960 764420 0 ) ( 3378960 767010 )
NEW met3 ( 3378720 767010 ) ( 3378960 767010 )
NEW met4 ( 3378720 767010 ) ( 3378720 806970 )
NEW met3 ( 3378720 806970 ) ( 3385200 806970 )
NEW met2 ( 3385200 806970 ) ( 3385200 878010 )
NEW met2 ( 3385200 878010 ) via2_FR
NEW met2 ( 3378960 767010 ) via2_FR
NEW met3 ( 3378720 767010 ) M3M4_PR_M
NEW met3 ( 3378720 806970 ) M3M4_PR_M
NEW met2 ( 3385200 806970 ) via2_FR
NEW met3 ( 3378960 767010 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[20\] ( padframe mprj_io_inp_dis[20] ) ( gpio_control_in\[20\] pad_gpio_inenb )
+ ROUTED met2 ( 1193520 4979090 0 ) ( 1194480 4979090 )
NEW met3 ( 1194480 4979090 ) ( 1196640 4979090 )
NEW met3 ( 1196640 4979090 ) ( 1196640 4979830 )
NEW met3 ( 1196640 4979830 ) ( 1233360 4979830 )
NEW met2 ( 1233360 4979830 ) ( 1233360 4997775 )
NEW met2 ( 1303920 4997590 ) ( 1303920 4997775 )
NEW met3 ( 1303920 4997590 ) ( 1305120 4997590 0 )
NEW met1 ( 1233360 4997775 ) ( 1303920 4997775 )
NEW met2 ( 1194480 4979090 ) via2_FR
NEW met2 ( 1233360 4979830 ) via2_FR
NEW met1 ( 1233360 4997775 ) M1M2_PR
NEW met1 ( 1303920 4997775 ) M1M2_PR
NEW met2 ( 1303920 4997590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[21\] ( padframe mprj_io_inp_dis[21] ) ( gpio_control_in\[21\] pad_gpio_inenb )
+ ROUTED met2 ( 1047120 4989450 ) ( 1047120 4989635 )
NEW met3 ( 1047120 4989450 ) ( 1047840 4989450 0 )
NEW met2 ( 936720 4979090 0 ) ( 938160 4979090 )
NEW met3 ( 938160 4979090 ) ( 961440 4979090 )
NEW met3 ( 961440 4979090 ) ( 961440 4979830 )
NEW met3 ( 961440 4979830 ) ( 977040 4979830 )
NEW met2 ( 977040 4979830 ) ( 977040 4989635 )
NEW met1 ( 977040 4989635 ) ( 1047120 4989635 )
NEW met1 ( 1047120 4989635 ) M1M2_PR
NEW met2 ( 1047120 4989450 ) via2_FR
NEW met2 ( 938160 4979090 ) via2_FR
NEW met2 ( 977040 4979830 ) via2_FR
NEW met1 ( 977040 4989635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[22\] ( padframe mprj_io_inp_dis[22] ) ( gpio_control_in\[22\] pad_gpio_inenb )
+ ROUTED met3 ( 791040 4971690 ) ( 791040 4979090 0 )
NEW met2 ( 680880 4971690 ) ( 680880 4977610 )
NEW met2 ( 679680 4977610 0 ) ( 680880 4977610 )
NEW met3 ( 680880 4971690 ) ( 791040 4971690 )
NEW met2 ( 680880 4971690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[23\] ( padframe mprj_io_inp_dis[23] ) ( gpio_control_in\[23\] pad_gpio_inenb )
+ ROUTED met2 ( 533040 5026265 ) ( 533040 5026450 )
NEW met3 ( 533040 5026450 ) ( 533760 5026450 0 )
NEW met2 ( 422640 4979090 0 ) ( 424080 4979090 )
NEW met2 ( 424080 4978905 ) ( 424080 4979090 )
NEW met1 ( 424080 4978905 ) ( 424080 4979645 )
NEW met1 ( 424080 4979645 ) ( 462000 4979645 )
NEW met2 ( 462000 4979645 ) ( 462000 5026265 )
NEW met1 ( 462000 5026265 ) ( 533040 5026265 )
NEW met1 ( 533040 5026265 ) M1M2_PR
NEW met2 ( 533040 5026450 ) via2_FR
NEW met1 ( 424080 4978905 ) M1M2_PR
NEW met1 ( 462000 4979645 ) M1M2_PR
NEW met1 ( 462000 5026265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[24\] ( padframe mprj_io_inp_dis[24] ) ( gpio_control_in\[24\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 4496610 0 ) ( 207840 4498830 )
NEW met3 ( 207840 4811110 ) ( 209520 4811110 )
NEW met2 ( 209520 4811110 ) ( 209520 4812590 0 )
NEW met4 ( 207840 4498830 ) ( 207840 4811110 )
NEW met3 ( 207840 4498830 ) M3M4_PR_M
NEW met3 ( 207840 4811110 ) M3M4_PR_M
NEW met2 ( 209520 4811110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[25\] ( padframe mprj_io_inp_dis[25] ) ( gpio_control_in\[25\] pad_gpio_inenb )
+ ROUTED met1 ( 210000 4012095 ) ( 215280 4012095 )
NEW met2 ( 215280 3965845 ) ( 215280 4012095 )
NEW met1 ( 210960 3965845 ) ( 215280 3965845 )
NEW met2 ( 210960 3963645 0 ) ( 210960 3965845 )
NEW met3 ( 209760 4071850 ) ( 210000 4071850 )
NEW met3 ( 209760 4071850 ) ( 209760 4074810 0 )
NEW met2 ( 210000 4012095 ) ( 210000 4071850 )
NEW met1 ( 210000 4012095 ) M1M2_PR
NEW met1 ( 215280 4012095 ) M1M2_PR
NEW met1 ( 215280 3965845 ) M1M2_PR
NEW met1 ( 210960 3965845 ) M1M2_PR
NEW met2 ( 210000 4071850 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[26\] ( padframe mprj_io_inp_dis[26] ) ( gpio_control_in\[26\] pad_gpio_inenb )
+ ROUTED met1 ( 214800 3758645 ) ( 218640 3758645 )
NEW met2 ( 218640 3758645 ) ( 218640 3785655 )
NEW met1 ( 210000 3785655 ) ( 218640 3785655 )
NEW met2 ( 210000 3785655 ) ( 210000 3855770 )
NEW met3 ( 209760 3855770 ) ( 210000 3855770 )
NEW met3 ( 209760 3855770 ) ( 209760 3858730 0 )
NEW met2 ( 210480 3747730 0 ) ( 210480 3749765 )
NEW met1 ( 210480 3749765 ) ( 214800 3749765 )
NEW met2 ( 214800 3749765 ) ( 214800 3758645 )
NEW met1 ( 214800 3758645 ) M1M2_PR
NEW met1 ( 218640 3758645 ) M1M2_PR
NEW met1 ( 218640 3785655 ) M1M2_PR
NEW met1 ( 210000 3785655 ) M1M2_PR
NEW met2 ( 210000 3855770 ) via2_FR
NEW met1 ( 210480 3749765 ) M1M2_PR
NEW met1 ( 214800 3749765 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[27\] ( padframe mprj_io_inp_dis[27] ) ( gpio_control_in\[27\] pad_gpio_inenb )
+ ROUTED met3 ( 208800 3639690 ) ( 209040 3639690 )
NEW met3 ( 208800 3639690 ) ( 208800 3642650 0 )
NEW met3 ( 208800 3580490 ) ( 209040 3580490 )
NEW met4 ( 208800 3558105 ) ( 208800 3580490 )
NEW met4 ( 208800 3558105 ) ( 209040 3558105 )
NEW met4 ( 209040 3556070 ) ( 209040 3558105 )
NEW met4 ( 209040 3556070 ) ( 209760 3556070 )
NEW met4 ( 209760 3532390 ) ( 209760 3556070 )
NEW met3 ( 209760 3532390 ) ( 210000 3532390 )
NEW met2 ( 210000 3531650 0 ) ( 210000 3532390 )
NEW met2 ( 209040 3580490 ) ( 209040 3639690 )
NEW met2 ( 209040 3639690 ) via2_FR
NEW met2 ( 209040 3580490 ) via2_FR
NEW met3 ( 208800 3580490 ) M3M4_PR_M
NEW met3 ( 209760 3532390 ) M3M4_PR_M
NEW met2 ( 210000 3532390 ) via2_FR
NEW met3 ( 209040 3580490 ) RECT ( 0 -150 380 150 )
NEW met3 ( 209760 3532390 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[28\] ( padframe mprj_io_inp_dis[28] ) ( gpio_control_in\[28\] pad_gpio_inenb )
+ ROUTED met1 ( 212880 3316865 ) ( 212880 3317605 )
NEW met1 ( 210960 3316865 ) ( 212880 3316865 )
NEW met2 ( 210960 3315570 0 ) ( 210960 3316865 )
NEW met3 ( 212640 3344430 ) ( 212880 3344430 )
NEW met4 ( 212640 3344430 ) ( 212640 3424350 )
NEW met3 ( 211680 3424350 ) ( 212640 3424350 )
NEW met3 ( 211680 3424350 ) ( 211680 3426940 0 )
NEW met2 ( 212880 3317605 ) ( 212880 3344430 )
NEW met1 ( 212880 3317605 ) M1M2_PR
NEW met1 ( 210960 3316865 ) M1M2_PR
NEW met2 ( 212880 3344430 ) via2_FR
NEW met3 ( 212640 3344430 ) M3M4_PR_M
NEW met3 ( 212640 3424350 ) M3M4_PR_M
NEW met3 ( 212880 3344430 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_inp_dis\[29\] ( padframe mprj_io_inp_dis[29] ) ( gpio_control_in\[29\] pad_gpio_inenb )
+ ROUTED met3 ( 207600 3208270 ) ( 207840 3208270 )
NEW met3 ( 207840 3208270 ) ( 207840 3210860 0 )
NEW met1 ( 207600 3138525 ) ( 215760 3138525 )
NEW met2 ( 215760 3101895 ) ( 215760 3138525 )
NEW met1 ( 210960 3101895 ) ( 215760 3101895 )
NEW met2 ( 210960 3099645 0 ) ( 210960 3101895 )
NEW met2 ( 207600 3138525 ) ( 207600 3208270 )
NEW met2 ( 207600 3208270 ) via2_FR
NEW met1 ( 207600 3138525 ) M1M2_PR
NEW met1 ( 215760 3138525 ) M1M2_PR
NEW met1 ( 215760 3101895 ) M1M2_PR
NEW met1 ( 210960 3101895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[2\] ( padframe mprj_io_inp_dis[2] ) ( gpio_control_in\[2\] pad_gpio_inenb )
+ ROUTED met3 ( 3375840 991970 ) ( 3377520 991970 )
NEW met2 ( 3377520 989380 0 ) ( 3377520 991970 )
NEW met3 ( 3375840 1100750 ) ( 3375840 1103710 0 )
NEW met4 ( 3375840 991970 ) ( 3375840 1100750 )
NEW met3 ( 3375840 991970 ) M3M4_PR_M
NEW met2 ( 3377520 991970 ) via2_FR
NEW met3 ( 3375840 1100750 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[30\] ( padframe mprj_io_inp_dis[30] ) ( gpio_control_in\[30\] pad_gpio_inenb )
+ ROUTED met3 ( 209520 2992190 ) ( 209760 2992190 )
NEW met3 ( 209760 2992190 ) ( 209760 2995150 0 )
NEW met3 ( 207840 2921890 ) ( 209520 2921890 )
NEW met4 ( 207840 2885630 ) ( 207840 2921890 )
NEW met3 ( 207840 2885630 ) ( 209040 2885630 )
NEW met2 ( 209040 2883780 0 ) ( 209040 2885630 )
NEW met2 ( 209520 2921890 ) ( 209520 2992190 )
NEW met2 ( 209520 2992190 ) via2_FR
NEW met2 ( 209520 2921890 ) via2_FR
NEW met3 ( 207840 2921890 ) M3M4_PR_M
NEW met3 ( 207840 2885630 ) M3M4_PR_M
NEW met2 ( 209040 2885630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[31\] ( padframe mprj_io_inp_dis[31] ) ( gpio_control_in\[31\] pad_gpio_inenb )
+ ROUTED met2 ( 210480 2667700 0 ) ( 210480 2668625 )
NEW met1 ( 210480 2668625 ) ( 214800 2668625 )
NEW met3 ( 213600 2693230 ) ( 214800 2693230 )
NEW met4 ( 213600 2693230 ) ( 213600 2706550 )
NEW met3 ( 209520 2706550 ) ( 213600 2706550 )
NEW met2 ( 209520 2706550 ) ( 209520 2776110 )
NEW met3 ( 209520 2776110 ) ( 209760 2776110 )
NEW met3 ( 209760 2776110 ) ( 209760 2779070 0 )
NEW met2 ( 214800 2668625 ) ( 214800 2693230 )
NEW met1 ( 210480 2668625 ) M1M2_PR
NEW met1 ( 214800 2668625 ) M1M2_PR
NEW met2 ( 214800 2693230 ) via2_FR
NEW met3 ( 213600 2693230 ) M3M4_PR_M
NEW met3 ( 213600 2706550 ) M3M4_PR_M
NEW met2 ( 209520 2706550 ) via2_FR
NEW met2 ( 209520 2776110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[32\] ( padframe mprj_io_inp_dis[32] ) ( gpio_control_in\[32\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 2030190 ) ( 209040 2030190 )
NEW met2 ( 209040 2029645 0 ) ( 209040 2030190 )
NEW met3 ( 207840 2138230 ) ( 207840 2141190 0 )
NEW met4 ( 207840 2030190 ) ( 207840 2138230 )
NEW met3 ( 207840 2030190 ) M3M4_PR_M
NEW met2 ( 209040 2030190 ) via2_FR
NEW met3 ( 207840 2138230 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[33\] ( padframe mprj_io_inp_dis[33] ) ( gpio_control_in\[33\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 1814110 ) ( 209040 1814110 )
NEW met2 ( 209040 1813740 0 ) ( 209040 1814110 )
NEW met3 ( 207840 1922150 ) ( 207840 1925110 0 )
NEW met4 ( 207840 1814110 ) ( 207840 1922150 )
NEW met3 ( 207840 1814110 ) M3M4_PR_M
NEW met2 ( 209040 1814110 ) via2_FR
NEW met3 ( 207840 1922150 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[34\] ( padframe mprj_io_inp_dis[34] ) ( gpio_control_in\[34\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 1598770 ) ( 209040 1598770 )
NEW met2 ( 209040 1597660 0 ) ( 209040 1598770 )
NEW met3 ( 207840 1706070 ) ( 207840 1709030 0 )
NEW met4 ( 207840 1598770 ) ( 207840 1706070 )
NEW met3 ( 207840 1598770 ) M3M4_PR_M
NEW met2 ( 209040 1598770 ) via2_FR
NEW met3 ( 207840 1706070 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[35\] ( padframe mprj_io_inp_dis[35] ) ( gpio_control_in\[35\] pad_gpio_inenb )
+ ROUTED met3 ( 207840 1382690 ) ( 209040 1382690 )
NEW met2 ( 209040 1381580 0 ) ( 209040 1382690 )
NEW met3 ( 207840 1489990 ) ( 207840 1492950 0 )
NEW met4 ( 207840 1382690 ) ( 207840 1489990 )
NEW met3 ( 207840 1382690 ) M3M4_PR_M
NEW met2 ( 209040 1382690 ) via2_FR
NEW met3 ( 207840 1489990 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[36\] ( padframe mprj_io_inp_dis[36] ) ( gpio_control_in\[36\] pad_gpio_inenb )
+ ROUTED met3 ( 206880 1166610 ) ( 209040 1166610 )
NEW met2 ( 209040 1165645 0 ) ( 209040 1166610 )
NEW met3 ( 206880 1273910 ) ( 206880 1276870 0 )
NEW met4 ( 206880 1166610 ) ( 206880 1273910 )
NEW met3 ( 206880 1166610 ) M3M4_PR_M
NEW met2 ( 209040 1166610 ) via2_FR
NEW met3 ( 206880 1273910 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[37\] ( padframe mprj_io_inp_dis[37] ) ( gpio_control_in\[37\] pad_gpio_inenb )
+ ROUTED met3 ( 205920 950530 ) ( 209040 950530 )
NEW met2 ( 209040 949645 0 ) ( 209040 950530 )
NEW met3 ( 205920 1057830 ) ( 205920 1060790 0 )
NEW met4 ( 205920 950530 ) ( 205920 1057830 )
NEW met3 ( 205920 950530 ) M3M4_PR_M
NEW met2 ( 209040 950530 ) via2_FR
NEW met3 ( 205920 1057830 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[3\] ( padframe mprj_io_inp_dis[3] ) ( gpio_control_in\[3\] pad_gpio_inenb )
+ ROUTED met3 ( 3372960 1217670 ) ( 3377520 1217670 )
NEW met2 ( 3377520 1215450 0 ) ( 3377520 1217670 )
NEW met3 ( 3372960 1327190 ) ( 3373920 1327190 )
NEW met3 ( 3373920 1327190 ) ( 3373920 1330150 0 )
NEW met4 ( 3372960 1217670 ) ( 3372960 1327190 )
NEW met3 ( 3372960 1217670 ) M3M4_PR_M
NEW met2 ( 3377520 1217670 ) via2_FR
NEW met3 ( 3372960 1327190 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[4\] ( padframe mprj_io_inp_dis[4] ) ( gpio_control_in\[4\] pad_gpio_inenb )
+ ROUTED met1 ( 3374640 1442815 ) ( 3377520 1442815 )
NEW met2 ( 3377520 1440410 0 ) ( 3377520 1442815 )
NEW met1 ( 3374640 1497575 ) ( 3384240 1497575 )
NEW met2 ( 3384240 1497575 ) ( 3384240 1553630 )
NEW met3 ( 3384240 1553630 ) ( 3384480 1553630 )
NEW met3 ( 3384480 1553630 ) ( 3384480 1555110 0 )
NEW met2 ( 3374640 1442815 ) ( 3374640 1497575 )
NEW met1 ( 3374640 1442815 ) M1M2_PR
NEW met1 ( 3377520 1442815 ) M1M2_PR
NEW met1 ( 3374640 1497575 ) M1M2_PR
NEW met1 ( 3384240 1497575 ) M1M2_PR
NEW met2 ( 3384240 1553630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[5\] ( padframe mprj_io_inp_dis[5] ) ( gpio_control_in\[5\] pad_gpio_inenb )
+ ROUTED met3 ( 3373920 1667590 ) ( 3377040 1667590 )
NEW met2 ( 3377040 1665370 0 ) ( 3377040 1667590 )
NEW met3 ( 3373920 1777110 ) ( 3373920 1780070 0 )
NEW met4 ( 3373920 1667590 ) ( 3373920 1777110 )
NEW met3 ( 3373920 1667590 ) M3M4_PR_M
NEW met2 ( 3377040 1667590 ) via2_FR
NEW met3 ( 3373920 1777110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_inp_dis\[6\] ( padframe mprj_io_inp_dis[6] ) ( gpio_control_in\[6\] pad_gpio_inenb )
+ ROUTED met3 ( 3372960 2002810 ) ( 3373920 2002810 )
NEW met3 ( 3373920 2002810 ) ( 3373920 2005770 0 )
NEW met3 ( 3372960 1894030 ) ( 3377520 1894030 )
NEW met2 ( 3377520 1891440 0 ) ( 3377520 1894030 )
NEW met4 ( 3372960 1894030 ) ( 3372960 2002810 )
NEW met3 ( 3372960 2002810 ) M3M4_PR_M
NEW met3 ( 3372960 1894030 ) M3M4_PR_M
NEW met2 ( 3377520 1894030 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[7\] ( padframe mprj_io_inp_dis[7] ) ( gpio_control_in\[7\] pad_gpio_inenb )
+ ROUTED met2 ( 3372240 2487510 ) ( 3372720 2487510 )
NEW met2 ( 3372720 2448290 ) ( 3372720 2487510 )
NEW met3 ( 3372720 2448290 ) ( 3373920 2448290 )
NEW met3 ( 3373920 2446810 0 ) ( 3373920 2448290 )
NEW met2 ( 3371760 2705810 ) ( 3372240 2705810 )
NEW met2 ( 3371760 2705810 ) ( 3371760 2775185 )
NEW met1 ( 3371760 2775185 ) ( 3377520 2775185 )
NEW met2 ( 3377520 2775185 ) ( 3377520 2777220 0 )
NEW met2 ( 3372240 2487510 ) ( 3372240 2705810 )
NEW met2 ( 3372720 2448290 ) via2_FR
NEW met1 ( 3371760 2775185 ) M1M2_PR
NEW met1 ( 3377520 2775185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_inp_dis\[8\] ( padframe mprj_io_inp_dis[8] ) ( gpio_control_in\[8\] pad_gpio_inenb )
+ ROUTED met3 ( 3379680 2666960 0 ) ( 3379680 2668810 )
NEW met3 ( 3378960 3001070 ) ( 3379680 3001070 )
NEW met2 ( 3378960 3001070 ) ( 3378960 3003290 0 )
NEW met4 ( 3379680 2668810 ) ( 3379680 3001070 )
NEW met3 ( 3379680 2668810 ) M3M4_PR_M
NEW met3 ( 3379680 3001070 ) M3M4_PR_M
NEW met2 ( 3378960 3001070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_inp_dis\[9\] ( padframe mprj_io_inp_dis[9] ) ( gpio_control_in\[9\] pad_gpio_inenb )
+ ROUTED met3 ( 3374880 2890810 ) ( 3374880 2891920 0 )
NEW met3 ( 3374880 2995890 ) ( 3380640 2995890 )
NEW met4 ( 3374880 2890810 ) ( 3374880 2995890 )
NEW met3 ( 3372000 3227510 ) ( 3377520 3227510 )
NEW met2 ( 3377520 3227510 ) ( 3377520 3228250 0 )
NEW met3 ( 3372000 3189030 ) ( 3376080 3189030 )
NEW met2 ( 3376080 3150550 ) ( 3376080 3189030 )
NEW met3 ( 3376080 3150550 ) ( 3380640 3150550 )
NEW met4 ( 3372000 3189030 ) ( 3372000 3227510 )
NEW met4 ( 3380640 2995890 ) ( 3380640 3150550 )
NEW met3 ( 3374880 2890810 ) M3M4_PR_M
NEW met3 ( 3374880 2995890 ) M3M4_PR_M
NEW met3 ( 3380640 2995890 ) M3M4_PR_M
NEW met3 ( 3372000 3227510 ) M3M4_PR_M
NEW met2 ( 3377520 3227510 ) via2_FR
NEW met3 ( 3372000 3189030 ) M3M4_PR_M
NEW met2 ( 3376080 3189030 ) via2_FR
NEW met2 ( 3376080 3150550 ) via2_FR
NEW met3 ( 3380640 3150550 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_loader_clock ( soc mprj_io_loader_clock ) ( gpio_control_in\[9\] serial_clock ) ( gpio_control_in\[8\] serial_clock ) ( gpio_control_in\[7\] serial_clock )
( gpio_control_in\[6\] serial_clock ) ( gpio_control_in\[5\] serial_clock ) ( gpio_control_in\[4\] serial_clock ) ( gpio_control_in\[3\] serial_clock ) ( gpio_control_in\[37\] serial_clock )
( gpio_control_in\[36\] serial_clock ) ( gpio_control_in\[35\] serial_clock ) ( gpio_control_in\[34\] serial_clock ) ( gpio_control_in\[33\] serial_clock ) ( gpio_control_in\[32\] serial_clock )
( gpio_control_in\[31\] serial_clock ) ( gpio_control_in\[30\] serial_clock ) ( gpio_control_in\[2\] serial_clock ) ( gpio_control_in\[29\] serial_clock ) ( gpio_control_in\[28\] serial_clock )
( gpio_control_in\[27\] serial_clock ) ( gpio_control_in\[26\] serial_clock ) ( gpio_control_in\[25\] serial_clock ) ( gpio_control_in\[24\] serial_clock ) ( gpio_control_in\[23\] serial_clock )
( gpio_control_in\[22\] serial_clock ) ( gpio_control_in\[21\] serial_clock ) ( gpio_control_in\[20\] serial_clock ) ( gpio_control_in\[19\] serial_clock ) ( gpio_control_in\[18\] serial_clock )
( gpio_control_in\[17\] serial_clock ) ( gpio_control_in\[16\] serial_clock ) ( gpio_control_in\[15\] serial_clock ) ( gpio_control_in\[14\] serial_clock ) ( gpio_control_in\[13\] serial_clock )
( gpio_control_in\[12\] serial_clock ) ( gpio_control_in\[11\] serial_clock ) ( gpio_control_in\[10\] serial_clock ) ( gpio_control_bidir\[1\] serial_clock ) ( gpio_control_bidir\[0\] serial_clock )
+ ROUTED met3 ( 210720 2802750 0 ) ( 210720 2804970 )
NEW met3 ( 210720 2802750 0 ) ( 219120 2802750 )
NEW met3 ( 211680 3882410 0 ) ( 216240 3882410 )
NEW met2 ( 216240 3882410 ) ( 216720 3882410 )
NEW met3 ( 200160 4506970 ) ( 200400 4506970 )
NEW met1 ( 2205360 5025525 ) ( 2205360 5025895 )
NEW met1 ( 2205360 5025895 ) ( 2217360 5025895 )
NEW met2 ( 2217360 5025895 ) ( 2217360 5027375 )
NEW met3 ( 3398880 1800790 ) ( 3399600 1800790 )
NEW met3 ( 3398880 1800790 ) ( 3398880 1803750 0 )
NEW met2 ( 3398640 2471415 ) ( 3398640 2471970 )
NEW met3 ( 3398640 2471970 ) ( 3398880 2471970 )
NEW met3 ( 3398880 2470490 0 ) ( 3398880 2471970 )
NEW met3 ( 3398880 2467530 ) ( 3399600 2467530 )
NEW met3 ( 3398880 2467530 ) ( 3398880 2470490 0 )
NEW met3 ( 211680 4520660 0 ) ( 211680 4521030 )
NEW met3 ( 211680 4521030 ) ( 223920 4521030 )
NEW met3 ( 200160 4507710 ) ( 216240 4507710 )
NEW met2 ( 216240 4507710 ) ( 216240 4521030 )
NEW met3 ( 200160 4506970 ) ( 200160 4507710 )
NEW met2 ( 223920 4521030 ) ( 223920 4918965 )
NEW met3 ( 1071840 4976870 ) ( 1072080 4976870 )
NEW met3 ( 1071840 4976870 ) ( 1071840 4979090 0 )
NEW met2 ( 2203920 4981865 ) ( 2203920 5025525 )
NEW met3 ( 2817600 4979090 0 ) ( 2818800 4979090 )
NEW met2 ( 2818800 4978905 ) ( 2818800 4979090 )
NEW met1 ( 2818800 4978905 ) ( 2837520 4978905 )
NEW met2 ( 2837520 4917855 ) ( 2837520 4978905 )
NEW met2 ( 2818800 4979090 ) ( 2818800 4980755 )
NEW met2 ( 3210960 712805 ) ( 3210960 717430 )
NEW met2 ( 3261840 4715835 ) ( 3261840 4917855 )
NEW met2 ( 3399600 1727530 ) ( 3399600 1800790 )
NEW met2 ( 3399600 2376510 ) ( 3399600 2467530 )
NEW met2 ( 3400080 2836790 ) ( 3400080 2916895 )
NEW met2 ( 3398640 3585485 ) ( 3398640 3590110 )
NEW met3 ( 3398640 3590110 ) ( 3398880 3590110 )
NEW met3 ( 3398880 3590110 ) ( 3398880 3592700 0 )
NEW met2 ( 3398640 3499645 ) ( 3398640 3585485 )
NEW met2 ( 2178000 5025525 ) ( 2178000 5025710 )
NEW met3 ( 2175840 5025710 0 ) ( 2178000 5025710 )
NEW met1 ( 2178000 5025525 ) ( 2205360 5025525 )
NEW met1 ( 2217360 5027375 ) ( 2355120 5027375 )
NEW met3 ( 3370080 1803750 ) ( 3373920 1803750 0 )
NEW met3 ( 3373920 1803750 0 ) ( 3398880 1803750 0 )
NEW met3 ( 3370080 2029450 ) ( 3373920 2029450 0 )
NEW met1 ( 3374160 2471415 ) ( 3398640 2471415 )
NEW met3 ( 3373920 2688050 ) ( 3373920 2690640 0 )
NEW met3 ( 3373920 2688050 ) ( 3374160 2688050 )
NEW met3 ( 3376800 2691750 ) ( 3377760 2691750 )
NEW met3 ( 3376800 2690640 0 ) ( 3376800 2691750 )
NEW met3 ( 3373920 2690640 0 ) ( 3376800 2690640 0 )
NEW met3 ( 3377760 2836790 ) ( 3400080 2836790 )
NEW met3 ( 1328640 4978350 ) ( 1328640 4979090 0 )
NEW met3 ( 1328640 4978350 ) ( 1328880 4978350 )
NEW met2 ( 1328880 4967805 ) ( 1328880 4978350 )
NEW met2 ( 2355120 4980015 ) ( 2355120 5027375 )
NEW met3 ( 2559600 4979830 ) ( 2560800 4979830 0 )
NEW met2 ( 2559600 4979830 ) ( 2559600 4980015 )
NEW met1 ( 2567760 4980755 ) ( 2567760 4981125 )
NEW met1 ( 2559600 4981125 ) ( 2567760 4981125 )
NEW met2 ( 2559600 4980015 ) ( 2559600 4981125 )
NEW met1 ( 2355120 4980015 ) ( 2559600 4980015 )
NEW met1 ( 2567760 4980755 ) ( 2818800 4980755 )
NEW met3 ( 3172320 717430 0 ) ( 3210960 717430 )
NEW met1 ( 2837520 4917855 ) ( 3261840 4917855 )
NEW met1 ( 3297840 712805 ) ( 3297840 713175 )
NEW met1 ( 3210960 712805 ) ( 3297840 712805 )
NEW met3 ( 3373920 902430 0 ) ( 3373920 904650 )
NEW met3 ( 3372000 902430 ) ( 3373920 902430 0 )
NEW met3 ( 3372000 1578790 ) ( 3373920 1578790 0 )
NEW met3 ( 3374880 1578790 0 ) ( 3374880 1579530 )
NEW met3 ( 3373920 1578790 0 ) ( 3374880 1578790 0 )
NEW met4 ( 3374880 1579530 ) ( 3374880 1727530 )
NEW met3 ( 3374880 1727530 ) ( 3399600 1727530 )
NEW met4 ( 3370080 1803750 ) ( 3370080 2029450 )
NEW met4 ( 3370080 2029450 ) ( 3370080 2376510 )
NEW met3 ( 3370080 2376510 ) ( 3399600 2376510 )
NEW met2 ( 3374160 2471415 ) ( 3374160 2688050 )
NEW met4 ( 3377760 2691750 ) ( 3377760 2836790 )
NEW met3 ( 3373920 2915970 0 ) ( 3373920 2916710 )
NEW met3 ( 3373680 2916710 ) ( 3373920 2916710 )
NEW met2 ( 3373680 2916710 ) ( 3373680 2916895 )
NEW met1 ( 3354960 2916895 ) ( 3373680 2916895 )
NEW met1 ( 3373680 2916895 ) ( 3400080 2916895 )
NEW met2 ( 3354960 3141670 ) ( 3354960 3153695 )
NEW met2 ( 3354960 2916895 ) ( 3354960 3141670 )
NEW met3 ( 3373920 3365890 ) ( 3373920 3366630 0 )
NEW met3 ( 3373920 3365890 ) ( 3374160 3365890 )
NEW met2 ( 3374160 3365705 ) ( 3374160 3365890 )
NEW met1 ( 3362640 3365705 ) ( 3374160 3365705 )
NEW met1 ( 3354960 3365705 ) ( 3362640 3365705 )
NEW met2 ( 3354960 3365705 ) ( 3354960 3499645 )
NEW met1 ( 3354960 3499645 ) ( 3398640 3499645 )
NEW met1 ( 3354960 3585485 ) ( 3398640 3585485 )
NEW met2 ( 3354960 3585485 ) ( 3354960 3815995 )
NEW met1 ( 3364560 4709175 ) ( 3369360 4709175 )
NEW met2 ( 3369360 4709175 ) ( 3369360 4709730 )
NEW met3 ( 3369360 4709730 ) ( 3373920 4709730 0 )
NEW met2 ( 3364560 4709175 ) ( 3364560 4715835 )
NEW met1 ( 3261840 4715835 ) ( 3364560 4715835 )
NEW met3 ( 210720 1948790 0 ) ( 210720 1951010 )
NEW met3 ( 211680 1947310 ) ( 212640 1947310 )
NEW met3 ( 211680 1947310 ) ( 211680 1948790 0 )
NEW met3 ( 210720 1948790 0 ) ( 211680 1948790 0 )
NEW met3 ( 211680 2164870 0 ) ( 219120 2164870 )
NEW met3 ( 210720 2163390 ) ( 210720 2164870 0 )
NEW met3 ( 210720 2164870 0 ) ( 211680 2164870 0 )
NEW met2 ( 219120 2164870 ) ( 219120 2802750 )
NEW met3 ( 210720 3015870 ) ( 210720 3018830 0 )
NEW met3 ( 203040 3018830 0 ) ( 203040 3019570 )
NEW met3 ( 203040 3018830 0 ) ( 210720 3018830 0 )
NEW met3 ( 203040 3231950 ) ( 203040 3234910 0 )
NEW met3 ( 203040 3448030 ) ( 203040 3450990 0 )
NEW met3 ( 210720 3809150 ) ( 216240 3809150 )
NEW met2 ( 216240 3809150 ) ( 216240 3882410 )
NEW met1 ( 200400 4363225 ) ( 202320 4363225 )
NEW met2 ( 200400 4363225 ) ( 200400 4506970 )
NEW met3 ( 557760 4977610 ) ( 576720 4977610 )
NEW met3 ( 557760 4977610 ) ( 557760 4979090 0 )
NEW met3 ( 814560 4978350 ) ( 814800 4978350 )
NEW met3 ( 814560 4978350 ) ( 814560 4979090 0 )
NEW met3 ( 1586400 4979090 0 ) ( 1587600 4979090 )
NEW met2 ( 1587600 4967805 ) ( 1587600 4979090 )
NEW met2 ( 1839600 4989450 ) ( 1839600 4989635 )
NEW met3 ( 1838880 4989450 0 ) ( 1839600 4989450 )
NEW met2 ( 1999440 4979645 ) ( 1999440 4980755 )
NEW met3 ( 3400560 1354570 ) ( 3400800 1354570 )
NEW met3 ( 3400800 1353830 0 ) ( 3400800 1354570 )
NEW met3 ( 3372000 1353830 ) ( 3400800 1353830 0 )
NEW met4 ( 3372000 1353830 ) ( 3372000 1578790 )
NEW met1 ( 3354960 3153695 ) ( 3362640 3153695 )
NEW met2 ( 3362640 3153695 ) ( 3362640 3365705 )
NEW met2 ( 3373680 3815995 ) ( 3373680 3816550 )
NEW met3 ( 3373680 3816550 ) ( 3373920 3816550 )
NEW met3 ( 3373920 3816550 ) ( 3373920 3817660 0 )
NEW met1 ( 3354960 3815995 ) ( 3373680 3815995 )
NEW met3 ( 211680 1084470 0 ) ( 216720 1084470 )
NEW met3 ( 211680 1300550 0 ) ( 216720 1300550 )
NEW met3 ( 210720 1324230 ) ( 216720 1324230 )
NEW met2 ( 216720 1300550 ) ( 216720 1324230 )
NEW met2 ( 216720 1084470 ) ( 216720 1300550 )
NEW met3 ( 210720 1513670 ) ( 210720 1516630 0 )
NEW met4 ( 210720 1324230 ) ( 210720 1513670 )
NEW met3 ( 210720 1730490 ) ( 210720 1732710 0 )
NEW met3 ( 211680 1734190 ) ( 212640 1734190 )
NEW met3 ( 211680 1732710 0 ) ( 211680 1734190 )
NEW met3 ( 210720 1732710 0 ) ( 211680 1732710 0 )
NEW met4 ( 210720 1513670 ) ( 210720 1730490 )
NEW met4 ( 210720 1951010 ) ( 210720 2163390 )
NEW met4 ( 210720 2804970 ) ( 210720 3015870 )
NEW met4 ( 203040 3019570 ) ( 203040 3231950 )
NEW met4 ( 203040 3231950 ) ( 203040 3448030 )
NEW met3 ( 208800 3666700 0 ) ( 208800 3669290 )
NEW met4 ( 208800 3669290 ) ( 208800 3741255 )
NEW met4 ( 208800 3741255 ) ( 210720 3741255 )
NEW met3 ( 203040 3665590 ) ( 203040 3666700 0 )
NEW met3 ( 203040 3666700 0 ) ( 208800 3666700 0 )
NEW met4 ( 203040 3448030 ) ( 203040 3665590 )
NEW met4 ( 210720 3741255 ) ( 210720 3809150 )
NEW met3 ( 201120 4097010 ) ( 201120 4098490 0 )
NEW met3 ( 200880 4097010 ) ( 201120 4097010 )
NEW met2 ( 200880 4089425 ) ( 200880 4097010 )
NEW met1 ( 200880 4089425 ) ( 216720 4089425 )
NEW met2 ( 216720 3882410 ) ( 216720 4089425 )
NEW met1 ( 199920 4349165 ) ( 202320 4349165 )
NEW met2 ( 202320 4349165 ) ( 202320 4363225 )
NEW met2 ( 576720 4918965 ) ( 576720 4977610 )
NEW met1 ( 813840 4967435 ) ( 814800 4967435 )
NEW met1 ( 813840 4966695 ) ( 813840 4967435 )
NEW met2 ( 814800 4967435 ) ( 814800 4978350 )
NEW met1 ( 936240 4967435 ) ( 936240 4967805 )
NEW met2 ( 3398640 677470 ) ( 3398640 713175 )
NEW met3 ( 3398640 677470 ) ( 3398880 677470 )
NEW met3 ( 3398880 676730 0 ) ( 3398880 677470 )
NEW met3 ( 3371760 713730 ) ( 3372000 713730 )
NEW met2 ( 3371760 713175 ) ( 3371760 713730 )
NEW met1 ( 3297840 713175 ) ( 3398640 713175 )
NEW met4 ( 3372000 713730 ) ( 3372000 902430 )
NEW met3 ( 3383520 1127760 0 ) ( 3383520 1128870 )
NEW met3 ( 3373920 1050430 ) ( 3399120 1050430 )
NEW met2 ( 3399120 1050430 ) ( 3399120 1125170 )
NEW met3 ( 3398880 1125170 ) ( 3399120 1125170 )
NEW met3 ( 3398880 1125170 ) ( 3398880 1127760 0 )
NEW met3 ( 3383520 1127760 0 ) ( 3398880 1127760 0 )
NEW met4 ( 3373920 904650 ) ( 3373920 1050430 )
NEW met3 ( 3383520 1281310 ) ( 3400560 1281310 )
NEW met4 ( 3383520 1128870 ) ( 3383520 1281310 )
NEW met2 ( 3400560 1281310 ) ( 3400560 1354570 )
NEW met3 ( 3354960 3141670 ) ( 3373920 3141670 0 )
NEW met2 ( 3364560 3815995 ) ( 3364560 4709175 )
NEW met2 ( 1641840 4967805 ) ( 1641840 4989635 )
NEW met1 ( 1641840 4989635 ) ( 1839600 4989635 )
NEW met2 ( 1857360 4978905 ) ( 1857360 4989635 )
NEW met1 ( 1857360 4978905 ) ( 1890000 4978905 )
NEW met1 ( 1890000 4978905 ) ( 1890000 4979645 )
NEW met1 ( 1839600 4989635 ) ( 1857360 4989635 )
NEW met1 ( 1890000 4979645 ) ( 1999440 4979645 )
NEW met1 ( 223920 4918965 ) ( 576720 4918965 )
NEW met2 ( 633840 4966695 ) ( 633840 4967435 )
NEW met1 ( 576720 4967435 ) ( 633840 4967435 )
NEW met1 ( 633840 4966695 ) ( 813840 4966695 )
NEW met1 ( 814800 4967435 ) ( 936240 4967435 )
NEW met1 ( 936240 4967805 ) ( 1072080 4967805 )
NEW met2 ( 1072080 4967805 ) ( 1072080 4976870 )
NEW met1 ( 1072080 4967805 ) ( 1328880 4967805 )
NEW met1 ( 1328880 4967805 ) ( 1641840 4967805 )
NEW met4 ( 212640 1734190 ) ( 212640 1947310 )
NEW met2 ( 2044560 4980570 ) ( 2044560 4980755 )
NEW met2 ( 2044560 4980570 ) ( 2045040 4980570 )
NEW met2 ( 2045040 4980570 ) ( 2045040 4980755 )
NEW met1 ( 2045040 4980755 ) ( 2116560 4980755 )
NEW met2 ( 2116560 4980755 ) ( 2116560 4981865 )
NEW met1 ( 1999440 4980755 ) ( 2044560 4980755 )
NEW met1 ( 2116560 4981865 ) ( 2203920 4981865 )
NEW met1 ( 199920 4261845 ) ( 199920 4262585 )
NEW met1 ( 199920 4261845 ) ( 200400 4261845 )
NEW met2 ( 199920 4262585 ) ( 199920 4349165 )
NEW met1 ( 200400 4233355 ) ( 200880 4233355 )
NEW met2 ( 200880 4213190 ) ( 200880 4233355 )
NEW met3 ( 200160 4213190 ) ( 200880 4213190 )
NEW met4 ( 200160 4137710 ) ( 200160 4213190 )
NEW met3 ( 199920 4137710 ) ( 200160 4137710 )
NEW met2 ( 199920 4133085 ) ( 199920 4137710 )
NEW met1 ( 199920 4133085 ) ( 200880 4133085 )
NEW met2 ( 200400 4233355 ) ( 200400 4261845 )
NEW met2 ( 200880 4097010 ) ( 200880 4133085 )
NEW met3 ( 210720 2804970 ) M3M4_PR_M
NEW met2 ( 219120 2802750 ) via2_FR
NEW met2 ( 216240 3882410 ) via2_FR
NEW met2 ( 200400 4506970 ) via2_FR
NEW met1 ( 2217360 5025895 ) M1M2_PR
NEW met1 ( 2217360 5027375 ) M1M2_PR
NEW met1 ( 2203920 5025525 ) M1M2_PR
NEW met2 ( 3399600 1800790 ) via2_FR
NEW met1 ( 3398640 2471415 ) M1M2_PR
NEW met2 ( 3398640 2471970 ) via2_FR
NEW met2 ( 3399600 2467530 ) via2_FR
NEW met2 ( 3400080 2836790 ) via2_FR
NEW met2 ( 223920 4521030 ) via2_FR
NEW met2 ( 216240 4507710 ) via2_FR
NEW met2 ( 216240 4521030 ) via2_FR
NEW met1 ( 223920 4918965 ) M1M2_PR
NEW met2 ( 1072080 4976870 ) via2_FR
NEW met1 ( 1641840 4967805 ) M1M2_PR
NEW met1 ( 1587600 4967805 ) M1M2_PR
NEW met1 ( 2203920 4981865 ) M1M2_PR
NEW met2 ( 2818800 4979090 ) via2_FR
NEW met1 ( 2818800 4978905 ) M1M2_PR
NEW met1 ( 2837520 4978905 ) M1M2_PR
NEW met1 ( 2837520 4917855 ) M1M2_PR
NEW met1 ( 2818800 4980755 ) M1M2_PR
NEW met1 ( 3210960 712805 ) M1M2_PR
NEW met2 ( 3210960 717430 ) via2_FR
NEW met1 ( 3261840 4715835 ) M1M2_PR
NEW met1 ( 3261840 4917855 ) M1M2_PR
NEW met2 ( 3399600 1727530 ) via2_FR
NEW met2 ( 3399600 2376510 ) via2_FR
NEW met1 ( 3400080 2916895 ) M1M2_PR
NEW met1 ( 3398640 3585485 ) M1M2_PR
NEW met2 ( 3398640 3590110 ) via2_FR
NEW met1 ( 3398640 3499645 ) M1M2_PR
NEW met1 ( 2178000 5025525 ) M1M2_PR
NEW met2 ( 2178000 5025710 ) via2_FR
NEW met1 ( 2355120 5027375 ) M1M2_PR
NEW met3 ( 3370080 1803750 ) M3M4_PR_M
NEW met3 ( 3370080 2029450 ) M3M4_PR_M
NEW met1 ( 3374160 2471415 ) M1M2_PR
NEW met2 ( 3374160 2688050 ) via2_FR
NEW met3 ( 3377760 2691750 ) M3M4_PR_M
NEW met3 ( 3377760 2836790 ) M3M4_PR_M
NEW met1 ( 3354960 3815995 ) M1M2_PR
NEW met2 ( 1328880 4978350 ) via2_FR
NEW met1 ( 1328880 4967805 ) M1M2_PR
NEW met1 ( 2355120 4980015 ) M1M2_PR
NEW met2 ( 2559600 4979830 ) via2_FR
NEW met1 ( 2559600 4980015 ) M1M2_PR
NEW met1 ( 2559600 4981125 ) M1M2_PR
NEW met3 ( 3373920 904650 ) M3M4_PR_M
NEW met3 ( 3372000 902430 ) M3M4_PR_M
NEW met3 ( 3372000 1578790 ) M3M4_PR_M
NEW met3 ( 3374880 1579530 ) M3M4_PR_M
NEW met3 ( 3374880 1727530 ) M3M4_PR_M
NEW met3 ( 3370080 2376510 ) M3M4_PR_M
NEW met2 ( 3373680 2916710 ) via2_FR
NEW met1 ( 3373680 2916895 ) M1M2_PR
NEW met1 ( 3354960 2916895 ) M1M2_PR
NEW met2 ( 3354960 3141670 ) via2_FR
NEW met1 ( 3354960 3153695 ) M1M2_PR
NEW met2 ( 3374160 3365890 ) via2_FR
NEW met1 ( 3374160 3365705 ) M1M2_PR
NEW met1 ( 3362640 3365705 ) M1M2_PR
NEW met1 ( 3354960 3365705 ) M1M2_PR
NEW met1 ( 3354960 3585485 ) M1M2_PR
NEW met1 ( 3354960 3499645 ) M1M2_PR
NEW met1 ( 3364560 4709175 ) M1M2_PR
NEW met1 ( 3369360 4709175 ) M1M2_PR
NEW met2 ( 3369360 4709730 ) via2_FR
NEW met1 ( 3364560 4715835 ) M1M2_PR
NEW met3 ( 210720 1951010 ) M3M4_PR_M
NEW met3 ( 212640 1947310 ) M3M4_PR_M
NEW met2 ( 219120 2164870 ) via2_FR
NEW met3 ( 210720 2163390 ) M3M4_PR_M
NEW met3 ( 210720 3015870 ) M3M4_PR_M
NEW met3 ( 203040 3019570 ) M3M4_PR_M
NEW met3 ( 203040 3231950 ) M3M4_PR_M
NEW met3 ( 203040 3448030 ) M3M4_PR_M
NEW met3 ( 210720 3809150 ) M3M4_PR_M
NEW met2 ( 216240 3809150 ) via2_FR
NEW met1 ( 200400 4363225 ) M1M2_PR
NEW met1 ( 202320 4363225 ) M1M2_PR
NEW met2 ( 576720 4977610 ) via2_FR
NEW met2 ( 814800 4978350 ) via2_FR
NEW met2 ( 1587600 4979090 ) via2_FR
NEW met1 ( 1839600 4989635 ) M1M2_PR
NEW met2 ( 1839600 4989450 ) via2_FR
NEW met1 ( 1999440 4979645 ) M1M2_PR
NEW met1 ( 1999440 4980755 ) M1M2_PR
NEW met2 ( 3400560 1354570 ) via2_FR
NEW met3 ( 3372000 1353830 ) M3M4_PR_M
NEW met1 ( 3362640 3153695 ) M1M2_PR
NEW met1 ( 3373680 3815995 ) M1M2_PR
NEW met2 ( 3373680 3816550 ) via2_FR
NEW met1 ( 3364560 3815995 ) M1M2_PR
NEW met2 ( 216720 1084470 ) via2_FR
NEW met2 ( 216720 1300550 ) via2_FR
NEW met3 ( 210720 1324230 ) M3M4_PR_M
NEW met2 ( 216720 1324230 ) via2_FR
NEW met3 ( 210720 1513670 ) M3M4_PR_M
NEW met3 ( 210720 1730490 ) M3M4_PR_M
NEW met3 ( 212640 1734190 ) M3M4_PR_M
NEW met3 ( 208800 3669290 ) M3M4_PR_M
NEW met3 ( 203040 3665590 ) M3M4_PR_M
NEW met2 ( 200880 4097010 ) via2_FR
NEW met1 ( 200880 4089425 ) M1M2_PR
NEW met1 ( 216720 4089425 ) M1M2_PR
NEW met1 ( 199920 4349165 ) M1M2_PR
NEW met1 ( 202320 4349165 ) M1M2_PR
NEW met1 ( 576720 4918965 ) M1M2_PR
NEW met1 ( 576720 4967435 ) M1M2_PR
NEW met1 ( 814800 4967435 ) M1M2_PR
NEW met1 ( 3398640 713175 ) M1M2_PR
NEW met2 ( 3398640 677470 ) via2_FR
NEW met3 ( 3372000 713730 ) M3M4_PR_M
NEW met2 ( 3371760 713730 ) via2_FR
NEW met1 ( 3371760 713175 ) M1M2_PR
NEW met3 ( 3383520 1128870 ) M3M4_PR_M
NEW met3 ( 3373920 1050430 ) M3M4_PR_M
NEW met2 ( 3399120 1050430 ) via2_FR
NEW met2 ( 3399120 1125170 ) via2_FR
NEW met3 ( 3383520 1281310 ) M3M4_PR_M
NEW met2 ( 3400560 1281310 ) via2_FR
NEW met1 ( 1641840 4989635 ) M1M2_PR
NEW met1 ( 1857360 4989635 ) M1M2_PR
NEW met1 ( 1857360 4978905 ) M1M2_PR
NEW met1 ( 633840 4967435 ) M1M2_PR
NEW met1 ( 633840 4966695 ) M1M2_PR
NEW met1 ( 1072080 4967805 ) M1M2_PR
NEW met1 ( 2044560 4980755 ) M1M2_PR
NEW met1 ( 2045040 4980755 ) M1M2_PR
NEW met1 ( 2116560 4980755 ) M1M2_PR
NEW met1 ( 2116560 4981865 ) M1M2_PR
NEW met1 ( 199920 4262585 ) M1M2_PR
NEW met1 ( 200400 4261845 ) M1M2_PR
NEW met1 ( 200400 4233355 ) M1M2_PR
NEW met1 ( 200880 4233355 ) M1M2_PR
NEW met2 ( 200880 4213190 ) via2_FR
NEW met3 ( 200160 4213190 ) M3M4_PR_M
NEW met3 ( 200160 4137710 ) M3M4_PR_M
NEW met2 ( 199920 4137710 ) via2_FR
NEW met1 ( 199920 4133085 ) M1M2_PR
NEW met1 ( 200880 4133085 ) M1M2_PR
NEW met1 ( 2203920 5025525 ) RECT ( -595 -70 0 70 )
NEW met3 ( 216240 4521030 ) RECT ( -800 -150 0 150 )
NEW met1 ( 1587600 4967805 ) RECT ( -595 -70 0 70 )
NEW met1 ( 3364560 3815995 ) RECT ( -595 -70 0 70 )
NEW met2 ( 576720 4967435 ) RECT ( -70 -485 70 0 )
NEW met3 ( 3372000 713730 ) RECT ( 0 -150 380 150 )
NEW met1 ( 3371760 713175 ) RECT ( -595 -70 0 70 )
NEW met3 ( 200160 4137710 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_loader_resetn ( soc mprj_io_loader_resetn ) ( gpio_control_in\[9\] resetn ) ( gpio_control_in\[8\] resetn ) ( gpio_control_in\[7\] resetn )
( gpio_control_in\[6\] resetn ) ( gpio_control_in\[5\] resetn ) ( gpio_control_in\[4\] resetn ) ( gpio_control_in\[3\] resetn ) ( gpio_control_in\[37\] resetn )
( gpio_control_in\[36\] resetn ) ( gpio_control_in\[35\] resetn ) ( gpio_control_in\[34\] resetn ) ( gpio_control_in\[33\] resetn ) ( gpio_control_in\[32\] resetn )
( gpio_control_in\[31\] resetn ) ( gpio_control_in\[30\] resetn ) ( gpio_control_in\[2\] resetn ) ( gpio_control_in\[29\] resetn ) ( gpio_control_in\[28\] resetn )
( gpio_control_in\[27\] resetn ) ( gpio_control_in\[26\] resetn ) ( gpio_control_in\[25\] resetn ) ( gpio_control_in\[24\] resetn ) ( gpio_control_in\[23\] resetn )
( gpio_control_in\[22\] resetn ) ( gpio_control_in\[21\] resetn ) ( gpio_control_in\[20\] resetn ) ( gpio_control_in\[19\] resetn ) ( gpio_control_in\[18\] resetn )
( gpio_control_in\[17\] resetn ) ( gpio_control_in\[16\] resetn ) ( gpio_control_in\[15\] resetn ) ( gpio_control_in\[14\] resetn ) ( gpio_control_in\[13\] resetn )
( gpio_control_in\[12\] resetn ) ( gpio_control_in\[11\] resetn ) ( gpio_control_in\[10\] resetn ) ( gpio_control_bidir\[1\] resetn ) ( gpio_control_bidir\[0\] resetn )
+ ROUTED met2 ( 216720 2806450 ) ( 218160 2806450 )
NEW met3 ( 211680 2798680 0 ) ( 211680 2799050 )
NEW met3 ( 211680 2799050 ) ( 218160 2799050 )
NEW met3 ( 204000 3875750 ) ( 204000 3878710 0 )
NEW met2 ( 2202960 5026635 ) ( 2202960 5027375 )
NEW met1 ( 2202960 5027375 ) ( 2208240 5027375 )
NEW met2 ( 2208240 5027190 ) ( 2208240 5027375 )
NEW met2 ( 2208240 5027190 ) ( 2209200 5027190 )
NEW met2 ( 2209200 5027005 ) ( 2209200 5027190 )
NEW met3 ( 3398880 2688050 ) ( 3399120 2688050 )
NEW met3 ( 3398880 2686570 0 ) ( 3398880 2688050 )
NEW met2 ( 3399120 2678615 ) ( 3399120 2688050 )
NEW met3 ( 1066800 4980570 ) ( 1067520 4980570 0 )
NEW met2 ( 1066800 4980570 ) ( 1066800 4980755 )
NEW met2 ( 1382640 4980015 ) ( 1382640 4980755 )
NEW met2 ( 2189040 4980385 ) ( 2189040 5026635 )
NEW met3 ( 2813760 4978350 ) ( 2813760 4979090 0 )
NEW met3 ( 2813760 4978350 ) ( 2814000 4978350 )
NEW met2 ( 2814000 4978165 ) ( 2814000 4978350 )
NEW met1 ( 2814000 4978165 ) ( 2837040 4978165 )
NEW met2 ( 2837040 4918225 ) ( 2837040 4978165 )
NEW met2 ( 2814000 4978350 ) ( 2814000 4980385 )
NEW met2 ( 3200880 749065 ) ( 3200880 749990 )
NEW met1 ( 3200880 749065 ) ( 3218160 749065 )
NEW met2 ( 3218160 662485 ) ( 3218160 749065 )
NEW met2 ( 3261360 4695485 ) ( 3261360 4918225 )
NEW met2 ( 3399120 2688050 ) ( 3399120 2735410 )
NEW met2 ( 3398640 2914490 ) ( 3398640 2951675 )
NEW met3 ( 3398640 2914490 ) ( 3398880 2914490 )
NEW met3 ( 3398880 2911530 0 ) ( 3398880 2914490 )
NEW met2 ( 2174160 5026450 ) ( 2174160 5026635 )
NEW met3 ( 2171520 5026450 0 ) ( 2174160 5026450 )
NEW met1 ( 2174160 5026635 ) ( 2202960 5026635 )
NEW met1 ( 2209200 5027005 ) ( 2359440 5027005 )
NEW met3 ( 3376800 1799680 0 ) ( 3376800 1800790 )
NEW met3 ( 3376800 1800790 ) ( 3379680 1800790 )
NEW met3 ( 3383520 2025750 0 ) ( 3383520 2026490 )
NEW met4 ( 3383520 2026490 ) ( 3383520 2059790 )
NEW met3 ( 3383280 2059790 ) ( 3383520 2059790 )
NEW met3 ( 3376800 2026490 ) ( 3383520 2026490 )
NEW met3 ( 3383520 2465310 ) ( 3383520 2466790 0 )
NEW met3 ( 3383280 2465310 ) ( 3383520 2465310 )
NEW met1 ( 3383280 2678615 ) ( 3399120 2678615 )
NEW met2 ( 3374640 4695485 ) ( 3374640 4703070 )
NEW met3 ( 3374640 4703070 ) ( 3374880 4703070 )
NEW met3 ( 3374880 4703070 ) ( 3374880 4705660 0 )
NEW met1 ( 3261360 4695485 ) ( 3374640 4695485 )
NEW met3 ( 1324320 4980570 0 ) ( 1325520 4980570 )
NEW met2 ( 1325520 4980570 ) ( 1325520 4980755 )
NEW met1 ( 1066800 4980755 ) ( 1325520 4980755 )
NEW met1 ( 1325520 4980755 ) ( 1382640 4980755 )
NEW met2 ( 2359440 4980385 ) ( 2359440 5027005 )
NEW met3 ( 2555760 4980570 ) ( 2556480 4980570 0 )
NEW met2 ( 2555760 4980385 ) ( 2555760 4980570 )
NEW met1 ( 2359440 4980385 ) ( 2555760 4980385 )
NEW met1 ( 2555760 4980385 ) ( 2814000 4980385 )
NEW met3 ( 3172320 749990 0 ) ( 3200880 749990 )
NEW met1 ( 2837040 4918225 ) ( 3261360 4918225 )
NEW met3 ( 3370080 898730 ) ( 3373920 898730 0 )
NEW met3 ( 3370080 1574350 ) ( 3373920 1574350 0 )
NEW met3 ( 3379680 1574350 0 ) ( 3379680 1575830 )
NEW met3 ( 3373920 1574350 0 ) ( 3379680 1574350 0 )
NEW met4 ( 3379680 1575830 ) ( 3379680 1800790 )
NEW met4 ( 3376800 1800790 ) ( 3376800 2026490 )
NEW met2 ( 3383280 2059790 ) ( 3383280 2465310 )
NEW met2 ( 3383280 2465310 ) ( 3383280 2678615 )
NEW met3 ( 3384480 2735410 ) ( 3399120 2735410 )
NEW met3 ( 3384480 2909310 ) ( 3384480 2911530 0 )
NEW met3 ( 3384480 2911530 0 ) ( 3397920 2911530 0 )
NEW met4 ( 3384480 2735410 ) ( 3384480 2909310 )
NEW met3 ( 3397920 2911530 0 ) ( 3398880 2911530 0 )
NEW met1 ( 3354480 2951675 ) ( 3398640 2951675 )
NEW met2 ( 3354480 3137230 ) ( 3354480 3168125 )
NEW met2 ( 3354480 2951675 ) ( 3354480 3137230 )
NEW met3 ( 3375840 3361450 ) ( 3375840 3362560 0 )
NEW met3 ( 3375600 3361450 ) ( 3375840 3361450 )
NEW met1 ( 3363120 3354975 ) ( 3375600 3354975 )
NEW met1 ( 3363120 3585855 ) ( 3364560 3585855 )
NEW met3 ( 3373920 3588630 0 ) ( 3373920 3589370 )
NEW met3 ( 3372720 3589370 ) ( 3373920 3589370 )
NEW met2 ( 3372720 3589185 ) ( 3372720 3589370 )
NEW met1 ( 3364560 3589185 ) ( 3372720 3589185 )
NEW met2 ( 3363120 3354975 ) ( 3363120 3585855 )
NEW met2 ( 217200 1137750 ) ( 217680 1137750 )
NEW met2 ( 217680 1137750 ) ( 217680 1138490 )
NEW met2 ( 217680 1138490 ) ( 218160 1138490 )
NEW met4 ( 202080 1776555 ) ( 206880 1776555 )
NEW met3 ( 211680 2160430 0 ) ( 218160 2160430 )
NEW met3 ( 203040 2159690 ) ( 203040 2160430 0 )
NEW met3 ( 203040 2160430 0 ) ( 211680 2160430 0 )
NEW met2 ( 218160 2160430 ) ( 218160 2806450 )
NEW met3 ( 211680 3014390 0 ) ( 216720 3014390 )
NEW met3 ( 211680 3230470 0 ) ( 216720 3230470 )
NEW met3 ( 210720 3230470 0 ) ( 210720 3233430 )
NEW met3 ( 210720 3230470 0 ) ( 211680 3230470 0 )
NEW met3 ( 210720 3443590 ) ( 210720 3446550 0 )
NEW met3 ( 205920 3446550 0 ) ( 205920 3448030 )
NEW met3 ( 205920 3446550 0 ) ( 210720 3446550 0 )
NEW met3 ( 556320 4976870 ) ( 576240 4976870 )
NEW met3 ( 556320 4976870 ) ( 556320 4979090 )
NEW met3 ( 553440 4979090 0 ) ( 556320 4979090 )
NEW met3 ( 810720 4977610 ) ( 813360 4977610 )
NEW met3 ( 810720 4977610 ) ( 810720 4979090 0 )
NEW met2 ( 813360 4977610 ) ( 813360 4980755 )
NEW met2 ( 1581840 4980570 ) ( 1581840 4980755 )
NEW met3 ( 1581840 4980570 ) ( 1582560 4980570 0 )
NEW met2 ( 1581840 4980755 ) ( 1581840 4982235 )
NEW met2 ( 1835760 4980385 ) ( 1835760 4980570 )
NEW met3 ( 1834560 4980570 0 ) ( 1835760 4980570 )
NEW met1 ( 1835760 4980385 ) ( 1835760 4980755 )
NEW met3 ( 3370080 1349390 ) ( 3373920 1349390 0 )
NEW met4 ( 3370080 1349390 ) ( 3370080 1574350 )
NEW met2 ( 3375120 3168125 ) ( 3375120 3238610 )
NEW met2 ( 3375120 3238610 ) ( 3375600 3238610 )
NEW met1 ( 3354480 3168125 ) ( 3375120 3168125 )
NEW met2 ( 3375600 3238610 ) ( 3375600 3361450 )
NEW met1 ( 3364560 3812665 ) ( 3373680 3812665 )
NEW met2 ( 3373680 3812665 ) ( 3373680 3812850 )
NEW met3 ( 3373680 3812850 ) ( 3373920 3812850 )
NEW met3 ( 3373920 3812850 ) ( 3373920 3813590 0 )
NEW met2 ( 3364560 3585855 ) ( 3364560 3812665 )
NEW met3 ( 211680 1080770 0 ) ( 217200 1080770 )
NEW met2 ( 217200 1080770 ) ( 217200 1137750 )
NEW met3 ( 203040 1512560 0 ) ( 203040 1513670 )
NEW met3 ( 211680 1512930 ) ( 211680 1513670 )
NEW met3 ( 203040 1512930 ) ( 211680 1512930 )
NEW met3 ( 211680 1728640 0 ) ( 211680 1729750 )
NEW met3 ( 206880 1729750 ) ( 211680 1729750 )
NEW met4 ( 206880 1729750 ) ( 206880 1776555 )
NEW met4 ( 211680 1513670 ) ( 211680 1729750 )
NEW met3 ( 203040 1942870 ) ( 203040 1944350 0 )
NEW met2 ( 216720 3014390 ) ( 216720 3230470 )
NEW met3 ( 204000 3662630 0 ) ( 204000 3665590 )
NEW met3 ( 205920 3661150 ) ( 205920 3662630 0 )
NEW met3 ( 204000 3662630 0 ) ( 205920 3662630 0 )
NEW met4 ( 204000 3665590 ) ( 204000 3875750 )
NEW met4 ( 205920 3448030 ) ( 205920 3661150 )
NEW met2 ( 576240 4918595 ) ( 576240 4976870 )
NEW met2 ( 813360 4967065 ) ( 813360 4977610 )
NEW met2 ( 3372240 662485 ) ( 3372240 672660 )
NEW met3 ( 3372240 672660 ) ( 3373920 672660 0 )
NEW met3 ( 3370080 675250 ) ( 3373920 675250 )
NEW met3 ( 3373920 672660 0 ) ( 3373920 675250 )
NEW met1 ( 3218160 662485 ) ( 3372240 662485 )
NEW met4 ( 3370080 675250 ) ( 3370080 898730 )
NEW met3 ( 3370080 1123690 ) ( 3373920 1123690 0 )
NEW met4 ( 3370080 898730 ) ( 3370080 1123690 )
NEW met3 ( 3373920 3137230 ) ( 3373920 3137600 0 )
NEW met3 ( 3354480 3137230 ) ( 3373920 3137230 )
NEW met1 ( 813360 4980755 ) ( 1066800 4980755 )
NEW met1 ( 1641840 4981865 ) ( 1641840 4982235 )
NEW met1 ( 1641840 4981865 ) ( 1742160 4981865 )
NEW met1 ( 1742160 4980755 ) ( 1742160 4981865 )
NEW met1 ( 1581840 4982235 ) ( 1641840 4982235 )
NEW met1 ( 1742160 4980755 ) ( 1835760 4980755 )
NEW met1 ( 222960 4918595 ) ( 576240 4918595 )
NEW met1 ( 576240 4967065 ) ( 813360 4967065 )
NEW met3 ( 211680 1296850 0 ) ( 218160 1296850 )
NEW met3 ( 203040 1296850 0 ) ( 203040 1297590 )
NEW met3 ( 203040 1296850 0 ) ( 211680 1296850 0 )
NEW met4 ( 203040 1297590 ) ( 203040 1513670 )
NEW met2 ( 218160 1138490 ) ( 218160 1296850 )
NEW met3 ( 202080 1814850 ) ( 202080 1815590 )
NEW met3 ( 202080 1815590 ) ( 208800 1815590 )
NEW met4 ( 208800 1815590 ) ( 208800 1842970 )
NEW met3 ( 208800 1842970 ) ( 208800 1843710 )
NEW met3 ( 203040 1843710 ) ( 208800 1843710 )
NEW met4 ( 202080 1776555 ) ( 202080 1814850 )
NEW met4 ( 203040 1843710 ) ( 203040 2159690 )
NEW met3 ( 216720 2822730 ) ( 218160 2822730 )
NEW met2 ( 218160 2822730 ) ( 218160 2900245 )
NEW met1 ( 216720 2900245 ) ( 218160 2900245 )
NEW met2 ( 216720 2806450 ) ( 216720 2822730 )
NEW met2 ( 216720 2900245 ) ( 216720 3014390 )
NEW met3 ( 211680 4516590 0 ) ( 213600 4516590 )
NEW met3 ( 213600 4516590 ) ( 222960 4516590 )
NEW met2 ( 222960 4516590 ) ( 222960 4918595 )
NEW met2 ( 403440 1278350 ) ( 403440 1278535 )
NEW met3 ( 403440 1278350 ) ( 433680 1278350 )
NEW met2 ( 433680 1278165 ) ( 433680 1278350 )
NEW met1 ( 834480 1278535 ) ( 834480 1278905 )
NEW met2 ( 2217840 1278350 ) ( 2217840 1278535 )
NEW met2 ( 2217840 1278350 ) ( 2218320 1278350 )
NEW met2 ( 2218320 1278350 ) ( 2218320 1278535 )
NEW met2 ( 2850960 1278350 ) ( 2850960 1278535 )
NEW met2 ( 2850960 1278350 ) ( 2851920 1278350 )
NEW met2 ( 2851920 1278165 ) ( 2851920 1278350 )
NEW met1 ( 3326160 1278165 ) ( 3326160 1278535 )
NEW met4 ( 210720 3233430 ) ( 210720 3443590 )
NEW met1 ( 1411440 4979645 ) ( 1411440 4980015 )
NEW met1 ( 1411440 4979645 ) ( 1412400 4979645 )
NEW met1 ( 1412400 4977425 ) ( 1412400 4979645 )
NEW met1 ( 1412400 4977425 ) ( 1511280 4977425 )
NEW met2 ( 1511280 4977425 ) ( 1511280 4978165 )
NEW met2 ( 1511280 4978165 ) ( 1511760 4978165 )
NEW met2 ( 1511760 4978165 ) ( 1511760 4980755 )
NEW met1 ( 1382640 4980015 ) ( 1411440 4980015 )
NEW met1 ( 1511760 4980755 ) ( 1581840 4980755 )
NEW met1 ( 1835760 4980385 ) ( 2189040 4980385 )
NEW met2 ( 302640 1276870 ) ( 302640 1277425 )
NEW met2 ( 302640 1276870 ) ( 303120 1276870 )
NEW met2 ( 303120 1276870 ) ( 303120 1277795 )
NEW met1 ( 303120 1277795 ) ( 402960 1277795 )
NEW met1 ( 402960 1277795 ) ( 402960 1278535 )
NEW met1 ( 218160 1277425 ) ( 302640 1277425 )
NEW met1 ( 402960 1278535 ) ( 403440 1278535 )
NEW met2 ( 532560 1278165 ) ( 532560 1278350 )
NEW met2 ( 532560 1278350 ) ( 533520 1278350 )
NEW met2 ( 533520 1278350 ) ( 533520 1278535 )
NEW met1 ( 433680 1278165 ) ( 532560 1278165 )
NEW met2 ( 705840 1278535 ) ( 705840 1279275 )
NEW met1 ( 705840 1279275 ) ( 756240 1279275 )
NEW met1 ( 756240 1278535 ) ( 756240 1279275 )
NEW met1 ( 756240 1278535 ) ( 834480 1278535 )
NEW met1 ( 1915440 1278165 ) ( 1915440 1278535 )
NEW met2 ( 2117040 1278165 ) ( 2117040 1278350 )
NEW met2 ( 2117040 1278350 ) ( 2117520 1278350 )
NEW met2 ( 2117520 1278350 ) ( 2117520 1279275 )
NEW met1 ( 2117520 1279275 ) ( 2167440 1279275 )
NEW met1 ( 2167440 1278535 ) ( 2167440 1279275 )
NEW met1 ( 2167440 1278535 ) ( 2217840 1278535 )
NEW met1 ( 2318640 1278165 ) ( 2318640 1278535 )
NEW met1 ( 2318640 1278165 ) ( 2346960 1278165 )
NEW met2 ( 2346960 1277425 ) ( 2346960 1278165 )
NEW met1 ( 2218320 1278535 ) ( 2318640 1278535 )
NEW met2 ( 2951760 1278165 ) ( 2951760 1278350 )
NEW met2 ( 2951760 1278350 ) ( 2952720 1278350 )
NEW met2 ( 2952720 1278350 ) ( 2952720 1278535 )
NEW met1 ( 2851920 1278165 ) ( 2951760 1278165 )
NEW met2 ( 3153360 1278350 ) ( 3153360 1278535 )
NEW met2 ( 3153360 1278350 ) ( 3154320 1278350 )
NEW met2 ( 3154320 1278165 ) ( 3154320 1278350 )
NEW met2 ( 3355440 1278350 ) ( 3355440 1278535 )
NEW met3 ( 3355440 1278350 ) ( 3370080 1278350 )
NEW met1 ( 3326160 1278535 ) ( 3355440 1278535 )
NEW met4 ( 3370080 1123690 ) ( 3370080 1349390 )
NEW met3 ( 204000 4091830 ) ( 204000 4094790 0 )
NEW met3 ( 204000 4097750 ) ( 204240 4097750 )
NEW met3 ( 204000 4094790 0 ) ( 204000 4097750 )
NEW met4 ( 204000 3875750 ) ( 204000 4091830 )
NEW met2 ( 590640 1278350 ) ( 590640 1278535 )
NEW met3 ( 590640 1278350 ) ( 590880 1278350 )
NEW met3 ( 590880 1278350 ) ( 590880 1279090 )
NEW met3 ( 590880 1279090 ) ( 690960 1279090 )
NEW met2 ( 690960 1278535 ) ( 690960 1279090 )
NEW met1 ( 533520 1278535 ) ( 590640 1278535 )
NEW met1 ( 690960 1278535 ) ( 705840 1278535 )
NEW met1 ( 1295760 1278165 ) ( 1295760 1278535 )
NEW met1 ( 2405040 1277425 ) ( 2405040 1277795 )
NEW met1 ( 2405040 1277795 ) ( 2454960 1277795 )
NEW met2 ( 2454960 1277795 ) ( 2454960 1278535 )
NEW met1 ( 2346960 1277425 ) ( 2405040 1277425 )
NEW met2 ( 3009840 1278350 ) ( 3009840 1278535 )
NEW met3 ( 3009840 1278350 ) ( 3010080 1278350 )
NEW met3 ( 3010080 1278350 ) ( 3010080 1279090 )
NEW met3 ( 3010080 1279090 ) ( 3110160 1279090 )
NEW met2 ( 3110160 1278535 ) ( 3110160 1279090 )
NEW met1 ( 2952720 1278535 ) ( 3009840 1278535 )
NEW met1 ( 3110160 1278535 ) ( 3153360 1278535 )
NEW met2 ( 3211440 1278165 ) ( 3211440 1278350 )
NEW met3 ( 3211440 1278350 ) ( 3211680 1278350 )
NEW met3 ( 3211680 1277610 ) ( 3211680 1278350 )
NEW met3 ( 3211680 1277610 ) ( 3311760 1277610 )
NEW met2 ( 3311760 1277610 ) ( 3311760 1278165 )
NEW met1 ( 3154320 1278165 ) ( 3211440 1278165 )
NEW met1 ( 3311760 1278165 ) ( 3326160 1278165 )
NEW met3 ( 213600 4320490 ) ( 213600 4321230 )
NEW met4 ( 213600 4321230 ) ( 213600 4370625 )
NEW met4 ( 212640 4370625 ) ( 213600 4370625 )
NEW met4 ( 212640 4370625 ) ( 212640 4420575 )
NEW met4 ( 212640 4420575 ) ( 213600 4420575 )
NEW met4 ( 213600 4420575 ) ( 213600 4516590 )
NEW met2 ( 893040 1278905 ) ( 893040 1279090 )
NEW met2 ( 893040 1279090 ) ( 893520 1279090 )
NEW met2 ( 893520 1278905 ) ( 893520 1279090 )
NEW met1 ( 893520 1278905 ) ( 958800 1278905 )
NEW met1 ( 958800 1278535 ) ( 958800 1278905 )
NEW met1 ( 834480 1278905 ) ( 893040 1278905 )
NEW met2 ( 1094640 1277425 ) ( 1094640 1278535 )
NEW met1 ( 958800 1278535 ) ( 1094640 1278535 )
NEW met1 ( 1296240 1278165 ) ( 1296240 1278535 )
NEW met2 ( 1296240 1278165 ) ( 1296240 1278350 )
NEW met2 ( 1296240 1278350 ) ( 1296720 1278350 )
NEW met2 ( 1296720 1278350 ) ( 1296720 1279645 )
NEW met1 ( 1295760 1278535 ) ( 1296240 1278535 )
NEW met2 ( 2505840 1278350 ) ( 2505840 1278535 )
NEW met1 ( 2454960 1278535 ) ( 2505840 1278535 )
NEW met1 ( 2707440 1278165 ) ( 2707440 1278535 )
NEW met1 ( 2707440 1278535 ) ( 2850960 1278535 )
NEW met1 ( 1181040 1277425 ) ( 1181040 1277795 )
NEW met1 ( 1181040 1277795 ) ( 1281360 1277795 )
NEW met1 ( 1281360 1277795 ) ( 1281360 1278165 )
NEW met1 ( 1094640 1277425 ) ( 1181040 1277425 )
NEW met1 ( 1281360 1278165 ) ( 1295760 1278165 )
NEW met1 ( 2031600 1277795 ) ( 2031600 1278165 )
NEW met1 ( 2031600 1277795 ) ( 2045040 1277795 )
NEW met1 ( 2045040 1277795 ) ( 2045040 1278165 )
NEW met1 ( 1915440 1278165 ) ( 2031600 1278165 )
NEW met1 ( 2045040 1278165 ) ( 2117040 1278165 )
NEW met3 ( 2692320 1278165 ) ( 2692560 1278165 )
NEW met3 ( 2692320 1278165 ) ( 2692320 1278350 )
NEW met3 ( 2505840 1278350 ) ( 2692320 1278350 )
NEW met1 ( 2692560 1278165 ) ( 2707440 1278165 )
NEW met3 ( 204240 4263510 ) ( 213600 4263510 )
NEW met2 ( 204240 4097750 ) ( 204240 4263510 )
NEW met4 ( 213600 4263510 ) ( 213600 4320490 )
NEW met1 ( 1418640 1278905 ) ( 1418640 1279645 )
NEW met1 ( 1296720 1279645 ) ( 1418640 1279645 )
NEW met2 ( 1503600 1278905 ) ( 1503600 1279090 )
NEW met2 ( 1503600 1279090 ) ( 1504080 1279090 )
NEW met2 ( 1504080 1278535 ) ( 1504080 1279090 )
NEW met1 ( 1418640 1278905 ) ( 1503600 1278905 )
NEW met1 ( 1504080 1278535 ) ( 1915440 1278535 )
NEW met2 ( 218160 2799050 ) via2_FR
NEW met3 ( 204000 3875750 ) M3M4_PR_M
NEW met1 ( 2202960 5026635 ) M1M2_PR
NEW met1 ( 2202960 5027375 ) M1M2_PR
NEW met1 ( 2208240 5027375 ) M1M2_PR
NEW met1 ( 2209200 5027005 ) M1M2_PR
NEW met1 ( 2189040 5026635 ) M1M2_PR
NEW met1 ( 3218160 662485 ) M1M2_PR
NEW met1 ( 3261360 4695485 ) M1M2_PR
NEW met2 ( 3399120 2688050 ) via2_FR
NEW met1 ( 3399120 2678615 ) M1M2_PR
NEW met1 ( 222960 4918595 ) M1M2_PR
NEW met2 ( 1066800 4980570 ) via2_FR
NEW met1 ( 1066800 4980755 ) M1M2_PR
NEW met1 ( 1382640 4980755 ) M1M2_PR
NEW met1 ( 1382640 4980015 ) M1M2_PR
NEW met1 ( 2189040 4980385 ) M1M2_PR
NEW met2 ( 2814000 4978350 ) via2_FR
NEW met1 ( 2814000 4978165 ) M1M2_PR
NEW met1 ( 2837040 4978165 ) M1M2_PR
NEW met1 ( 2837040 4918225 ) M1M2_PR
NEW met1 ( 2814000 4980385 ) M1M2_PR
NEW met2 ( 3200880 749990 ) via2_FR
NEW met1 ( 3200880 749065 ) M1M2_PR
NEW met1 ( 3218160 749065 ) M1M2_PR
NEW met1 ( 3261360 4918225 ) M1M2_PR
NEW met2 ( 3399120 2735410 ) via2_FR
NEW met1 ( 3398640 2951675 ) M1M2_PR
NEW met2 ( 3398640 2914490 ) via2_FR
NEW met1 ( 2174160 5026635 ) M1M2_PR
NEW met2 ( 2174160 5026450 ) via2_FR
NEW met1 ( 2359440 5027005 ) M1M2_PR
NEW met3 ( 3376800 1800790 ) M3M4_PR_M
NEW met3 ( 3379680 1800790 ) M3M4_PR_M
NEW met3 ( 3383520 2026490 ) M3M4_PR_M
NEW met3 ( 3383520 2059790 ) M3M4_PR_M
NEW met2 ( 3383280 2059790 ) via2_FR
NEW met3 ( 3376800 2026490 ) M3M4_PR_M
NEW met2 ( 3383280 2465310 ) via2_FR
NEW met1 ( 3383280 2678615 ) M1M2_PR
NEW met1 ( 3374640 4695485 ) M1M2_PR
NEW met2 ( 3374640 4703070 ) via2_FR
NEW met2 ( 1325520 4980570 ) via2_FR
NEW met1 ( 1325520 4980755 ) M1M2_PR
NEW met1 ( 2359440 4980385 ) M1M2_PR
NEW met2 ( 2555760 4980570 ) via2_FR
NEW met1 ( 2555760 4980385 ) M1M2_PR
NEW met3 ( 3370080 898730 ) M3M4_PR_M
NEW met3 ( 3370080 1574350 ) M3M4_PR_M
NEW met3 ( 3379680 1575830 ) M3M4_PR_M
NEW met3 ( 3384480 2735410 ) M3M4_PR_M
NEW met1 ( 3354480 2951675 ) M1M2_PR
NEW met3 ( 3384480 2909310 ) M3M4_PR_M
NEW met2 ( 3354480 3137230 ) via2_FR
NEW met1 ( 3354480 3168125 ) M1M2_PR
NEW met2 ( 3375600 3361450 ) via2_FR
NEW met1 ( 3363120 3354975 ) M1M2_PR
NEW met1 ( 3375600 3354975 ) M1M2_PR
NEW met1 ( 3364560 3585855 ) M1M2_PR
NEW met1 ( 3363120 3585855 ) M1M2_PR
NEW met2 ( 3372720 3589370 ) via2_FR
NEW met1 ( 3372720 3589185 ) M1M2_PR
NEW met1 ( 3364560 3589185 ) M1M2_PR
NEW met2 ( 218160 2160430 ) via2_FR
NEW met3 ( 203040 2159690 ) M3M4_PR_M
NEW met2 ( 216720 3014390 ) via2_FR
NEW met2 ( 216720 3230470 ) via2_FR
NEW met3 ( 210720 3233430 ) M3M4_PR_M
NEW met3 ( 210720 3443590 ) M3M4_PR_M
NEW met3 ( 205920 3448030 ) M3M4_PR_M
NEW met2 ( 576240 4976870 ) via2_FR
NEW met2 ( 813360 4977610 ) via2_FR
NEW met1 ( 813360 4980755 ) M1M2_PR
NEW met1 ( 1581840 4980755 ) M1M2_PR
NEW met2 ( 1581840 4980570 ) via2_FR
NEW met1 ( 1581840 4982235 ) M1M2_PR
NEW met1 ( 1835760 4980385 ) M1M2_PR
NEW met2 ( 1835760 4980570 ) via2_FR
NEW met3 ( 3370080 1349390 ) M3M4_PR_M
NEW met1 ( 3375120 3168125 ) M1M2_PR
NEW met1 ( 3364560 3812665 ) M1M2_PR
NEW met1 ( 3373680 3812665 ) M1M2_PR
NEW met2 ( 3373680 3812850 ) via2_FR
NEW met2 ( 217200 1080770 ) via2_FR
NEW met3 ( 203040 1513670 ) M3M4_PR_M
NEW met3 ( 211680 1513670 ) M3M4_PR_M
NEW met3 ( 211680 1729750 ) M3M4_PR_M
NEW met3 ( 206880 1729750 ) M3M4_PR_M
NEW met3 ( 203040 1942870 ) M3M4_PR_M
NEW met3 ( 204000 3665590 ) M3M4_PR_M
NEW met3 ( 205920 3661150 ) M3M4_PR_M
NEW met1 ( 576240 4918595 ) M1M2_PR
NEW met1 ( 576240 4967065 ) M1M2_PR
NEW met1 ( 813360 4967065 ) M1M2_PR
NEW met1 ( 3372240 662485 ) M1M2_PR
NEW met2 ( 3372240 672660 ) via2_FR
NEW met3 ( 3370080 675250 ) M3M4_PR_M
NEW met3 ( 3370080 1123690 ) M3M4_PR_M
NEW met2 ( 218160 1296850 ) via2_FR
NEW met3 ( 203040 1297590 ) M3M4_PR_M
NEW met1 ( 218160 1277425 ) M1M2_PR
NEW met3 ( 202080 1814850 ) M3M4_PR_M
NEW met3 ( 208800 1815590 ) M3M4_PR_M
NEW met3 ( 208800 1842970 ) M3M4_PR_M
NEW met3 ( 203040 1843710 ) M3M4_PR_M
NEW met2 ( 216720 2822730 ) via2_FR
NEW met2 ( 218160 2822730 ) via2_FR
NEW met1 ( 218160 2900245 ) M1M2_PR
NEW met1 ( 216720 2900245 ) M1M2_PR
NEW met3 ( 213600 4516590 ) M3M4_PR_M
NEW met2 ( 222960 4516590 ) via2_FR
NEW met1 ( 403440 1278535 ) M1M2_PR
NEW met2 ( 403440 1278350 ) via2_FR
NEW met2 ( 433680 1278350 ) via2_FR
NEW met1 ( 433680 1278165 ) M1M2_PR
NEW met1 ( 2217840 1278535 ) M1M2_PR
NEW met1 ( 2218320 1278535 ) M1M2_PR
NEW met1 ( 2850960 1278535 ) M1M2_PR
NEW met1 ( 2851920 1278165 ) M1M2_PR
NEW met1 ( 1511280 4977425 ) M1M2_PR
NEW met1 ( 1511760 4980755 ) M1M2_PR
NEW met1 ( 302640 1277425 ) M1M2_PR
NEW met1 ( 303120 1277795 ) M1M2_PR
NEW met1 ( 532560 1278165 ) M1M2_PR
NEW met1 ( 533520 1278535 ) M1M2_PR
NEW met1 ( 705840 1278535 ) M1M2_PR
NEW met1 ( 705840 1279275 ) M1M2_PR
NEW met1 ( 2117040 1278165 ) M1M2_PR
NEW met1 ( 2117520 1279275 ) M1M2_PR
NEW met1 ( 2346960 1278165 ) M1M2_PR
NEW met1 ( 2346960 1277425 ) M1M2_PR
NEW met1 ( 2951760 1278165 ) M1M2_PR
NEW met1 ( 2952720 1278535 ) M1M2_PR
NEW met1 ( 3153360 1278535 ) M1M2_PR
NEW met1 ( 3154320 1278165 ) M1M2_PR
NEW met1 ( 3355440 1278535 ) M1M2_PR
NEW met2 ( 3355440 1278350 ) via2_FR
NEW met3 ( 3370080 1278350 ) M3M4_PR_M
NEW met3 ( 204000 4091830 ) M3M4_PR_M
NEW met2 ( 204240 4097750 ) via2_FR
NEW met1 ( 590640 1278535 ) M1M2_PR
NEW met2 ( 590640 1278350 ) via2_FR
NEW met2 ( 690960 1279090 ) via2_FR
NEW met1 ( 690960 1278535 ) M1M2_PR
NEW met1 ( 2454960 1277795 ) M1M2_PR
NEW met1 ( 2454960 1278535 ) M1M2_PR
NEW met1 ( 3009840 1278535 ) M1M2_PR
NEW met2 ( 3009840 1278350 ) via2_FR
NEW met2 ( 3110160 1279090 ) via2_FR
NEW met1 ( 3110160 1278535 ) M1M2_PR
NEW met1 ( 3211440 1278165 ) M1M2_PR
NEW met2 ( 3211440 1278350 ) via2_FR
NEW met2 ( 3311760 1277610 ) via2_FR
NEW met1 ( 3311760 1278165 ) M1M2_PR
NEW met3 ( 213600 4320490 ) M3M4_PR_M
NEW met3 ( 213600 4321230 ) M3M4_PR_M
NEW met1 ( 893040 1278905 ) M1M2_PR
NEW met1 ( 893520 1278905 ) M1M2_PR
NEW met1 ( 1094640 1278535 ) M1M2_PR
NEW met1 ( 1094640 1277425 ) M1M2_PR
NEW met1 ( 1296240 1278165 ) M1M2_PR
NEW met1 ( 1296720 1279645 ) M1M2_PR
NEW met1 ( 2505840 1278535 ) M1M2_PR
NEW met2 ( 2505840 1278350 ) via2_FR
NEW met1 ( 2692560 1278165 ) M1M2_PR
NEW met2 ( 2692560 1278165 ) via2_FR
NEW met2 ( 204240 4263510 ) via2_FR
NEW met3 ( 213600 4263510 ) M3M4_PR_M
NEW met1 ( 1503600 1278905 ) M1M2_PR
NEW met1 ( 1504080 1278535 ) M1M2_PR
NEW met2 ( 218160 2799050 ) RECT ( -70 -485 70 0 )
NEW met1 ( 2189040 5026635 ) RECT ( 0 -70 595 70 )
NEW met3 ( 3383520 2059790 ) RECT ( 0 -150 380 150 )
NEW met2 ( 3375600 3354975 ) RECT ( -70 -485 70 0 )
NEW met2 ( 3364560 3589185 ) RECT ( -70 -485 70 0 )
NEW met4 ( 203040 1942870 ) RECT ( -150 -800 150 0 )
NEW met2 ( 576240 4967065 ) RECT ( -70 -485 70 0 )
NEW met2 ( 218160 1277425 ) RECT ( -70 -485 70 0 )
NEW met4 ( 3370080 1278350 ) RECT ( -150 -800 150 0 )
+ USE SIGNAL ;
- mprj_io_oeb\[0\] ( padframe mprj_io_oeb[0] ) ( gpio_control_bidir\[0\] pad_gpio_outenb )
+ ROUTED met2 ( 3375600 596810 ) ( 3376080 596810 )
NEW met2 ( 3375600 575720 ) ( 3376560 575720 )
NEW met2 ( 3376560 575350 ) ( 3376560 575720 )
NEW met2 ( 3376560 575350 ) ( 3377040 575350 )
NEW met2 ( 3377040 575350 ) ( 3377040 575720 0 )
NEW met2 ( 3375600 575720 ) ( 3375600 596810 )
NEW met3 ( 3375840 658970 ) ( 3376080 658970 )
NEW met3 ( 3375840 658970 ) ( 3375840 660450 0 )
NEW met2 ( 3376080 596810 ) ( 3376080 658970 )
NEW met2 ( 3376080 658970 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[10\] ( padframe mprj_io_oeb[10] ) ( gpio_control_in\[10\] pad_gpio_outenb )
+ ROUTED met3 ( 3375840 3489470 ) ( 3377040 3489470 )
NEW met2 ( 3377040 3489470 ) ( 3377040 3491690 0 )
NEW met3 ( 3374640 3209750 ) ( 3375840 3209750 )
NEW met2 ( 3374640 3128350 ) ( 3374640 3209750 )
NEW met3 ( 3374640 3128350 ) ( 3374880 3128350 )
NEW met3 ( 3374880 3125390 0 ) ( 3374880 3128350 )
NEW met4 ( 3375840 3209750 ) ( 3375840 3489470 )
NEW met3 ( 3375840 3489470 ) M3M4_PR_M
NEW met2 ( 3377040 3489470 ) via2_FR
NEW met3 ( 3375840 3209750 ) M3M4_PR_M
NEW met2 ( 3374640 3209750 ) via2_FR
NEW met2 ( 3374640 3128350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[11\] ( padframe mprj_io_oeb[11] ) ( gpio_control_in\[11\] pad_gpio_outenb )
+ ROUTED met3 ( 3372000 3350350 ) ( 3373920 3350350 0 )
NEW met3 ( 3372000 3716650 ) ( 3375600 3716650 )
NEW met2 ( 3375600 3716650 ) ( 3377040 3716650 0 )
NEW met4 ( 3372000 3350350 ) ( 3372000 3716650 )
NEW met3 ( 3372000 3350350 ) M3M4_PR_M
NEW met3 ( 3372000 3716650 ) M3M4_PR_M
NEW met2 ( 3375600 3716650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[12\] ( padframe mprj_io_oeb[12] ) ( gpio_control_in\[12\] pad_gpio_outenb )
+ ROUTED met3 ( 3381600 3576420 0 ) ( 3381600 3579010 )
NEW met3 ( 3378960 3939390 ) ( 3381600 3939390 )
NEW met2 ( 3378960 3939390 ) ( 3378960 3941610 0 )
NEW met4 ( 3381600 3579010 ) ( 3381600 3939390 )
NEW met3 ( 3381600 3579010 ) M3M4_PR_M
NEW met3 ( 3381600 3939390 ) M3M4_PR_M
NEW met2 ( 3378960 3939390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[13\] ( padframe mprj_io_oeb[13] ) ( gpio_control_in\[13\] pad_gpio_outenb )
+ ROUTED met3 ( 3372000 4381910 ) ( 3375600 4381910 )
NEW met2 ( 3375600 4381910 ) ( 3375600 4387615 )
NEW met2 ( 3375600 4387615 ) ( 3377040 4387615 0 )
NEW met3 ( 3372000 3801380 ) ( 3373920 3801380 0 )
NEW met4 ( 3372000 3801380 ) ( 3372000 4381910 )
NEW met3 ( 3372000 4381910 ) M3M4_PR_M
NEW met2 ( 3375600 4381910 ) via2_FR
NEW met3 ( 3372000 3801380 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[14\] ( padframe mprj_io_oeb[14] ) ( gpio_control_in\[14\] pad_gpio_outenb )
+ ROUTED met3 ( 3372960 4694190 ) ( 3373920 4694190 )
NEW met3 ( 3373920 4693450 0 ) ( 3373920 4694190 )
NEW met3 ( 3372960 4828130 ) ( 3375600 4828130 )
NEW met2 ( 3375600 4828130 ) ( 3375600 4833680 )
NEW met2 ( 3375600 4833680 ) ( 3377040 4833680 0 )
NEW met4 ( 3372960 4694190 ) ( 3372960 4828130 )
NEW met3 ( 3372960 4694190 ) M3M4_PR_M
NEW met3 ( 3372960 4828130 ) M3M4_PR_M
NEW met2 ( 3375600 4828130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[15\] ( padframe mprj_io_oeb[15] ) ( gpio_control_in\[15\] pad_gpio_outenb )
+ ROUTED met1 ( 2802960 5011835 ) ( 2823600 5011835 )
NEW met2 ( 2802960 5011650 ) ( 2802960 5011835 )
NEW met3 ( 2801280 5011650 0 ) ( 2802960 5011650 )
NEW met2 ( 2823600 4988525 ) ( 2823600 5011835 )
NEW met2 ( 2953200 4988525 ) ( 2953200 4997405 )
NEW met1 ( 2823600 4988525 ) ( 2953200 4988525 )
NEW met2 ( 3132720 4979090 ) ( 3134400 4979090 0 )
NEW met2 ( 3132720 4978905 ) ( 3132720 4979090 )
NEW met1 ( 3129360 4978905 ) ( 3132720 4978905 )
NEW met2 ( 3129360 4978905 ) ( 3129360 4997405 )
NEW met1 ( 2953200 4997405 ) ( 3129360 4997405 )
NEW met1 ( 2823600 5011835 ) M1M2_PR
NEW met1 ( 2802960 5011835 ) M1M2_PR
NEW met2 ( 2802960 5011650 ) via2_FR
NEW met1 ( 2823600 4988525 ) M1M2_PR
NEW met1 ( 2953200 4988525 ) M1M2_PR
NEW met1 ( 2953200 4997405 ) M1M2_PR
NEW met1 ( 3132720 4978905 ) M1M2_PR
NEW met1 ( 3129360 4978905 ) M1M2_PR
NEW met1 ( 3129360 4997405 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[16\] ( padframe mprj_io_oeb[16] ) ( gpio_control_in\[16\] pad_gpio_outenb )
+ ROUTED met2 ( 2618640 4977795 ) ( 2618640 5004250 )
NEW met1 ( 2618640 4977795 ) ( 2623920 4977795 )
NEW met2 ( 2623920 4977610 ) ( 2623920 4977795 )
NEW met2 ( 2623920 4977610 ) ( 2625360 4977610 0 )
NEW met2 ( 2583600 5004065 ) ( 2583600 5004250 )
NEW met1 ( 2546640 5004065 ) ( 2583600 5004065 )
NEW met2 ( 2546640 5004065 ) ( 2546640 5004250 )
NEW met3 ( 2544480 5004250 0 ) ( 2546640 5004250 )
NEW met3 ( 2583600 5004250 ) ( 2618640 5004250 )
NEW met2 ( 2618640 5004250 ) via2_FR
NEW met1 ( 2618640 4977795 ) M1M2_PR
NEW met1 ( 2623920 4977795 ) M1M2_PR
NEW met2 ( 2583600 5004250 ) via2_FR
NEW met1 ( 2583600 5004065 ) M1M2_PR
NEW met1 ( 2546640 5004065 ) M1M2_PR
NEW met2 ( 2546640 5004250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[17\] ( padframe mprj_io_oeb[17] ) ( gpio_control_in\[17\] pad_gpio_outenb )
+ ROUTED met2 ( 2162640 5004990 ) ( 2162640 5005175 )
NEW met3 ( 2159520 5004990 0 ) ( 2162640 5004990 )
NEW met2 ( 2366640 4978350 ) ( 2368320 4978350 0 )
NEW met2 ( 2366640 4978350 ) ( 2366640 4978535 )
NEW met1 ( 2362800 4978535 ) ( 2366640 4978535 )
NEW met2 ( 2362800 4978535 ) ( 2362800 5005175 )
NEW met1 ( 2162640 5005175 ) ( 2362800 5005175 )
NEW met1 ( 2162640 5005175 ) M1M2_PR
NEW met2 ( 2162640 5004990 ) via2_FR
NEW met1 ( 2366640 4978535 ) M1M2_PR
NEW met1 ( 2362800 4978535 ) M1M2_PR
NEW met1 ( 2362800 5005175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[18\] ( padframe mprj_io_oeb[18] ) ( gpio_control_in\[18\] pad_gpio_outenb )
+ ROUTED met2 ( 1825200 5026450 ) ( 1825200 5026635 )
NEW met3 ( 1822560 5026450 0 ) ( 1825200 5026450 )
NEW met2 ( 1922160 4978350 ) ( 1923360 4978350 0 )
NEW met2 ( 1922160 4978350 ) ( 1922160 4978535 )
NEW met1 ( 1918800 4978535 ) ( 1922160 4978535 )
NEW met2 ( 1918800 4978535 ) ( 1918800 5004990 )
NEW met2 ( 1918320 5004990 ) ( 1918800 5004990 )
NEW met2 ( 1918320 5004990 ) ( 1918320 5026635 )
NEW met1 ( 1825200 5026635 ) ( 1918320 5026635 )
NEW met1 ( 1825200 5026635 ) M1M2_PR
NEW met2 ( 1825200 5026450 ) via2_FR
NEW met1 ( 1922160 4978535 ) M1M2_PR
NEW met1 ( 1918800 4978535 ) M1M2_PR
NEW met1 ( 1918320 5026635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[19\] ( padframe mprj_io_oeb[19] ) ( gpio_control_in\[19\] pad_gpio_outenb )
+ ROUTED met2 ( 1414320 4968175 ) ( 1414320 4977610 0 )
NEW met2 ( 1569360 4968175 ) ( 1569360 4979830 )
NEW met3 ( 1569360 4979830 ) ( 1570080 4979830 0 )
NEW met1 ( 1414320 4968175 ) ( 1569360 4968175 )
NEW met1 ( 1414320 4968175 ) M1M2_PR
NEW met1 ( 1569360 4968175 ) M1M2_PR
NEW met2 ( 1569360 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[1\] ( padframe mprj_io_oeb[1] ) ( gpio_control_bidir\[1\] pad_gpio_outenb )
+ ROUTED met2 ( 3372240 842490 ) ( 3372720 842490 )
NEW met3 ( 3372720 885410 ) ( 3373920 885410 )
NEW met3 ( 3373920 885410 ) ( 3373920 886150 0 )
NEW met2 ( 3372720 842490 ) ( 3372720 885410 )
NEW met1 ( 3372240 803825 ) ( 3377040 803825 )
NEW met2 ( 3377040 801615 0 ) ( 3377040 803825 )
NEW met2 ( 3372240 803825 ) ( 3372240 842490 )
NEW met2 ( 3372720 885410 ) via2_FR
NEW met1 ( 3372240 803825 ) M1M2_PR
NEW met1 ( 3377040 803825 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[20\] ( padframe mprj_io_oeb[20] ) ( gpio_control_in\[20\] pad_gpio_outenb )
+ ROUTED met2 ( 1157520 4971690 ) ( 1157520 4977610 )
NEW met2 ( 1156320 4977610 0 ) ( 1157520 4977610 )
NEW met3 ( 1314720 4971690 ) ( 1314720 4973910 )
NEW met3 ( 1312320 4973910 ) ( 1314720 4973910 )
NEW met3 ( 1312320 4973910 ) ( 1312320 4979090 0 )
NEW met3 ( 1157520 4971690 ) ( 1314720 4971690 )
NEW met2 ( 1157520 4971690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[21\] ( padframe mprj_io_oeb[21] ) ( gpio_control_in\[21\] pad_gpio_outenb )
+ ROUTED met2 ( 1055280 4968175 ) ( 1055280 4976130 )
NEW met3 ( 1055280 4976130 ) ( 1055520 4976130 )
NEW met3 ( 1055520 4976130 ) ( 1055520 4979090 0 )
NEW met2 ( 899280 4968175 ) ( 899280 4977610 0 )
NEW met1 ( 899280 4968175 ) ( 1055280 4968175 )
NEW met1 ( 1055280 4968175 ) M1M2_PR
NEW met2 ( 1055280 4976130 ) via2_FR
NEW met1 ( 899280 4968175 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[22\] ( padframe mprj_io_oeb[22] ) ( gpio_control_in\[22\] pad_gpio_outenb )
+ ROUTED met2 ( 797520 4968545 ) ( 797520 4979830 )
NEW met3 ( 797520 4979830 ) ( 798240 4979830 0 )
NEW met2 ( 642480 4968545 ) ( 642480 4977610 0 )
NEW met1 ( 642480 4968545 ) ( 797520 4968545 )
NEW met1 ( 797520 4968545 ) M1M2_PR
NEW met2 ( 797520 4979830 ) via2_FR
NEW met1 ( 642480 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[23\] ( padframe mprj_io_oeb[23] ) ( gpio_control_in\[23\] pad_gpio_outenb )
+ ROUTED met2 ( 386640 4968545 ) ( 386640 4977610 )
NEW met2 ( 385440 4977610 0 ) ( 386640 4977610 )
NEW met2 ( 541200 4968545 ) ( 541200 4977610 )
NEW met3 ( 541200 4977610 ) ( 541440 4977610 )
NEW met3 ( 541440 4977610 ) ( 541440 4979090 0 )
NEW met1 ( 386640 4968545 ) ( 541200 4968545 )
NEW met1 ( 386640 4968545 ) M1M2_PR
NEW met1 ( 541200 4968545 ) M1M2_PR
NEW met2 ( 541200 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[24\] ( padframe mprj_io_oeb[24] ) ( gpio_control_in\[24\] pad_gpio_outenb )
+ ROUTED met3 ( 211680 4504380 0 ) ( 211680 4505490 )
NEW met3 ( 211680 4505490 ) ( 215280 4505490 )
NEW met2 ( 210960 4773185 ) ( 210960 4775385 0 )
NEW met1 ( 210960 4773185 ) ( 215280 4773185 )
NEW met2 ( 215280 4505490 ) ( 215280 4773185 )
NEW met2 ( 215280 4505490 ) via2_FR
NEW met1 ( 210960 4773185 ) M1M2_PR
NEW met1 ( 215280 4773185 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[25\] ( padframe mprj_io_oeb[25] ) ( gpio_control_in\[25\] pad_gpio_outenb )
+ ROUTED met3 ( 206880 3958630 ) ( 213840 3958630 )
NEW met2 ( 213840 3928105 ) ( 213840 3958630 )
NEW met1 ( 210480 3928105 ) ( 213840 3928105 )
NEW met2 ( 210480 3926440 0 ) ( 210480 3928105 )
NEW met3 ( 186480 4010430 ) ( 206880 4010430 )
NEW met4 ( 206880 3958630 ) ( 206880 4010430 )
NEW met3 ( 186480 4079250 ) ( 186720 4079250 )
NEW met3 ( 186720 4079250 ) ( 186720 4082210 0 )
NEW met2 ( 186480 4010430 ) ( 186480 4079250 )
NEW met3 ( 206880 3958630 ) M3M4_PR_M
NEW met2 ( 213840 3958630 ) via2_FR
NEW met1 ( 213840 3928105 ) M1M2_PR
NEW met1 ( 210480 3928105 ) M1M2_PR
NEW met2 ( 186480 4010430 ) via2_FR
NEW met3 ( 206880 4010430 ) M3M4_PR_M
NEW met2 ( 186480 4079250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[26\] ( padframe mprj_io_oeb[26] ) ( gpio_control_in\[26\] pad_gpio_outenb )
+ ROUTED met3 ( 179760 3863170 ) ( 180000 3863170 )
NEW met3 ( 180000 3863170 ) ( 180000 3866130 0 )
NEW met3 ( 179760 3801010 ) ( 204960 3801010 )
NEW met2 ( 179760 3801010 ) ( 179760 3863170 )
NEW met3 ( 204960 3734410 ) ( 214800 3734410 )
NEW met2 ( 214800 3712025 ) ( 214800 3734410 )
NEW met1 ( 210960 3712025 ) ( 214800 3712025 )
NEW met2 ( 210960 3710360 0 ) ( 210960 3712025 )
NEW met4 ( 204960 3734410 ) ( 204960 3801010 )
NEW met2 ( 179760 3863170 ) via2_FR
NEW met2 ( 179760 3801010 ) via2_FR
NEW met3 ( 204960 3801010 ) M3M4_PR_M
NEW met3 ( 204960 3734410 ) M3M4_PR_M
NEW met2 ( 214800 3734410 ) via2_FR
NEW met1 ( 214800 3712025 ) M1M2_PR
NEW met1 ( 210960 3712025 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[27\] ( padframe mprj_io_oeb[27] ) ( gpio_control_in\[27\] pad_gpio_outenb )
+ ROUTED met3 ( 206880 3647830 ) ( 206880 3650420 0 )
NEW met3 ( 206880 3518330 ) ( 211440 3518330 )
NEW met4 ( 206880 3518330 ) ( 206880 3647830 )
NEW met2 ( 210960 3494280 0 ) ( 210960 3496870 )
NEW met2 ( 210960 3496870 ) ( 211440 3496870 )
NEW met2 ( 211440 3496870 ) ( 211440 3502790 )
NEW met3 ( 211440 3502790 ) ( 211680 3502790 )
NEW met3 ( 211680 3502790 ) ( 211680 3504270 )
NEW met3 ( 211440 3504270 ) ( 211680 3504270 )
NEW met2 ( 211440 3504270 ) ( 211440 3518330 )
NEW met3 ( 206880 3647830 ) M3M4_PR_M
NEW met3 ( 206880 3518330 ) M3M4_PR_M
NEW met2 ( 211440 3518330 ) via2_FR
NEW met2 ( 211440 3502790 ) via2_FR
NEW met2 ( 211440 3504270 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[28\] ( padframe mprj_io_oeb[28] ) ( gpio_control_in\[28\] pad_gpio_outenb )
+ ROUTED met3 ( 205920 3431750 ) ( 205920 3434340 0 )
NEW met3 ( 205920 3280790 ) ( 209040 3280790 )
NEW met2 ( 209040 3278385 0 ) ( 209040 3280790 )
NEW met4 ( 205920 3280790 ) ( 205920 3431750 )
NEW met3 ( 205920 3431750 ) M3M4_PR_M
NEW met3 ( 205920 3280790 ) M3M4_PR_M
NEW met2 ( 209040 3280790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[29\] ( padframe mprj_io_oeb[29] ) ( gpio_control_in\[29\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 3215670 ) ( 204000 3218630 0 )
NEW met3 ( 204000 3090610 ) ( 213840 3090610 )
NEW met2 ( 213840 3064155 ) ( 213840 3090610 )
NEW met1 ( 210480 3064155 ) ( 213840 3064155 )
NEW met2 ( 210480 3062490 0 ) ( 210480 3064155 )
NEW met4 ( 204000 3090610 ) ( 204000 3215670 )
NEW met3 ( 204000 3215670 ) M3M4_PR_M
NEW met3 ( 204000 3090610 ) M3M4_PR_M
NEW met2 ( 213840 3090610 ) via2_FR
NEW met1 ( 213840 3064155 ) M1M2_PR
NEW met1 ( 210480 3064155 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[2\] ( padframe mprj_io_oeb[2] ) ( gpio_control_in\[2\] pad_gpio_outenb )
+ ROUTED met1 ( 3372720 1028785 ) ( 3377520 1028785 )
NEW met2 ( 3377520 1026750 0 ) ( 3377520 1028785 )
NEW met3 ( 3372720 1110370 ) ( 3373920 1110370 )
NEW met3 ( 3373920 1110370 ) ( 3373920 1111110 0 )
NEW met2 ( 3372720 1028785 ) ( 3372720 1110370 )
NEW met1 ( 3372720 1028785 ) M1M2_PR
NEW met1 ( 3377520 1028785 ) M1M2_PR
NEW met2 ( 3372720 1110370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[30\] ( padframe mprj_io_oeb[30] ) ( gpio_control_in\[30\] pad_gpio_outenb )
+ ROUTED met1 ( 210480 2848445 ) ( 214320 2848445 )
NEW met2 ( 210480 2846410 0 ) ( 210480 2848445 )
NEW met3 ( 203040 2999590 ) ( 203040 3002550 0 )
NEW met3 ( 203040 2866390 ) ( 214320 2866390 )
NEW met4 ( 203040 2866390 ) ( 203040 2999590 )
NEW met2 ( 214320 2848445 ) ( 214320 2866390 )
NEW met1 ( 214320 2848445 ) M1M2_PR
NEW met1 ( 210480 2848445 ) M1M2_PR
NEW met3 ( 203040 2999590 ) M3M4_PR_M
NEW met3 ( 203040 2866390 ) M3M4_PR_M
NEW met2 ( 214320 2866390 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[31\] ( padframe mprj_io_oeb[31] ) ( gpio_control_in\[31\] pad_gpio_outenb )
+ ROUTED met3 ( 203040 2661410 ) ( 213840 2661410 )
NEW met2 ( 213840 2632365 ) ( 213840 2661410 )
NEW met1 ( 210960 2632365 ) ( 213840 2632365 )
NEW met2 ( 210960 2630330 0 ) ( 210960 2632365 )
NEW met3 ( 203040 2783510 ) ( 203040 2786470 0 )
NEW met4 ( 203040 2661410 ) ( 203040 2783510 )
NEW met3 ( 203040 2661410 ) M3M4_PR_M
NEW met2 ( 213840 2661410 ) via2_FR
NEW met1 ( 213840 2632365 ) M1M2_PR
NEW met1 ( 210960 2632365 ) M1M2_PR
NEW met3 ( 203040 2783510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[32\] ( padframe mprj_io_oeb[32] ) ( gpio_control_in\[32\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 1993930 ) ( 209040 1993930 )
NEW met2 ( 209040 1992450 0 ) ( 209040 1993930 )
NEW met3 ( 204000 2147110 ) ( 204000 2148590 0 )
NEW met4 ( 204000 1993930 ) ( 204000 2147110 )
NEW met3 ( 204000 1993930 ) M3M4_PR_M
NEW met2 ( 209040 1993930 ) via2_FR
NEW met3 ( 204000 2147110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[33\] ( padframe mprj_io_oeb[33] ) ( gpio_control_in\[33\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 1777850 ) ( 209040 1777850 )
NEW met2 ( 209040 1776370 0 ) ( 209040 1777850 )
NEW met3 ( 204000 1930290 ) ( 204000 1932510 0 )
NEW met4 ( 204000 1777850 ) ( 204000 1930290 )
NEW met3 ( 204000 1777850 ) M3M4_PR_M
NEW met2 ( 209040 1777850 ) via2_FR
NEW met3 ( 204000 1930290 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[34\] ( padframe mprj_io_oeb[34] ) ( gpio_control_in\[34\] pad_gpio_outenb )
+ ROUTED met3 ( 204960 1561770 ) ( 209040 1561770 )
NEW met2 ( 209040 1560290 0 ) ( 209040 1561770 )
NEW met3 ( 204960 1714210 ) ( 204960 1716430 0 )
NEW met4 ( 204960 1561770 ) ( 204960 1714210 )
NEW met3 ( 204960 1561770 ) M3M4_PR_M
NEW met2 ( 209040 1561770 ) via2_FR
NEW met3 ( 204960 1714210 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[35\] ( padframe mprj_io_oeb[35] ) ( gpio_control_in\[35\] pad_gpio_outenb )
+ ROUTED met3 ( 204000 1345690 ) ( 209040 1345690 )
NEW met2 ( 209040 1344385 0 ) ( 209040 1345690 )
NEW met3 ( 204000 1498130 ) ( 204000 1500350 0 )
NEW met4 ( 204000 1345690 ) ( 204000 1498130 )
NEW met3 ( 204000 1345690 ) M3M4_PR_M
NEW met2 ( 209040 1345690 ) via2_FR
NEW met3 ( 204000 1498130 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[36\] ( padframe mprj_io_oeb[36] ) ( gpio_control_in\[36\] pad_gpio_outenb )
+ ROUTED met3 ( 203040 1137750 ) ( 207840 1137750 )
NEW met4 ( 207840 1137750 ) ( 207840 1238390 )
NEW met3 ( 203040 1238390 ) ( 207840 1238390 )
NEW met3 ( 203040 1129610 ) ( 209040 1129610 )
NEW met2 ( 209040 1128500 0 ) ( 209040 1129610 )
NEW met4 ( 203040 1129610 ) ( 203040 1137750 )
NEW met4 ( 203040 1239130 ) ( 203040 1282050 )
NEW met3 ( 203040 1282050 ) ( 203040 1284270 0 )
NEW met3 ( 203040 1238390 ) ( 203040 1239130 )
NEW met3 ( 203040 1137750 ) M3M4_PR_M
NEW met3 ( 207840 1137750 ) M3M4_PR_M
NEW met3 ( 207840 1238390 ) M3M4_PR_M
NEW met3 ( 203040 1129610 ) M3M4_PR_M
NEW met2 ( 209040 1129610 ) via2_FR
NEW met3 ( 203040 1239130 ) M3M4_PR_M
NEW met3 ( 203040 1282050 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[37\] ( padframe mprj_io_oeb[37] ) ( gpio_control_in\[37\] pad_gpio_outenb )
+ ROUTED met3 ( 202080 914270 ) ( 209040 914270 )
NEW met2 ( 209040 912420 0 ) ( 209040 914270 )
NEW met3 ( 202080 1065970 ) ( 202080 1068190 0 )
NEW met4 ( 202080 914270 ) ( 202080 1065970 )
NEW met3 ( 202080 914270 ) M3M4_PR_M
NEW met2 ( 209040 914270 ) via2_FR
NEW met3 ( 202080 1065970 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_oeb\[3\] ( padframe mprj_io_oeb[3] ) ( gpio_control_in\[3\] pad_gpio_outenb )
+ ROUTED met2 ( 3377040 1252615 0 ) ( 3377040 1254855 )
NEW met1 ( 3377040 1254855 ) ( 3377040 1255965 )
NEW met2 ( 3377040 1255965 ) ( 3377040 1336070 )
NEW met3 ( 3376800 1336070 ) ( 3377040 1336070 )
NEW met3 ( 3376800 1336070 ) ( 3376800 1337550 0 )
NEW met1 ( 3377040 1254855 ) M1M2_PR
NEW met1 ( 3377040 1255965 ) M1M2_PR
NEW met2 ( 3377040 1336070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[4\] ( padframe mprj_io_oeb[4] ) ( gpio_control_in\[4\] pad_gpio_outenb )
+ ROUTED met1 ( 3371760 1479815 ) ( 3377040 1479815 )
NEW met2 ( 3377040 1477615 0 ) ( 3377040 1479815 )
NEW met3 ( 3371760 1562510 ) ( 3373920 1562510 0 )
NEW met2 ( 3371760 1479815 ) ( 3371760 1562510 )
NEW met1 ( 3371760 1479815 ) M1M2_PR
NEW met1 ( 3377040 1479815 ) M1M2_PR
NEW met2 ( 3371760 1562510 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[5\] ( padframe mprj_io_oeb[5] ) ( gpio_control_in\[5\] pad_gpio_outenb )
+ ROUTED met3 ( 3372720 1785990 ) ( 3373920 1785990 )
NEW met3 ( 3373920 1785990 ) ( 3373920 1787470 0 )
NEW met1 ( 3372720 1704775 ) ( 3377520 1704775 )
NEW met2 ( 3377520 1702740 0 ) ( 3377520 1704775 )
NEW met2 ( 3372720 1704775 ) ( 3372720 1785990 )
NEW met2 ( 3372720 1785990 ) via2_FR
NEW met1 ( 3372720 1704775 ) M1M2_PR
NEW met1 ( 3377520 1704775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_oeb\[6\] ( padframe mprj_io_oeb[6] ) ( gpio_control_in\[6\] pad_gpio_outenb )
+ ROUTED met3 ( 3376800 2012430 ) ( 3377040 2012430 )
NEW met3 ( 3376800 2012430 ) ( 3376800 2013170 0 )
NEW met2 ( 3376560 1957670 ) ( 3377040 1957670 )
NEW met2 ( 3376560 1928615 ) ( 3376560 1957670 )
NEW met2 ( 3376560 1928615 ) ( 3377040 1928615 0 )
NEW met2 ( 3377040 1957670 ) ( 3377040 2012430 )
NEW met2 ( 3377040 2012430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[7\] ( padframe mprj_io_oeb[7] ) ( gpio_control_in\[7\] pad_gpio_outenb )
+ ROUTED met3 ( 3374880 2454210 0 ) ( 3374880 2457170 )
NEW met3 ( 3374880 2814590 ) ( 3375600 2814590 )
NEW met2 ( 3375600 2814590 ) ( 3377040 2814590 0 )
NEW met4 ( 3374880 2457170 ) ( 3374880 2814590 )
NEW met3 ( 3374880 2457170 ) M3M4_PR_M
NEW met3 ( 3374880 2814590 ) M3M4_PR_M
NEW met2 ( 3375600 2814590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[8\] ( padframe mprj_io_oeb[8] ) ( gpio_control_in\[8\] pad_gpio_outenb )
+ ROUTED met3 ( 3372000 2674360 ) ( 3373920 2674360 0 )
NEW met3 ( 3372000 3038810 ) ( 3375600 3038810 )
NEW met2 ( 3375600 3038810 ) ( 3375600 3040660 )
NEW met2 ( 3375600 3040660 ) ( 3377040 3040660 0 )
NEW met4 ( 3372000 2674360 ) ( 3372000 3038810 )
NEW met3 ( 3372000 2674360 ) M3M4_PR_M
NEW met3 ( 3372000 3038810 ) M3M4_PR_M
NEW met2 ( 3375600 3038810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_oeb\[9\] ( padframe mprj_io_oeb[9] ) ( gpio_control_in\[9\] pad_gpio_outenb )
+ ROUTED met3 ( 3377520 3263030 ) ( 3377760 3263030 )
NEW met2 ( 3377520 3263030 ) ( 3377520 3265620 0 )
NEW met3 ( 3377760 2899320 0 ) ( 3377760 2901910 )
NEW met4 ( 3377760 2901910 ) ( 3377760 3263030 )
NEW met3 ( 3377760 3263030 ) M3M4_PR_M
NEW met2 ( 3377520 3263030 ) via2_FR
NEW met3 ( 3377760 2901910 ) M3M4_PR_M
NEW met3 ( 3377760 3263030 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[0\] ( padframe mprj_io_out[0] ) ( gpio_control_bidir\[0\] pad_gpio_out )
+ ROUTED met2 ( 3370800 593110 ) ( 3371280 593110 )
NEW met1 ( 3371280 568135 ) ( 3376080 568135 )
NEW met2 ( 3376080 559975 ) ( 3376080 568135 )
NEW met2 ( 3376080 559975 ) ( 3377040 559975 0 )
NEW met2 ( 3371280 568135 ) ( 3371280 593110 )
NEW met3 ( 3370800 656010 ) ( 3373920 656010 0 )
NEW met2 ( 3370800 593110 ) ( 3370800 656010 )
NEW met1 ( 3371280 568135 ) M1M2_PR
NEW met1 ( 3376080 568135 ) M1M2_PR
NEW met2 ( 3370800 656010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[10\] ( padframe mprj_io_out[10] ) ( gpio_control_in\[10\] pad_gpio_out )
+ ROUTED met2 ( 3378960 3473930 ) ( 3378960 3475975 0 )
NEW met3 ( 3378960 3473930 ) ( 3380640 3473930 )
NEW met3 ( 3380400 3166090 ) ( 3380640 3166090 )
NEW met2 ( 3380400 3123910 ) ( 3380400 3166090 )
NEW met3 ( 3380400 3123910 ) ( 3380640 3123910 )
NEW met3 ( 3380640 3121320 0 ) ( 3380640 3123910 )
NEW met4 ( 3380640 3166090 ) ( 3380640 3473930 )
NEW met2 ( 3378960 3473930 ) via2_FR
NEW met3 ( 3380640 3473930 ) M3M4_PR_M
NEW met3 ( 3380640 3166090 ) M3M4_PR_M
NEW met2 ( 3380400 3166090 ) via2_FR
NEW met2 ( 3380400 3123910 ) via2_FR
NEW met3 ( 3380640 3166090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[11\] ( padframe mprj_io_out[11] ) ( gpio_control_in\[11\] pad_gpio_out )
+ ROUTED met3 ( 3377760 3346280 0 ) ( 3377760 3348870 )
NEW met3 ( 3377520 3701850 ) ( 3377760 3701850 )
NEW met2 ( 3377520 3701110 0 ) ( 3377520 3701850 )
NEW met4 ( 3377760 3348870 ) ( 3377760 3701850 )
NEW met3 ( 3377760 3348870 ) M3M4_PR_M
NEW met3 ( 3377760 3701850 ) M3M4_PR_M
NEW met2 ( 3377520 3701850 ) via2_FR
NEW met3 ( 3377760 3701850 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[12\] ( padframe mprj_io_out[12] ) ( gpio_control_in\[12\] pad_gpio_out )
+ ROUTED met2 ( 3372240 3700370 ) ( 3372720 3700370 )
NEW met3 ( 3372240 3572350 ) ( 3373920 3572350 0 )
NEW met2 ( 3372240 3572350 ) ( 3372240 3700370 )
NEW met1 ( 3372720 3924775 ) ( 3377040 3924775 )
NEW met2 ( 3377040 3924775 ) ( 3377040 3926070 0 )
NEW met2 ( 3372720 3700370 ) ( 3372720 3924775 )
NEW met2 ( 3372240 3572350 ) via2_FR
NEW met1 ( 3372720 3924775 ) M1M2_PR
NEW met1 ( 3377040 3924775 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[13\] ( padframe mprj_io_out[13] ) ( gpio_control_in\[13\] pad_gpio_out )
+ ROUTED met3 ( 3374880 4370070 ) ( 3377520 4370070 )
NEW met2 ( 3377520 4370070 ) ( 3377520 4371920 0 )
NEW met3 ( 3374880 3797310 0 ) ( 3374880 3800270 )
NEW met4 ( 3374880 3800270 ) ( 3374880 4370070 )
NEW met3 ( 3374880 4370070 ) M3M4_PR_M
NEW met2 ( 3377520 4370070 ) via2_FR
NEW met3 ( 3374880 3800270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[14\] ( padframe mprj_io_out[14] ) ( gpio_control_in\[14\] pad_gpio_out )
+ ROUTED met3 ( 3374880 4689010 0 ) ( 3374880 4691970 )
NEW met3 ( 3374880 4814070 ) ( 3375600 4814070 )
NEW met2 ( 3375600 4814070 ) ( 3375600 4817975 )
NEW met2 ( 3375600 4817975 ) ( 3377040 4817975 0 )
NEW met4 ( 3374880 4691970 ) ( 3374880 4814070 )
NEW met3 ( 3374880 4691970 ) M3M4_PR_M
NEW met3 ( 3374880 4814070 ) M3M4_PR_M
NEW met2 ( 3375600 4814070 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[15\] ( padframe mprj_io_out[15] ) ( gpio_control_in\[15\] pad_gpio_out )
+ ROUTED met1 ( 2799600 5012205 ) ( 2822640 5012205 )
NEW met2 ( 2799600 5012205 ) ( 2799600 5012390 )
NEW met3 ( 2797440 5012390 0 ) ( 2799600 5012390 )
NEW met1 ( 2822640 5005175 ) ( 2838960 5005175 )
NEW met2 ( 2838960 4992965 ) ( 2838960 5005175 )
NEW met1 ( 2838960 4992965 ) ( 2854320 4992965 )
NEW met2 ( 2854320 4974650 ) ( 2854320 4992965 )
NEW met2 ( 2822640 5005175 ) ( 2822640 5012205 )
NEW met2 ( 3150000 4974650 ) ( 3150000 4977610 0 )
NEW met3 ( 2854320 4974650 ) ( 3150000 4974650 )
NEW met1 ( 2822640 5012205 ) M1M2_PR
NEW met1 ( 2799600 5012205 ) M1M2_PR
NEW met2 ( 2799600 5012390 ) via2_FR
NEW met1 ( 2822640 5005175 ) M1M2_PR
NEW met1 ( 2838960 5005175 ) M1M2_PR
NEW met1 ( 2838960 4992965 ) M1M2_PR
NEW met1 ( 2854320 4992965 ) M1M2_PR
NEW met2 ( 2854320 4974650 ) via2_FR
NEW met2 ( 3150000 4974650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[16\] ( padframe mprj_io_out[16] ) ( gpio_control_in\[16\] pad_gpio_out )
+ ROUTED met2 ( 2639280 4979090 ) ( 2640960 4979090 0 )
NEW met2 ( 2639280 4978905 ) ( 2639280 4979090 )
NEW met1 ( 2620080 4978905 ) ( 2639280 4978905 )
NEW met2 ( 2620080 4978905 ) ( 2620080 5025895 )
NEW met2 ( 2543280 5025710 ) ( 2543280 5025895 )
NEW met3 ( 2540160 5025710 0 ) ( 2543280 5025710 )
NEW met1 ( 2543280 5025895 ) ( 2620080 5025895 )
NEW met1 ( 2620080 5025895 ) M1M2_PR
NEW met1 ( 2639280 4978905 ) M1M2_PR
NEW met1 ( 2620080 4978905 ) M1M2_PR
NEW met1 ( 2543280 5025895 ) M1M2_PR
NEW met2 ( 2543280 5025710 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[17\] ( padframe mprj_io_out[17] ) ( gpio_control_in\[17\] pad_gpio_out )
+ ROUTED met1 ( 2208720 5027005 ) ( 2208720 5027745 )
NEW met2 ( 2158320 5027005 ) ( 2158320 5027190 )
NEW met3 ( 2155200 5027190 0 ) ( 2158320 5027190 )
NEW met1 ( 2158320 5027005 ) ( 2208720 5027005 )
NEW met2 ( 2332560 5024970 ) ( 2332560 5027745 )
NEW met2 ( 2332560 5024970 ) ( 2333040 5024970 )
NEW met1 ( 2208720 5027745 ) ( 2332560 5027745 )
NEW met2 ( 2382000 4977610 ) ( 2383920 4977610 0 )
NEW met2 ( 2382000 4977610 ) ( 2382000 4977795 )
NEW met1 ( 2361360 4977795 ) ( 2382000 4977795 )
NEW met2 ( 2361360 4977795 ) ( 2361360 4997035 )
NEW met1 ( 2333040 4997035 ) ( 2361360 4997035 )
NEW met2 ( 2333040 4997035 ) ( 2333040 5024970 )
NEW met1 ( 2158320 5027005 ) M1M2_PR
NEW met2 ( 2158320 5027190 ) via2_FR
NEW met1 ( 2332560 5027745 ) M1M2_PR
NEW met1 ( 2382000 4977795 ) M1M2_PR
NEW met1 ( 2361360 4977795 ) M1M2_PR
NEW met1 ( 2361360 4997035 ) M1M2_PR
NEW met1 ( 2333040 4997035 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[18\] ( padframe mprj_io_out[18] ) ( gpio_control_in\[18\] pad_gpio_out )
+ ROUTED met3 ( 1818240 4976870 ) ( 1818240 4979090 0 )
NEW met2 ( 1937520 4976870 ) ( 1937520 4977610 )
NEW met2 ( 1937520 4977610 ) ( 1938960 4977610 0 )
NEW met3 ( 1818240 4976870 ) ( 1937520 4976870 )
NEW met2 ( 1937520 4976870 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[19\] ( padframe mprj_io_out[19] ) ( gpio_control_in\[19\] pad_gpio_out )
+ ROUTED met2 ( 1431600 4973170 ) ( 1431600 4977610 )
NEW met2 ( 1430160 4977610 0 ) ( 1431600 4977610 )
NEW met3 ( 1566240 4973170 ) ( 1566240 4979090 0 )
NEW met3 ( 1431600 4973170 ) ( 1566240 4973170 )
NEW met2 ( 1431600 4973170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[1\] ( padframe mprj_io_out[1] ) ( gpio_control_bidir\[1\] pad_gpio_out )
+ ROUTED met3 ( 3384240 880970 ) ( 3384480 880970 )
NEW met3 ( 3384480 880970 ) ( 3384480 882450 0 )
NEW met2 ( 3377520 785510 ) ( 3377520 785880 0 )
NEW met2 ( 3376560 785510 ) ( 3377520 785510 )
NEW met2 ( 3376560 785510 ) ( 3376560 785880 )
NEW met2 ( 3375600 785880 ) ( 3376560 785880 )
NEW met2 ( 3375600 785880 ) ( 3375600 810485 )
NEW met1 ( 3375600 810485 ) ( 3384240 810485 )
NEW met2 ( 3384240 810485 ) ( 3384240 880970 )
NEW met2 ( 3384240 880970 ) via2_FR
NEW met1 ( 3375600 810485 ) M1M2_PR
NEW met1 ( 3384240 810485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[20\] ( padframe mprj_io_out[20] ) ( gpio_control_in\[20\] pad_gpio_out )
+ ROUTED met3 ( 1193760 4972430 ) ( 1193760 4974650 )
NEW met2 ( 1171920 4972430 ) ( 1171920 4977610 0 )
NEW met3 ( 1171920 4972430 ) ( 1193760 4972430 )
NEW met3 ( 1308000 4974650 ) ( 1308000 4978350 )
NEW met3 ( 1308000 4978350 ) ( 1308960 4978350 )
NEW met3 ( 1308960 4978350 ) ( 1308960 4979090 )
NEW met3 ( 1308000 4979090 0 ) ( 1308960 4979090 )
NEW met3 ( 1193760 4974650 ) ( 1308000 4974650 )
NEW met2 ( 1171920 4972430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[21\] ( padframe mprj_io_out[21] ) ( gpio_control_in\[21\] pad_gpio_out )
+ ROUTED met2 ( 1050960 4968915 ) ( 1050960 4976130 )
NEW met3 ( 1050960 4976130 ) ( 1051200 4976130 )
NEW met3 ( 1051200 4976130 ) ( 1051200 4979090 0 )
NEW met2 ( 915120 4968915 ) ( 915120 4977610 0 )
NEW met1 ( 915120 4968915 ) ( 1050960 4968915 )
NEW met1 ( 1050960 4968915 ) M1M2_PR
NEW met2 ( 1050960 4976130 ) via2_FR
NEW met1 ( 915120 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[22\] ( padframe mprj_io_out[22] ) ( gpio_control_in\[22\] pad_gpio_out )
+ ROUTED met2 ( 793200 4968915 ) ( 793200 4979090 )
NEW met3 ( 793200 4979090 ) ( 794400 4979090 0 )
NEW met2 ( 659280 4968915 ) ( 659280 4977610 )
NEW met2 ( 658080 4977610 0 ) ( 659280 4977610 )
NEW met1 ( 659280 4968915 ) ( 793200 4968915 )
NEW met1 ( 793200 4968915 ) M1M2_PR
NEW met2 ( 793200 4979090 ) via2_FR
NEW met1 ( 659280 4968915 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[23\] ( padframe mprj_io_out[23] ) ( gpio_control_in\[23\] pad_gpio_out )
+ ROUTED met2 ( 401040 4968730 ) ( 401040 4977610 0 )
NEW met2 ( 534960 4968730 ) ( 534960 4979830 )
NEW met3 ( 534960 4979830 ) ( 537120 4979830 0 )
NEW met3 ( 401040 4968730 ) ( 534960 4968730 )
NEW met2 ( 401040 4968730 ) via2_FR
NEW met2 ( 534960 4968730 ) via2_FR
NEW met2 ( 534960 4979830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[24\] ( padframe mprj_io_out[24] ) ( gpio_control_in\[24\] pad_gpio_out )
+ ROUTED met3 ( 211680 4500310 0 ) ( 211680 4503270 )
NEW met3 ( 210960 4788910 ) ( 211680 4788910 )
NEW met2 ( 210960 4788910 ) ( 210960 4791130 0 )
NEW met4 ( 211680 4503270 ) ( 211680 4788910 )
NEW met3 ( 211680 4503270 ) M3M4_PR_M
NEW met3 ( 211680 4788910 ) M3M4_PR_M
NEW met2 ( 210960 4788910 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[25\] ( padframe mprj_io_out[25] ) ( gpio_control_in\[25\] pad_gpio_out )
+ ROUTED met2 ( 210960 3941980 0 ) ( 211440 3941980 )
NEW met2 ( 211440 3941980 ) ( 211440 3944385 )
NEW met1 ( 211440 3944385 ) ( 214800 3944385 )
NEW met1 ( 212400 4018015 ) ( 214800 4018015 )
NEW met2 ( 214800 3944385 ) ( 214800 4018015 )
NEW met3 ( 211680 4076290 ) ( 212400 4076290 )
NEW met3 ( 211680 4076290 ) ( 211680 4078510 0 )
NEW met2 ( 212400 4018015 ) ( 212400 4076290 )
NEW met1 ( 211440 3944385 ) M1M2_PR
NEW met1 ( 214800 3944385 ) M1M2_PR
NEW met1 ( 212400 4018015 ) M1M2_PR
NEW met1 ( 214800 4018015 ) M1M2_PR
NEW met2 ( 212400 4076290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[26\] ( padframe mprj_io_out[26] ) ( gpio_control_in\[26\] pad_gpio_out )
+ ROUTED met3 ( 211680 3862430 0 ) ( 214800 3862430 )
NEW met2 ( 214800 3835790 ) ( 215280 3835790 )
NEW met2 ( 214800 3835790 ) ( 214800 3862430 )
NEW met2 ( 210960 3725900 0 ) ( 210960 3728305 )
NEW met1 ( 210960 3728305 ) ( 215280 3728305 )
NEW met2 ( 215280 3728305 ) ( 215280 3835790 )
NEW met2 ( 214800 3862430 ) via2_FR
NEW met1 ( 210960 3728305 ) M1M2_PR
NEW met1 ( 215280 3728305 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[27\] ( padframe mprj_io_out[27] ) ( gpio_control_in\[27\] pad_gpio_out )
+ ROUTED met1 ( 208080 3570685 ) ( 217680 3570685 )
NEW met2 ( 208080 3570685 ) ( 208080 3644130 )
NEW met3 ( 207840 3644130 ) ( 208080 3644130 )
NEW met3 ( 207840 3644130 ) ( 207840 3646350 0 )
NEW met2 ( 210960 3510025 0 ) ( 210960 3511485 )
NEW met1 ( 210960 3511485 ) ( 212880 3511485 )
NEW met2 ( 212880 3511485 ) ( 212880 3532205 )
NEW met1 ( 212880 3532205 ) ( 217680 3532205 )
NEW met2 ( 217680 3532205 ) ( 217680 3570685 )
NEW met1 ( 217680 3570685 ) M1M2_PR
NEW met1 ( 208080 3570685 ) M1M2_PR
NEW met2 ( 208080 3644130 ) via2_FR
NEW met1 ( 210960 3511485 ) M1M2_PR
NEW met1 ( 212880 3511485 ) M1M2_PR
NEW met1 ( 212880 3532205 ) M1M2_PR
NEW met1 ( 217680 3532205 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[28\] ( padframe mprj_io_out[28] ) ( gpio_control_in\[28\] pad_gpio_out )
+ ROUTED met1 ( 208560 3360155 ) ( 213360 3360155 )
NEW met2 ( 208560 3360155 ) ( 208560 3428050 )
NEW met3 ( 208560 3428050 ) ( 208800 3428050 )
NEW met3 ( 208800 3428050 ) ( 208800 3430270 0 )
NEW met2 ( 210480 3294110 0 ) ( 210480 3295405 )
NEW met1 ( 210480 3295405 ) ( 212880 3295405 )
NEW met2 ( 212880 3295405 ) ( 212880 3317050 )
NEW met3 ( 212880 3317050 ) ( 213600 3317050 )
NEW met4 ( 213600 3317050 ) ( 213600 3354790 )
NEW met3 ( 213360 3354790 ) ( 213600 3354790 )
NEW met2 ( 213360 3354790 ) ( 213360 3360155 )
NEW met1 ( 213360 3360155 ) M1M2_PR
NEW met1 ( 208560 3360155 ) M1M2_PR
NEW met2 ( 208560 3428050 ) via2_FR
NEW met1 ( 210480 3295405 ) M1M2_PR
NEW met1 ( 212880 3295405 ) M1M2_PR
NEW met2 ( 212880 3317050 ) via2_FR
NEW met3 ( 213600 3317050 ) M3M4_PR_M
NEW met3 ( 213600 3354790 ) M3M4_PR_M
NEW met2 ( 213360 3354790 ) via2_FR
NEW met3 ( 213600 3354790 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_out\[29\] ( padframe mprj_io_out[29] ) ( gpio_control_in\[29\] pad_gpio_out )
+ ROUTED met3 ( 208560 3211970 ) ( 208800 3211970 )
NEW met3 ( 208800 3211970 ) ( 208800 3214190 0 )
NEW met1 ( 208560 3138895 ) ( 217680 3138895 )
NEW met2 ( 217680 3107445 ) ( 217680 3138895 )
NEW met1 ( 215280 3107445 ) ( 217680 3107445 )
NEW met2 ( 215280 3079325 ) ( 215280 3107445 )
NEW met1 ( 210960 3079325 ) ( 215280 3079325 )
NEW met2 ( 210960 3078030 0 ) ( 210960 3079325 )
NEW met2 ( 208560 3138895 ) ( 208560 3211970 )
NEW met2 ( 208560 3211970 ) via2_FR
NEW met1 ( 208560 3138895 ) M1M2_PR
NEW met1 ( 217680 3138895 ) M1M2_PR
NEW met1 ( 217680 3107445 ) M1M2_PR
NEW met1 ( 215280 3107445 ) M1M2_PR
NEW met1 ( 215280 3079325 ) M1M2_PR
NEW met1 ( 210960 3079325 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[2\] ( padframe mprj_io_out[2] ) ( gpio_control_in\[2\] pad_gpio_out )
+ ROUTED met2 ( 3375120 1024530 ) ( 3376080 1024530 )
NEW met2 ( 3376080 1010840 ) ( 3376080 1024530 )
NEW met2 ( 3376080 1010840 ) ( 3376560 1010840 )
NEW met2 ( 3376560 1010840 ) ( 3376560 1011210 )
NEW met2 ( 3376560 1011210 ) ( 3377040 1011210 )
NEW met2 ( 3377040 1010840 0 ) ( 3377040 1011210 )
NEW met3 ( 3374880 1105930 ) ( 3375120 1105930 )
NEW met3 ( 3374880 1105930 ) ( 3374880 1107410 0 )
NEW met2 ( 3375120 1024530 ) ( 3375120 1105930 )
NEW met2 ( 3375120 1105930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[30\] ( padframe mprj_io_out[30] ) ( gpio_control_in\[30\] pad_gpio_out )
+ ROUTED met3 ( 211680 2998110 0 ) ( 215280 2998110 )
NEW met2 ( 210960 2861950 0 ) ( 210960 2863245 )
NEW met1 ( 210960 2863245 ) ( 212400 2863245 )
NEW met2 ( 212400 2863245 ) ( 212400 2884890 )
NEW met3 ( 212400 2884890 ) ( 212640 2884890 )
NEW met4 ( 212640 2884890 ) ( 212640 2924850 )
NEW met3 ( 212640 2924850 ) ( 212880 2924850 )
NEW met2 ( 212880 2924850 ) ( 212880 2932805 )
NEW met1 ( 212880 2932805 ) ( 215280 2932805 )
NEW met2 ( 215280 2932805 ) ( 215280 2998110 )
NEW met2 ( 215280 2998110 ) via2_FR
NEW met1 ( 210960 2863245 ) M1M2_PR
NEW met1 ( 212400 2863245 ) M1M2_PR
NEW met2 ( 212400 2884890 ) via2_FR
NEW met3 ( 212640 2884890 ) M3M4_PR_M
NEW met3 ( 212640 2924850 ) M3M4_PR_M
NEW met2 ( 212880 2924850 ) via2_FR
NEW met1 ( 212880 2932805 ) M1M2_PR
NEW met1 ( 215280 2932805 ) M1M2_PR
NEW met3 ( 212400 2884890 ) RECT ( -380 -150 0 150 )
NEW met3 ( 212640 2924850 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_out\[31\] ( padframe mprj_io_out[31] ) ( gpio_control_in\[31\] pad_gpio_out )
+ ROUTED met2 ( 210960 2646025 0 ) ( 211440 2646025 )
NEW met2 ( 211440 2646025 ) ( 211440 2648275 )
NEW met1 ( 211440 2648275 ) ( 215280 2648275 )
NEW met2 ( 215280 2648275 ) ( 215280 2671585 )
NEW met1 ( 215280 2671585 ) ( 217200 2671585 )
NEW met1 ( 208560 2706365 ) ( 217200 2706365 )
NEW met2 ( 208560 2706365 ) ( 208560 2779810 )
NEW met3 ( 208560 2779810 ) ( 208800 2779810 )
NEW met3 ( 208800 2779810 ) ( 208800 2782030 0 )
NEW met2 ( 217200 2671585 ) ( 217200 2706365 )
NEW met1 ( 211440 2648275 ) M1M2_PR
NEW met1 ( 215280 2648275 ) M1M2_PR
NEW met1 ( 215280 2671585 ) M1M2_PR
NEW met1 ( 217200 2671585 ) M1M2_PR
NEW met1 ( 217200 2706365 ) M1M2_PR
NEW met1 ( 208560 2706365 ) M1M2_PR
NEW met2 ( 208560 2779810 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[32\] ( padframe mprj_io_out[32] ) ( gpio_control_in\[32\] pad_gpio_out )
+ ROUTED met3 ( 206880 2010210 ) ( 209040 2010210 )
NEW met2 ( 209040 2007990 0 ) ( 209040 2010210 )
NEW met3 ( 206880 2141930 ) ( 206880 2144150 0 )
NEW met4 ( 206880 2010210 ) ( 206880 2141930 )
NEW met3 ( 206880 2010210 ) M3M4_PR_M
NEW met2 ( 209040 2010210 ) via2_FR
NEW met3 ( 206880 2141930 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[33\] ( padframe mprj_io_out[33] ) ( gpio_control_in\[33\] pad_gpio_out )
+ ROUTED met3 ( 206880 1793390 ) ( 209040 1793390 )
NEW met2 ( 209040 1791910 0 ) ( 209040 1793390 )
NEW met3 ( 206880 1925850 ) ( 206880 1928070 0 )
NEW met4 ( 206880 1793390 ) ( 206880 1925850 )
NEW met3 ( 206880 1793390 ) M3M4_PR_M
NEW met2 ( 209040 1793390 ) via2_FR
NEW met3 ( 206880 1925850 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[34\] ( padframe mprj_io_out[34] ) ( gpio_control_in\[34\] pad_gpio_out )
+ ROUTED met3 ( 206880 1578050 ) ( 209040 1578050 )
NEW met2 ( 209040 1576025 0 ) ( 209040 1578050 )
NEW met3 ( 206880 1709770 ) ( 206880 1712360 0 )
NEW met4 ( 206880 1578050 ) ( 206880 1709770 )
NEW met3 ( 206880 1578050 ) M3M4_PR_M
NEW met2 ( 209040 1578050 ) via2_FR
NEW met3 ( 206880 1709770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[35\] ( padframe mprj_io_out[35] ) ( gpio_control_in\[35\] pad_gpio_out )
+ ROUTED met3 ( 206880 1361970 ) ( 209040 1361970 )
NEW met2 ( 209040 1360120 0 ) ( 209040 1361970 )
NEW met3 ( 206880 1493690 ) ( 206880 1496280 0 )
NEW met4 ( 206880 1361970 ) ( 206880 1493690 )
NEW met3 ( 206880 1361970 ) M3M4_PR_M
NEW met2 ( 209040 1361970 ) via2_FR
NEW met3 ( 206880 1493690 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[36\] ( padframe mprj_io_out[36] ) ( gpio_control_in\[36\] pad_gpio_out )
+ ROUTED met3 ( 204960 1145150 ) ( 209040 1145150 )
NEW met2 ( 209040 1144040 0 ) ( 209040 1145150 )
NEW met3 ( 204960 1278350 ) ( 204960 1280570 0 )
NEW met4 ( 204960 1145150 ) ( 204960 1278350 )
NEW met3 ( 204960 1145150 ) M3M4_PR_M
NEW met2 ( 209040 1145150 ) via2_FR
NEW met3 ( 204960 1278350 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[37\] ( padframe mprj_io_out[37] ) ( gpio_control_in\[37\] pad_gpio_out )
+ ROUTED met3 ( 204960 929810 ) ( 209040 929810 )
NEW met2 ( 209040 927960 0 ) ( 209040 929810 )
NEW met3 ( 204960 1062270 ) ( 204960 1064490 0 )
NEW met4 ( 204960 929810 ) ( 204960 1062270 )
NEW met3 ( 204960 929810 ) M3M4_PR_M
NEW met2 ( 209040 929810 ) via2_FR
NEW met3 ( 204960 1062270 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_out\[3\] ( padframe mprj_io_out[3] ) ( gpio_control_in\[3\] pad_gpio_out )
+ ROUTED met2 ( 3376560 1236910 ) ( 3377040 1236910 0 )
NEW met1 ( 3374160 1251155 ) ( 3376560 1251155 )
NEW met2 ( 3374160 1251155 ) ( 3374160 1267065 )
NEW met1 ( 3374160 1267065 ) ( 3384240 1267065 )
NEW met2 ( 3384240 1267065 ) ( 3384240 1332370 )
NEW met3 ( 3384240 1332370 ) ( 3384480 1332370 )
NEW met3 ( 3384480 1332370 ) ( 3384480 1333110 0 )
NEW met2 ( 3376560 1236910 ) ( 3376560 1251155 )
NEW met1 ( 3376560 1251155 ) M1M2_PR
NEW met1 ( 3374160 1251155 ) M1M2_PR
NEW met1 ( 3374160 1267065 ) M1M2_PR
NEW met1 ( 3384240 1267065 ) M1M2_PR
NEW met2 ( 3384240 1332370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[4\] ( padframe mprj_io_out[4] ) ( gpio_control_in\[4\] pad_gpio_out )
+ ROUTED met2 ( 3376080 1464090 ) ( 3377040 1464090 )
NEW met2 ( 3377040 1461870 0 ) ( 3377040 1464090 )
NEW met1 ( 3376080 1497205 ) ( 3384720 1497205 )
NEW met2 ( 3384720 1497205 ) ( 3384720 1557330 )
NEW met3 ( 3384480 1557330 ) ( 3384720 1557330 )
NEW met3 ( 3384480 1557330 ) ( 3384480 1558070 0 )
NEW met2 ( 3376080 1464090 ) ( 3376080 1497205 )
NEW met1 ( 3376080 1497205 ) M1M2_PR
NEW met1 ( 3384720 1497205 ) M1M2_PR
NEW met2 ( 3384720 1557330 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[5\] ( padframe mprj_io_out[5] ) ( gpio_control_in\[5\] pad_gpio_out )
+ ROUTED met2 ( 3376080 1686975 ) ( 3377040 1686975 0 )
NEW met2 ( 3376080 1686975 ) ( 3376080 1782290 )
NEW met3 ( 3375840 1782290 ) ( 3376080 1782290 )
NEW met3 ( 3375840 1782290 ) ( 3375840 1783030 0 )
NEW met2 ( 3376080 1782290 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[6\] ( padframe mprj_io_out[6] ) ( gpio_control_in\[6\] pad_gpio_out )
+ ROUTED met3 ( 3370800 2009470 ) ( 3373920 2009470 0 )
NEW met1 ( 3370800 1915675 ) ( 3377040 1915675 )
NEW met2 ( 3377040 1912900 0 ) ( 3377040 1915675 )
NEW met2 ( 3370800 1915675 ) ( 3370800 2009470 )
NEW met2 ( 3370800 2009470 ) via2_FR
NEW met1 ( 3370800 1915675 ) M1M2_PR
NEW met1 ( 3377040 1915675 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[7\] ( padframe mprj_io_out[7] ) ( gpio_control_in\[7\] pad_gpio_out )
+ ROUTED met1 ( 3371280 2488435 ) ( 3371280 2489545 )
NEW met2 ( 3371280 2450510 ) ( 3371280 2488435 )
NEW met3 ( 3371280 2450510 ) ( 3373920 2450510 0 )
NEW met1 ( 3371280 2797755 ) ( 3377040 2797755 )
NEW met2 ( 3377040 2797755 ) ( 3377040 2799050 0 )
NEW met2 ( 3371280 2489545 ) ( 3371280 2797755 )
NEW met1 ( 3371280 2489545 ) M1M2_PR
NEW met1 ( 3371280 2488435 ) M1M2_PR
NEW met2 ( 3371280 2450510 ) via2_FR
NEW met1 ( 3371280 2797755 ) M1M2_PR
NEW met1 ( 3377040 2797755 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_out\[8\] ( padframe mprj_io_out[8] ) ( gpio_control_in\[8\] pad_gpio_out )
+ ROUTED met3 ( 3370080 2670290 ) ( 3373920 2670290 0 )
NEW met3 ( 3369120 2920410 ) ( 3370080 2920410 )
NEW met3 ( 3369120 2920410 ) ( 3369120 2922630 )
NEW met3 ( 3369120 2922630 ) ( 3370080 2922630 )
NEW met4 ( 3370080 2670290 ) ( 3370080 2920410 )
NEW met3 ( 3370080 3024750 ) ( 3375600 3024750 )
NEW met2 ( 3375600 3024750 ) ( 3375600 3024975 )
NEW met2 ( 3375600 3024975 ) ( 3377040 3024975 0 )
NEW met4 ( 3370080 2922630 ) ( 3370080 3024750 )
NEW met3 ( 3370080 2670290 ) M3M4_PR_M
NEW met3 ( 3370080 2920410 ) M3M4_PR_M
NEW met3 ( 3370080 2922630 ) M3M4_PR_M
NEW met3 ( 3370080 3024750 ) M3M4_PR_M
NEW met2 ( 3375600 3024750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_out\[9\] ( padframe mprj_io_out[9] ) ( gpio_control_in\[9\] pad_gpio_out )
+ ROUTED met3 ( 3381600 2895250 0 ) ( 3381600 2898210 )
NEW met3 ( 3378960 3248230 ) ( 3381600 3248230 )
NEW met2 ( 3378960 3248230 ) ( 3378960 3250080 0 )
NEW met4 ( 3381600 2898210 ) ( 3381600 3248230 )
NEW met3 ( 3381600 2898210 ) M3M4_PR_M
NEW met3 ( 3381600 3248230 ) M3M4_PR_M
NEW met2 ( 3378960 3248230 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[0\] ( padframe mprj_io_slow_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372960 516150 ) ( 3377040 516150 )
NEW met2 ( 3377040 513930 0 ) ( 3377040 516150 )
NEW met3 ( 3372960 662670 ) ( 3373920 662670 )
NEW met3 ( 3373920 662670 ) ( 3373920 664520 0 )
NEW met4 ( 3372960 516150 ) ( 3372960 662670 )
NEW met3 ( 3372960 516150 ) M3M4_PR_M
NEW met2 ( 3377040 516150 ) via2_FR
NEW met3 ( 3372960 662670 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[10\] ( padframe mprj_io_slow_sel[10] ) ( gpio_control_in\[10\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3372720 3427495 ) ( 3377520 3427495 )
NEW met2 ( 3377520 3427495 ) ( 3377520 3429900 0 )
NEW met3 ( 3372720 3130570 ) ( 3373920 3130570 )
NEW met3 ( 3373920 3129460 0 ) ( 3373920 3130570 )
NEW met2 ( 3372720 3130570 ) ( 3372720 3427495 )
NEW met1 ( 3372720 3427495 ) M1M2_PR
NEW met1 ( 3377520 3427495 ) M1M2_PR
NEW met2 ( 3372720 3130570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[11\] ( padframe mprj_io_slow_sel[11] ) ( gpio_control_in\[11\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3373680 3652455 ) ( 3377520 3652455 )
NEW met2 ( 3377520 3652455 ) ( 3377520 3654860 0 )
NEW met3 ( 3373680 3355530 ) ( 3373920 3355530 )
NEW met3 ( 3373920 3354420 0 ) ( 3373920 3355530 )
NEW met2 ( 3373680 3355530 ) ( 3373680 3652455 )
NEW met1 ( 3373680 3652455 ) M1M2_PR
NEW met1 ( 3377520 3652455 ) M1M2_PR
NEW met2 ( 3373680 3355530 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[12\] ( padframe mprj_io_slow_sel[12] ) ( gpio_control_in\[12\] pad_gpio_slow_sel )
+ ROUTED met1 ( 3374160 3631735 ) ( 3375600 3631735 )
NEW met3 ( 3375600 3581230 ) ( 3375840 3581230 )
NEW met3 ( 3375840 3580490 0 ) ( 3375840 3581230 )
NEW met2 ( 3375600 3581230 ) ( 3375600 3631735 )
NEW met1 ( 3374160 3877415 ) ( 3377040 3877415 )
NEW met2 ( 3377040 3877415 ) ( 3377040 3879975 0 )
NEW met2 ( 3374160 3631735 ) ( 3374160 3877415 )
NEW met1 ( 3374160 3631735 ) M1M2_PR
NEW met1 ( 3375600 3631735 ) M1M2_PR
NEW met2 ( 3375600 3581230 ) via2_FR
NEW met1 ( 3374160 3877415 ) M1M2_PR
NEW met1 ( 3377040 3877415 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[13\] ( padframe mprj_io_slow_sel[13] ) ( gpio_control_in\[13\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3377760 3805450 0 ) ( 3377760 3808410 )
NEW met3 ( 3377520 4323450 ) ( 3377760 4323450 )
NEW met2 ( 3377520 4323450 ) ( 3377520 4326040 0 )
NEW met4 ( 3377760 3808410 ) ( 3377760 4323450 )
NEW met3 ( 3377760 3808410 ) M3M4_PR_M
NEW met3 ( 3377760 4323450 ) M3M4_PR_M
NEW met2 ( 3377520 4323450 ) via2_FR
NEW met3 ( 3377760 4323450 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[14\] ( padframe mprj_io_slow_sel[14] ) ( gpio_control_in\[14\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3370320 4697890 ) ( 3373920 4697890 )
NEW met3 ( 3373920 4697520 0 ) ( 3373920 4697890 )
NEW met1 ( 3370320 4769485 ) ( 3377520 4769485 )
NEW met2 ( 3377520 4769485 ) ( 3377520 4771890 0 )
NEW met2 ( 3370320 4697890 ) ( 3370320 4769485 )
NEW met2 ( 3370320 4697890 ) via2_FR
NEW met1 ( 3370320 4769485 ) M1M2_PR
NEW met1 ( 3377520 4769485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[15\] ( padframe mprj_io_slow_sel[15] ) ( gpio_control_in\[15\] pad_gpio_slow_sel )
+ ROUTED met1 ( 2807760 5011465 ) ( 2826960 5011465 )
NEW met2 ( 2807760 5011465 ) ( 2807760 5011650 )
NEW met3 ( 2805600 5011650 0 ) ( 2807760 5011650 )
NEW met1 ( 2826960 4997405 ) ( 2851920 4997405 )
NEW met1 ( 2851920 4996665 ) ( 2851920 4997405 )
NEW met1 ( 2851920 4996665 ) ( 2855280 4996665 )
NEW met2 ( 2855280 4973170 ) ( 2855280 4996665 )
NEW met2 ( 2826960 4997405 ) ( 2826960 5011465 )
NEW met2 ( 3196080 4973170 ) ( 3196080 4977610 0 )
NEW met3 ( 2855280 4973170 ) ( 3196080 4973170 )
NEW met1 ( 2826960 5011465 ) M1M2_PR
NEW met1 ( 2807760 5011465 ) M1M2_PR
NEW met2 ( 2807760 5011650 ) via2_FR
NEW met1 ( 2826960 4997405 ) M1M2_PR
NEW met1 ( 2855280 4996665 ) M1M2_PR
NEW met2 ( 2855280 4973170 ) via2_FR
NEW met2 ( 3196080 4973170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[16\] ( padframe mprj_io_slow_sel[16] ) ( gpio_control_in\[16\] pad_gpio_slow_sel )
+ ROUTED met2 ( 2685840 4977610 ) ( 2687040 4977610 0 )
NEW met2 ( 2685840 4977055 ) ( 2685840 4977610 )
NEW met1 ( 2605680 4977055 ) ( 2685840 4977055 )
NEW met2 ( 2605680 4977055 ) ( 2605680 4982975 )
NEW met2 ( 2551440 4982790 ) ( 2551440 4982975 )
NEW met3 ( 2548320 4982790 0 ) ( 2551440 4982790 )
NEW met1 ( 2551440 4982975 ) ( 2605680 4982975 )
NEW met1 ( 2685840 4977055 ) M1M2_PR
NEW met1 ( 2605680 4977055 ) M1M2_PR
NEW met1 ( 2605680 4982975 ) M1M2_PR
NEW met1 ( 2551440 4982975 ) M1M2_PR
NEW met2 ( 2551440 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[17\] ( padframe mprj_io_slow_sel[17] ) ( gpio_control_in\[17\] pad_gpio_slow_sel )
+ ROUTED met1 ( 2202480 5027375 ) ( 2202480 5028115 )
NEW met2 ( 2430000 4973170 ) ( 2430000 4977610 0 )
NEW met2 ( 2166480 5027190 ) ( 2166480 5027375 )
NEW met3 ( 2163360 5027190 0 ) ( 2166480 5027190 )
NEW met1 ( 2166480 5027375 ) ( 2202480 5027375 )
NEW met1 ( 2202480 5028115 ) ( 2346000 5028115 )
NEW met1 ( 2346000 5003695 ) ( 2347920 5003695 )
NEW met2 ( 2347920 4973170 ) ( 2347920 5003695 )
NEW met2 ( 2346000 5003695 ) ( 2346000 5028115 )
NEW met3 ( 2347920 4973170 ) ( 2430000 4973170 )
NEW met2 ( 2430000 4973170 ) via2_FR
NEW met1 ( 2166480 5027375 ) M1M2_PR
NEW met2 ( 2166480 5027190 ) via2_FR
NEW met1 ( 2346000 5028115 ) M1M2_PR
NEW met1 ( 2346000 5003695 ) M1M2_PR
NEW met1 ( 2347920 5003695 ) M1M2_PR
NEW met2 ( 2347920 4973170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[18\] ( padframe mprj_io_slow_sel[18] ) ( gpio_control_in\[18\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1829520 4978535 ) ( 1829520 4979090 )
NEW met3 ( 1826400 4979090 0 ) ( 1829520 4979090 )
NEW met3 ( 1964640 4979090 ) ( 1964640 4979830 )
NEW met3 ( 1964640 4979090 ) ( 1983600 4979090 )
NEW met2 ( 1983600 4979090 ) ( 1985040 4979090 0 )
NEW met2 ( 1886640 4978535 ) ( 1886640 4979090 )
NEW met3 ( 1886640 4979090 ) ( 1892640 4979090 )
NEW met3 ( 1892640 4979090 ) ( 1892640 4979830 )
NEW met1 ( 1829520 4978535 ) ( 1886640 4978535 )
NEW met3 ( 1892640 4979830 ) ( 1964640 4979830 )
NEW met1 ( 1829520 4978535 ) M1M2_PR
NEW met2 ( 1829520 4979090 ) via2_FR
NEW met2 ( 1983600 4979090 ) via2_FR
NEW met1 ( 1886640 4978535 ) M1M2_PR
NEW met2 ( 1886640 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[19\] ( padframe mprj_io_slow_sel[19] ) ( gpio_control_in\[19\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1571280 5025710 ) ( 1571280 5025895 )
NEW met3 ( 1571280 5025710 ) ( 1574400 5025710 0 )
NEW met2 ( 1476000 4978350 0 ) ( 1477200 4978350 )
NEW met3 ( 1477200 4978350 ) ( 1491120 4978350 )
NEW met2 ( 1491120 4978350 ) ( 1491120 5001845 )
NEW met1 ( 1491120 5001845 ) ( 1526640 5001845 )
NEW met2 ( 1526640 5001845 ) ( 1526640 5025895 )
NEW met1 ( 1526640 5025895 ) ( 1571280 5025895 )
NEW met1 ( 1571280 5025895 ) M1M2_PR
NEW met2 ( 1571280 5025710 ) via2_FR
NEW met2 ( 1477200 4978350 ) via2_FR
NEW met2 ( 1491120 4978350 ) via2_FR
NEW met1 ( 1491120 5001845 ) M1M2_PR
NEW met1 ( 1526640 5001845 ) M1M2_PR
NEW met1 ( 1526640 5025895 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[1\] ( padframe mprj_io_slow_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3373920 887630 ) ( 3373920 890590 0 )
NEW met3 ( 3373920 742590 ) ( 3377520 742590 )
NEW met2 ( 3377520 740000 0 ) ( 3377520 742590 )
NEW met4 ( 3373920 742590 ) ( 3373920 887630 )
NEW met3 ( 3373920 887630 ) M3M4_PR_M
NEW met3 ( 3373920 742590 ) M3M4_PR_M
NEW met2 ( 3377520 742590 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[20\] ( padframe mprj_io_slow_sel[20] ) ( gpio_control_in\[20\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1218000 4973170 ) ( 1218000 4977610 0 )
NEW met2 ( 1313520 4973170 ) ( 1313520 4979090 )
NEW met3 ( 1313520 4979090 ) ( 1316640 4979090 0 )
NEW met3 ( 1218000 4973170 ) ( 1313520 4973170 )
NEW met2 ( 1218000 4973170 ) via2_FR
NEW met2 ( 1313520 4973170 ) via2_FR
NEW met2 ( 1313520 4979090 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[21\] ( padframe mprj_io_slow_sel[21] ) ( gpio_control_in\[21\] pad_gpio_slow_sel )
+ ROUTED met2 ( 1058640 5018310 ) ( 1058640 5018495 )
NEW met3 ( 1058640 5018310 ) ( 1059360 5018310 0 )
NEW met2 ( 960960 4979090 0 ) ( 962640 4979090 )
NEW met3 ( 962640 4979090 ) ( 976560 4979090 )
NEW met2 ( 976560 4979090 ) ( 976560 5018495 )
NEW met1 ( 976560 5018495 ) ( 1058640 5018495 )
NEW met1 ( 1058640 5018495 ) M1M2_PR
NEW met2 ( 1058640 5018310 ) via2_FR
NEW met2 ( 962640 4979090 ) via2_FR
NEW met2 ( 976560 4979090 ) via2_FR
NEW met1 ( 976560 5018495 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[22\] ( padframe mprj_io_slow_sel[22] ) ( gpio_control_in\[22\] pad_gpio_slow_sel )
+ ROUTED met2 ( 801360 5004065 ) ( 801360 5004250 )
NEW met3 ( 801360 5004250 ) ( 802560 5004250 0 )
NEW met2 ( 703920 4978350 0 ) ( 705360 4978350 )
NEW met2 ( 705360 4978350 ) ( 705360 4978535 )
NEW met1 ( 705360 4978535 ) ( 719280 4978535 )
NEW met2 ( 719280 4978535 ) ( 719280 5004065 )
NEW met1 ( 719280 5004065 ) ( 801360 5004065 )
NEW met1 ( 801360 5004065 ) M1M2_PR
NEW met2 ( 801360 5004250 ) via2_FR
NEW met1 ( 705360 4978535 ) M1M2_PR
NEW met1 ( 719280 4978535 ) M1M2_PR
NEW met1 ( 719280 5004065 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[23\] ( padframe mprj_io_slow_sel[23] ) ( gpio_control_in\[23\] pad_gpio_slow_sel )
+ ROUTED met1 ( 543600 5027375 ) ( 543600 5027745 )
NEW met2 ( 543600 5027190 ) ( 543600 5027375 )
NEW met3 ( 543600 5027190 ) ( 545760 5027190 0 )
NEW met2 ( 447120 4978350 0 ) ( 448560 4978350 )
NEW met3 ( 448560 4978350 ) ( 473760 4978350 )
NEW met3 ( 473760 4978350 ) ( 473760 4979090 )
NEW met3 ( 473760 4979090 ) ( 475440 4979090 )
NEW met2 ( 475440 4979090 ) ( 475440 5027745 )
NEW met1 ( 475440 5027745 ) ( 543600 5027745 )
NEW met1 ( 543600 5027375 ) M1M2_PR
NEW met2 ( 543600 5027190 ) via2_FR
NEW met2 ( 448560 4978350 ) via2_FR
NEW met2 ( 475440 4979090 ) via2_FR
NEW met1 ( 475440 5027745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[24\] ( padframe mprj_io_slow_sel[24] ) ( gpio_control_in\[24\] pad_gpio_slow_sel )
+ ROUTED met3 ( 208800 4835530 ) ( 209040 4835530 )
NEW met2 ( 209040 4835530 ) ( 209040 4837010 0 )
NEW met3 ( 208800 4508450 0 ) ( 208800 4511410 )
NEW met4 ( 208800 4511410 ) ( 208800 4835530 )
NEW met3 ( 208800 4835530 ) M3M4_PR_M
NEW met2 ( 209040 4835530 ) via2_FR
NEW met3 ( 208800 4511410 ) M3M4_PR_M
NEW met3 ( 208800 4835530 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[25\] ( padframe mprj_io_slow_sel[25] ) ( gpio_control_in\[25\] pad_gpio_slow_sel )
+ ROUTED met1 ( 201360 4017275 ) ( 212400 4017275 )
NEW met2 ( 212400 3990265 ) ( 212400 4017275 )
NEW met1 ( 210960 3990265 ) ( 212400 3990265 )
NEW met2 ( 210960 3988025 0 ) ( 210960 3990265 )
NEW met3 ( 201120 4083690 ) ( 201360 4083690 )
NEW met3 ( 201120 4083690 ) ( 201120 4086650 0 )
NEW met2 ( 201360 4017275 ) ( 201360 4083690 )
NEW met1 ( 201360 4017275 ) M1M2_PR
NEW met1 ( 212400 4017275 ) M1M2_PR
NEW met1 ( 212400 3990265 ) M1M2_PR
NEW met1 ( 210960 3990265 ) M1M2_PR
NEW met2 ( 201360 4083690 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[26\] ( padframe mprj_io_slow_sel[26] ) ( gpio_control_in\[26\] pad_gpio_slow_sel )
+ ROUTED met3 ( 194160 3867610 ) ( 194400 3867610 )
NEW met3 ( 194400 3867610 ) ( 194400 3870570 0 )
NEW met3 ( 194160 3792870 ) ( 205920 3792870 )
NEW met4 ( 205920 3774370 ) ( 205920 3792870 )
NEW met3 ( 205920 3774370 ) ( 209520 3774370 )
NEW met2 ( 209520 3772150 0 ) ( 209520 3774370 )
NEW met2 ( 194160 3792870 ) ( 194160 3867610 )
NEW met2 ( 194160 3867610 ) via2_FR
NEW met2 ( 194160 3792870 ) via2_FR
NEW met3 ( 205920 3792870 ) M3M4_PR_M
NEW met3 ( 205920 3774370 ) M3M4_PR_M
NEW met2 ( 209520 3774370 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[27\] ( padframe mprj_io_slow_sel[27] ) ( gpio_control_in\[27\] pad_gpio_slow_sel )
+ ROUTED met3 ( 210480 3558290 ) ( 210720 3558290 )
NEW met4 ( 210720 3558290 ) ( 210720 3570130 )
NEW met3 ( 209520 3570130 ) ( 210720 3570130 )
NEW met2 ( 209520 3570130 ) ( 209520 3575125 )
NEW met1 ( 201360 3575125 ) ( 209520 3575125 )
NEW met2 ( 201360 3575125 ) ( 201360 3651530 )
NEW met3 ( 201120 3651530 ) ( 201360 3651530 )
NEW met3 ( 201120 3651530 ) ( 201120 3654490 0 )
NEW met2 ( 210480 3556070 0 ) ( 210480 3558290 )
NEW met2 ( 210480 3558290 ) via2_FR
NEW met3 ( 210720 3558290 ) M3M4_PR_M
NEW met3 ( 210720 3570130 ) M3M4_PR_M
NEW met2 ( 209520 3570130 ) via2_FR
NEW met1 ( 209520 3575125 ) M1M2_PR
NEW met1 ( 201360 3575125 ) M1M2_PR
NEW met2 ( 201360 3651530 ) via2_FR
NEW met3 ( 210480 3558290 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[28\] ( padframe mprj_io_slow_sel[28] ) ( gpio_control_in\[28\] pad_gpio_slow_sel )
+ ROUTED met2 ( 201360 3360895 ) ( 201360 3435450 )
NEW met3 ( 201120 3435450 ) ( 201360 3435450 )
NEW met3 ( 201120 3435450 ) ( 201120 3438410 0 )
NEW met2 ( 205200 3354790 ) ( 205200 3360895 )
NEW met3 ( 205200 3354790 ) ( 208800 3354790 )
NEW met4 ( 208800 3342210 ) ( 208800 3354790 )
NEW met3 ( 208800 3342210 ) ( 209040 3342210 )
NEW met2 ( 209040 3339990 0 ) ( 209040 3342210 )
NEW met1 ( 201360 3360895 ) ( 205200 3360895 )
NEW met1 ( 201360 3360895 ) M1M2_PR
NEW met2 ( 201360 3435450 ) via2_FR
NEW met1 ( 205200 3360895 ) M1M2_PR
NEW met2 ( 205200 3354790 ) via2_FR
NEW met3 ( 208800 3354790 ) M3M4_PR_M
NEW met3 ( 208800 3342210 ) M3M4_PR_M
NEW met2 ( 209040 3342210 ) via2_FR
NEW met3 ( 208800 3342210 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[29\] ( padframe mprj_io_slow_sel[29] ) ( gpio_control_in\[29\] pad_gpio_slow_sel )
+ ROUTED met3 ( 200160 3219370 ) ( 200400 3219370 )
NEW met3 ( 200160 3219370 ) ( 200160 3222330 0 )
NEW met2 ( 200400 3139265 ) ( 200400 3219370 )
NEW met2 ( 206160 3138710 ) ( 206160 3139265 )
NEW met3 ( 206160 3138710 ) ( 206880 3138710 )
NEW met4 ( 206880 3126130 ) ( 206880 3138710 )
NEW met3 ( 206880 3126130 ) ( 210960 3126130 )
NEW met1 ( 200400 3139265 ) ( 206160 3139265 )
NEW met2 ( 210960 3123910 0 ) ( 210960 3126130 )
NEW met2 ( 200400 3219370 ) via2_FR
NEW met1 ( 200400 3139265 ) M1M2_PR
NEW met1 ( 206160 3139265 ) M1M2_PR
NEW met2 ( 206160 3138710 ) via2_FR
NEW met3 ( 206880 3138710 ) M3M4_PR_M
NEW met3 ( 206880 3126130 ) M3M4_PR_M
NEW met2 ( 210960 3126130 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[2\] ( padframe mprj_io_slow_sel[2] ) ( gpio_control_in\[2\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372960 967550 ) ( 3377040 967550 )
NEW met2 ( 3377040 964960 0 ) ( 3377040 967550 )
NEW met3 ( 3372960 1112590 ) ( 3373920 1112590 )
NEW met3 ( 3373920 1112590 ) ( 3373920 1115550 0 )
NEW met4 ( 3372960 967550 ) ( 3372960 1112590 )
NEW met3 ( 3372960 967550 ) M3M4_PR_M
NEW met2 ( 3377040 967550 ) via2_FR
NEW met3 ( 3372960 1112590 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[30\] ( padframe mprj_io_slow_sel[30] ) ( gpio_control_in\[30\] pad_gpio_slow_sel )
+ ROUTED met3 ( 200160 3003290 ) ( 200400 3003290 )
NEW met3 ( 200160 3003290 ) ( 200160 3006250 0 )
NEW met1 ( 200400 2929845 ) ( 212400 2929845 )
NEW met2 ( 200400 2929845 ) ( 200400 3003290 )
NEW met2 ( 210960 2908025 0 ) ( 210960 2910605 )
NEW met1 ( 210960 2910605 ) ( 212400 2910605 )
NEW met2 ( 212400 2910605 ) ( 212400 2921150 )
NEW met3 ( 212400 2921150 ) ( 212400 2922630 )
NEW met2 ( 212400 2922630 ) ( 212400 2929845 )
NEW met2 ( 200400 3003290 ) via2_FR
NEW met1 ( 200400 2929845 ) M1M2_PR
NEW met1 ( 212400 2929845 ) M1M2_PR
NEW met1 ( 210960 2910605 ) M1M2_PR
NEW met1 ( 212400 2910605 ) M1M2_PR
NEW met2 ( 212400 2921150 ) via2_FR
NEW met2 ( 212400 2922630 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[31\] ( padframe mprj_io_slow_sel[31] ) ( gpio_control_in\[31\] pad_gpio_slow_sel )
+ ROUTED met3 ( 210480 2694710 ) ( 210720 2694710 )
NEW met4 ( 210720 2694710 ) ( 210720 2705810 )
NEW met3 ( 210000 2705810 ) ( 210720 2705810 )
NEW met2 ( 210000 2705810 ) ( 210000 2714505 )
NEW met1 ( 200880 2714505 ) ( 210000 2714505 )
NEW met2 ( 200880 2714505 ) ( 200880 2787210 )
NEW met3 ( 200880 2787210 ) ( 201120 2787210 )
NEW met3 ( 201120 2787210 ) ( 201120 2790170 0 )
NEW met2 ( 210480 2692120 0 ) ( 210480 2694710 )
NEW met2 ( 210480 2694710 ) via2_FR
NEW met3 ( 210720 2694710 ) M3M4_PR_M
NEW met3 ( 210720 2705810 ) M3M4_PR_M
NEW met2 ( 210000 2705810 ) via2_FR
NEW met1 ( 210000 2714505 ) M1M2_PR
NEW met1 ( 200880 2714505 ) M1M2_PR
NEW met2 ( 200880 2787210 ) via2_FR
NEW met3 ( 210480 2694710 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[32\] ( padframe mprj_io_slow_sel[32] ) ( gpio_control_in\[32\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209520 2149330 ) ( 209760 2149330 )
NEW met3 ( 209760 2149330 ) ( 209760 2152290 0 )
NEW met1 ( 209520 2099935 ) ( 211920 2099935 )
NEW met2 ( 211920 2056645 ) ( 211920 2099935 )
NEW met1 ( 210960 2056645 ) ( 211920 2056645 )
NEW met2 ( 210960 2054025 0 ) ( 210960 2056645 )
NEW met2 ( 209520 2099935 ) ( 209520 2149330 )
NEW met2 ( 209520 2149330 ) via2_FR
NEW met1 ( 209520 2099935 ) M1M2_PR
NEW met1 ( 211920 2099935 ) M1M2_PR
NEW met1 ( 211920 2056645 ) M1M2_PR
NEW met1 ( 210960 2056645 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[33\] ( padframe mprj_io_slow_sel[33] ) ( gpio_control_in\[33\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210480 1838160 0 ) ( 210480 1840565 )
NEW met1 ( 210480 1840565 ) ( 211920 1840565 )
NEW met1 ( 210480 1849075 ) ( 211920 1849075 )
NEW met1 ( 210480 1849075 ) ( 210480 1850925 )
NEW met2 ( 210480 1850925 ) ( 210480 1933250 )
NEW met3 ( 210480 1933250 ) ( 210720 1933250 )
NEW met3 ( 210720 1933250 ) ( 210720 1936210 0 )
NEW met2 ( 211920 1840565 ) ( 211920 1849075 )
NEW met1 ( 210480 1840565 ) M1M2_PR
NEW met1 ( 211920 1840565 ) M1M2_PR
NEW met1 ( 211920 1849075 ) M1M2_PR
NEW met1 ( 210480 1850925 ) M1M2_PR
NEW met2 ( 210480 1933250 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[34\] ( padframe mprj_io_slow_sel[34] ) ( gpio_control_in\[34\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210480 1622080 0 ) ( 210480 1624485 )
NEW met1 ( 210480 1624485 ) ( 213840 1624485 )
NEW met3 ( 211680 1720500 0 ) ( 213840 1720500 )
NEW met2 ( 213840 1624485 ) ( 213840 1720500 )
NEW met1 ( 210480 1624485 ) M1M2_PR
NEW met1 ( 213840 1624485 ) M1M2_PR
NEW met2 ( 213840 1720500 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[35\] ( padframe mprj_io_slow_sel[35] ) ( gpio_control_in\[35\] pad_gpio_slow_sel )
+ ROUTED met1 ( 199920 1439855 ) ( 213360 1439855 )
NEW met2 ( 213360 1408775 ) ( 213360 1439855 )
NEW met1 ( 210960 1408775 ) ( 213360 1408775 )
NEW met2 ( 210960 1406000 0 ) ( 210960 1408775 )
NEW met3 ( 199920 1501830 ) ( 200160 1501830 )
NEW met3 ( 200160 1501830 ) ( 200160 1504420 0 )
NEW met2 ( 199920 1439855 ) ( 199920 1501830 )
NEW met1 ( 199920 1439855 ) M1M2_PR
NEW met1 ( 213360 1439855 ) M1M2_PR
NEW met1 ( 213360 1408775 ) M1M2_PR
NEW met1 ( 210960 1408775 ) M1M2_PR
NEW met2 ( 199920 1501830 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[36\] ( padframe mprj_io_slow_sel[36] ) ( gpio_control_in\[36\] pad_gpio_slow_sel )
+ ROUTED met2 ( 210960 1189920 0 ) ( 210960 1192695 )
NEW met1 ( 210960 1192695 ) ( 211920 1192695 )
NEW met2 ( 211920 1192695 ) ( 211920 1202870 )
NEW met2 ( 211440 1202870 ) ( 211920 1202870 )
NEW met3 ( 211440 1285750 ) ( 211680 1285750 )
NEW met3 ( 211680 1285750 ) ( 211680 1288710 0 )
NEW met2 ( 211440 1202870 ) ( 211440 1285750 )
NEW met1 ( 210960 1192695 ) M1M2_PR
NEW met1 ( 211920 1192695 ) M1M2_PR
NEW met2 ( 211440 1285750 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[37\] ( padframe mprj_io_slow_sel[37] ) ( gpio_control_in\[37\] pad_gpio_slow_sel )
+ ROUTED met3 ( 209520 1069670 ) ( 209760 1069670 )
NEW met3 ( 209760 1069670 ) ( 209760 1072630 0 )
NEW met1 ( 209520 991045 ) ( 211920 991045 )
NEW met2 ( 211920 976615 ) ( 211920 991045 )
NEW met1 ( 210960 976615 ) ( 211920 976615 )
NEW met2 ( 210960 974025 0 ) ( 210960 976615 )
NEW met2 ( 209520 991045 ) ( 209520 1069670 )
NEW met2 ( 209520 1069670 ) via2_FR
NEW met1 ( 209520 991045 ) M1M2_PR
NEW met1 ( 211920 991045 ) M1M2_PR
NEW met1 ( 211920 976615 ) M1M2_PR
NEW met1 ( 210960 976615 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[3\] ( padframe mprj_io_slow_sel[3] ) ( gpio_control_in\[3\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3378480 1193250 ) ( 3378720 1193250 )
NEW met2 ( 3378480 1191030 0 ) ( 3378480 1193250 )
NEW met3 ( 3378720 1340510 ) ( 3378720 1341250 0 )
NEW met4 ( 3378720 1193250 ) ( 3378720 1340510 )
NEW met3 ( 3378720 1193250 ) M3M4_PR_M
NEW met2 ( 3378480 1193250 ) via2_FR
NEW met3 ( 3378720 1340510 ) M3M4_PR_M
NEW met3 ( 3378720 1193250 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_slow_sel\[4\] ( padframe mprj_io_slow_sel[4] ) ( gpio_control_in\[4\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3373920 1563250 ) ( 3373920 1566210 0 )
NEW met3 ( 3373920 1418210 ) ( 3377040 1418210 )
NEW met2 ( 3377040 1415990 0 ) ( 3377040 1418210 )
NEW met4 ( 3373920 1418210 ) ( 3373920 1563250 )
NEW met3 ( 3373920 1563250 ) M3M4_PR_M
NEW met3 ( 3373920 1418210 ) M3M4_PR_M
NEW met2 ( 3377040 1418210 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[5\] ( padframe mprj_io_slow_sel[5] ) ( gpio_control_in\[5\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3372000 1641690 ) ( 3377040 1641690 )
NEW met2 ( 3377040 1640950 0 ) ( 3377040 1641690 )
NEW met3 ( 3372000 1791170 ) ( 3373920 1791170 0 )
NEW met4 ( 3372000 1641690 ) ( 3372000 1791170 )
NEW met3 ( 3372000 1641690 ) M3M4_PR_M
NEW met2 ( 3377040 1641690 ) via2_FR
NEW met3 ( 3372000 1791170 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[6\] ( padframe mprj_io_slow_sel[6] ) ( gpio_control_in\[6\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3371040 1869610 ) ( 3377520 1869610 )
NEW met2 ( 3377520 1867020 0 ) ( 3377520 1869610 )
NEW met3 ( 3371040 2017610 ) ( 3373920 2017610 0 )
NEW met4 ( 3371040 1869610 ) ( 3371040 2017610 )
NEW met3 ( 3371040 1869610 ) M3M4_PR_M
NEW met2 ( 3377520 1869610 ) via2_FR
NEW met3 ( 3371040 2017610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_slow_sel\[7\] ( padframe mprj_io_slow_sel[7] ) ( gpio_control_in\[7\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3373200 2459390 ) ( 3373920 2459390 )
NEW met3 ( 3373920 2458650 0 ) ( 3373920 2459390 )
NEW met1 ( 3373200 2750395 ) ( 3377520 2750395 )
NEW met2 ( 3377520 2750395 ) ( 3377520 2752975 0 )
NEW met2 ( 3373200 2459390 ) ( 3373200 2750395 )
NEW met2 ( 3373200 2459390 ) via2_FR
NEW met1 ( 3373200 2750395 ) M1M2_PR
NEW met1 ( 3377520 2750395 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_slow_sel\[8\] ( padframe mprj_io_slow_sel[8] ) ( gpio_control_in\[8\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3383520 2678430 0 ) ( 3383520 2681390 )
NEW met3 ( 3378960 2976650 ) ( 3383520 2976650 )
NEW met2 ( 3378960 2976650 ) ( 3378960 2978870 0 )
NEW met4 ( 3383520 2681390 ) ( 3383520 2976650 )
NEW met3 ( 3383520 2681390 ) M3M4_PR_M
NEW met3 ( 3383520 2976650 ) M3M4_PR_M
NEW met2 ( 3378960 2976650 ) via2_FR
+ USE SIGNAL ;
- mprj_io_slow_sel\[9\] ( padframe mprj_io_slow_sel[9] ) ( gpio_control_in\[9\] pad_gpio_slow_sel )
+ ROUTED met3 ( 3382560 2903390 0 ) ( 3382560 2906350 )
NEW met2 ( 3378960 3201610 ) ( 3378960 3203975 0 )
NEW met3 ( 3378960 3201610 ) ( 3382560 3201610 )
NEW met4 ( 3382560 2906350 ) ( 3382560 3201610 )
NEW met3 ( 3382560 2906350 ) M3M4_PR_M
NEW met2 ( 3378960 3201610 ) via2_FR
NEW met3 ( 3382560 3201610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[0\] ( padframe mprj_io_vtrip_sel[0] ) ( gpio_control_bidir\[0\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 3370800 592555 ) ( 3376560 592555 )
NEW met1 ( 3370800 570725 ) ( 3377040 570725 )
NEW met2 ( 3377040 569060 0 ) ( 3377040 570725 )
NEW met2 ( 3370800 570725 ) ( 3370800 592555 )
NEW met3 ( 3376560 667110 ) ( 3376800 667110 )
NEW met3 ( 3376800 667110 ) ( 3376800 668590 0 )
NEW met2 ( 3376560 592555 ) ( 3376560 667110 )
NEW met1 ( 3370800 592555 ) M1M2_PR
NEW met1 ( 3376560 592555 ) M1M2_PR
NEW met1 ( 3370800 570725 ) M1M2_PR
NEW met1 ( 3377040 570725 ) M1M2_PR
NEW met2 ( 3376560 667110 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[10\] ( padframe mprj_io_vtrip_sel[10] ) ( gpio_control_in\[10\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3374880 3484290 ) ( 3377040 3484290 )
NEW met2 ( 3377040 3484290 ) ( 3377040 3485175 0 )
NEW met4 ( 3373920 3198465 ) ( 3374880 3198465 )
NEW met4 ( 3374880 3198465 ) ( 3374880 3484290 )
NEW met3 ( 3373920 3133530 0 ) ( 3373920 3136490 )
NEW met4 ( 3373920 3136490 ) ( 3373920 3198465 )
NEW met3 ( 3374880 3484290 ) M3M4_PR_M
NEW met2 ( 3377040 3484290 ) via2_FR
NEW met3 ( 3373920 3136490 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[11\] ( padframe mprj_io_vtrip_sel[11] ) ( gpio_control_in\[11\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3374160 3398450 ) ( 3381600 3398450 )
NEW met2 ( 3374160 3398450 ) ( 3374160 3446550 )
NEW met3 ( 3374160 3446550 ) ( 3383520 3446550 )
NEW met3 ( 3381600 3358490 0 ) ( 3381600 3361450 )
NEW met4 ( 3381600 3361450 ) ( 3381600 3398450 )
NEW met2 ( 3378960 3708510 ) ( 3378960 3710175 0 )
NEW met3 ( 3378960 3708510 ) ( 3383520 3708510 )
NEW met4 ( 3383520 3446550 ) ( 3383520 3708510 )
NEW met3 ( 3381600 3398450 ) M3M4_PR_M
NEW met2 ( 3374160 3398450 ) via2_FR
NEW met2 ( 3374160 3446550 ) via2_FR
NEW met3 ( 3383520 3446550 ) M3M4_PR_M
NEW met3 ( 3381600 3361450 ) M3M4_PR_M
NEW met2 ( 3378960 3708510 ) via2_FR
NEW met3 ( 3383520 3708510 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[12\] ( padframe mprj_io_vtrip_sel[12] ) ( gpio_control_in\[12\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3379680 3584560 0 ) ( 3379680 3585485 )
NEW met2 ( 3378960 3933470 ) ( 3378960 3935175 0 )
NEW met3 ( 3378960 3933470 ) ( 3379680 3933470 )
NEW met4 ( 3379680 3585485 ) ( 3379680 3933470 )
NEW met3 ( 3379680 3585485 ) M3M4_PR_M
NEW met2 ( 3378960 3933470 ) via2_FR
NEW met3 ( 3379680 3933470 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[13\] ( padframe mprj_io_vtrip_sel[13] ) ( gpio_control_in\[13\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3373920 4381170 ) ( 3375600 4381170 )
NEW met2 ( 3375600 4381170 ) ( 3377040 4381170 0 )
NEW met3 ( 3373920 3809520 0 ) ( 3373920 3812110 )
NEW met4 ( 3373920 3812110 ) ( 3373920 4381170 )
NEW met3 ( 3373920 4381170 ) M3M4_PR_M
NEW met2 ( 3375600 4381170 ) via2_FR
NEW met3 ( 3373920 3812110 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[14\] ( padframe mprj_io_vtrip_sel[14] ) ( gpio_control_in\[14\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3373920 4701590 0 ) ( 3373920 4704550 )
NEW met3 ( 3373920 4824430 ) ( 3375600 4824430 )
NEW met2 ( 3375600 4824430 ) ( 3375600 4827175 )
NEW met2 ( 3375600 4827175 ) ( 3377040 4827175 0 )
NEW met4 ( 3373920 4704550 ) ( 3373920 4824430 )
NEW met3 ( 3373920 4704550 ) M3M4_PR_M
NEW met3 ( 3373920 4824430 ) M3M4_PR_M
NEW met2 ( 3375600 4824430 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[15\] ( padframe mprj_io_vtrip_sel[15] ) ( gpio_control_in\[15\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 2812560 5025895 ) ( 2864880 5025895 )
NEW met2 ( 2812560 5025710 ) ( 2812560 5025895 )
NEW met3 ( 2809440 5025710 0 ) ( 2812560 5025710 )
NEW met2 ( 2864880 4987415 ) ( 2864880 5025895 )
NEW met2 ( 3009360 4987415 ) ( 3009360 4998515 )
NEW met1 ( 2864880 4987415 ) ( 3009360 4987415 )
NEW met2 ( 3139440 4978350 ) ( 3140880 4978350 0 )
NEW met2 ( 3139440 4978165 ) ( 3139440 4978350 )
NEW met1 ( 3129840 4978165 ) ( 3139440 4978165 )
NEW met2 ( 3129840 4978165 ) ( 3129840 4998330 )
NEW met2 ( 3129360 4998330 ) ( 3129840 4998330 )
NEW met2 ( 3129360 4998330 ) ( 3129360 4998515 )
NEW met1 ( 3009360 4998515 ) ( 3129360 4998515 )
NEW met1 ( 2864880 5025895 ) M1M2_PR
NEW met1 ( 2812560 5025895 ) M1M2_PR
NEW met2 ( 2812560 5025710 ) via2_FR
NEW met1 ( 2864880 4987415 ) M1M2_PR
NEW met1 ( 3009360 4987415 ) M1M2_PR
NEW met1 ( 3009360 4998515 ) M1M2_PR
NEW met1 ( 3139440 4978165 ) M1M2_PR
NEW met1 ( 3129840 4978165 ) M1M2_PR
NEW met1 ( 3129360 4998515 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[16\] ( padframe mprj_io_vtrip_sel[16] ) ( gpio_control_in\[16\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2618160 4977425 ) ( 2618160 5004990 )
NEW met1 ( 2618160 4977425 ) ( 2630640 4977425 )
NEW met2 ( 2630640 4977425 ) ( 2630640 4977610 )
NEW met2 ( 2630640 4977610 ) ( 2631840 4977610 0 )
NEW met2 ( 2583600 5004805 ) ( 2583600 5004990 )
NEW met1 ( 2554800 5004805 ) ( 2583600 5004805 )
NEW met2 ( 2554800 5004805 ) ( 2554800 5004990 )
NEW met3 ( 2552640 5004990 0 ) ( 2554800 5004990 )
NEW met3 ( 2583600 5004990 ) ( 2618160 5004990 )
NEW met2 ( 2618160 5004990 ) via2_FR
NEW met1 ( 2618160 4977425 ) M1M2_PR
NEW met1 ( 2630640 4977425 ) M1M2_PR
NEW met2 ( 2583600 5004990 ) via2_FR
NEW met1 ( 2583600 5004805 ) M1M2_PR
NEW met1 ( 2554800 5004805 ) M1M2_PR
NEW met2 ( 2554800 5004990 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[17\] ( padframe mprj_io_vtrip_sel[17] ) ( gpio_control_in\[17\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 2170320 5005545 ) ( 2170320 5005730 )
NEW met3 ( 2167680 5005730 0 ) ( 2170320 5005730 )
NEW met2 ( 2373360 4978350 ) ( 2374800 4978350 0 )
NEW met2 ( 2373360 4978165 ) ( 2373360 4978350 )
NEW met1 ( 2363760 4978165 ) ( 2373360 4978165 )
NEW met2 ( 2363760 4978165 ) ( 2363760 5004990 )
NEW met2 ( 2363280 5004990 ) ( 2363760 5004990 )
NEW met2 ( 2363280 5004990 ) ( 2363280 5005545 )
NEW met1 ( 2170320 5005545 ) ( 2363280 5005545 )
NEW met1 ( 2170320 5005545 ) M1M2_PR
NEW met2 ( 2170320 5005730 ) via2_FR
NEW met1 ( 2373360 4978165 ) M1M2_PR
NEW met1 ( 2363760 4978165 ) M1M2_PR
NEW met1 ( 2363280 5005545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[18\] ( padframe mprj_io_vtrip_sel[18] ) ( gpio_control_in\[18\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 1833120 4977610 ) ( 1833120 4979090 )
NEW met3 ( 1830240 4979090 0 ) ( 1833120 4979090 )
NEW met2 ( 1929360 4977610 ) ( 1929840 4977610 0 )
NEW met3 ( 1833120 4977610 ) ( 1929360 4977610 )
NEW met2 ( 1929360 4977610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[19\] ( padframe mprj_io_vtrip_sel[19] ) ( gpio_control_in\[19\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1422000 4972430 ) ( 1422000 4977610 )
NEW met2 ( 1420800 4977610 0 ) ( 1422000 4977610 )
NEW met2 ( 1566960 4972430 ) ( 1566960 4978350 )
NEW met3 ( 1566960 4978350 ) ( 1575840 4978350 )
NEW met3 ( 1575840 4978350 ) ( 1575840 4979090 )
NEW met3 ( 1575840 4979090 ) ( 1578720 4979090 0 )
NEW met3 ( 1422000 4972430 ) ( 1566960 4972430 )
NEW met2 ( 1422000 4972430 ) via2_FR
NEW met2 ( 1566960 4972430 ) via2_FR
NEW met2 ( 1566960 4978350 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[1\] ( padframe mprj_io_vtrip_sel[1] ) ( gpio_control_bidir\[1\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3384480 893550 ) ( 3384720 893550 )
NEW met3 ( 3384480 893550 ) ( 3384480 894290 0 )
NEW met2 ( 3376080 795130 ) ( 3377040 795130 0 )
NEW met2 ( 3376080 795130 ) ( 3376080 815295 )
NEW met1 ( 3376080 815295 ) ( 3384720 815295 )
NEW met2 ( 3384720 815295 ) ( 3384720 893550 )
NEW met2 ( 3384720 893550 ) via2_FR
NEW met1 ( 3376080 815295 ) M1M2_PR
NEW met1 ( 3384720 815295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[20\] ( padframe mprj_io_vtrip_sel[20] ) ( gpio_control_in\[20\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 1216080 4968545 ) ( 1216080 4968915 )
NEW met1 ( 1216080 4968915 ) ( 1239120 4968915 )
NEW met1 ( 1239120 4968915 ) ( 1239120 4970395 )
NEW met2 ( 1162800 4968545 ) ( 1162800 4977610 0 )
NEW met1 ( 1162800 4968545 ) ( 1216080 4968545 )
NEW met2 ( 1295760 4970395 ) ( 1295760 4982605 )
NEW met1 ( 1295760 4982605 ) ( 1319760 4982605 )
NEW met2 ( 1319760 4982605 ) ( 1319760 4982790 )
NEW met3 ( 1319760 4982790 ) ( 1320480 4982790 0 )
NEW met1 ( 1239120 4970395 ) ( 1295760 4970395 )
NEW met1 ( 1162800 4968545 ) M1M2_PR
NEW met1 ( 1295760 4970395 ) M1M2_PR
NEW met1 ( 1295760 4982605 ) M1M2_PR
NEW met1 ( 1319760 4982605 ) M1M2_PR
NEW met2 ( 1319760 4982790 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[21\] ( padframe mprj_io_vtrip_sel[21] ) ( gpio_control_in\[21\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 1063440 4968545 ) ( 1063440 4976870 )
NEW met3 ( 1063440 4976870 ) ( 1063680 4976870 )
NEW met3 ( 1063680 4976870 ) ( 1063680 4979090 0 )
NEW met2 ( 906960 4968545 ) ( 906960 4977610 )
NEW met2 ( 905760 4977610 0 ) ( 906960 4977610 )
NEW met1 ( 906960 4968545 ) ( 1063440 4968545 )
NEW met1 ( 1063440 4968545 ) M1M2_PR
NEW met2 ( 1063440 4976870 ) via2_FR
NEW met1 ( 906960 4968545 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[22\] ( padframe mprj_io_vtrip_sel[22] ) ( gpio_control_in\[22\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 791760 4970950 ) ( 791760 4978350 )
NEW met3 ( 791760 4978350 ) ( 806400 4978350 )
NEW met3 ( 806400 4978350 ) ( 806400 4979090 0 )
NEW met2 ( 648720 4970950 ) ( 648720 4977610 0 )
NEW met3 ( 648720 4970950 ) ( 791760 4970950 )
NEW met2 ( 791760 4970950 ) via2_FR
NEW met2 ( 791760 4978350 ) via2_FR
NEW met2 ( 648720 4970950 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[23\] ( padframe mprj_io_vtrip_sel[23] ) ( gpio_control_in\[23\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 391920 4979090 0 ) ( 393360 4979090 )
NEW met3 ( 393360 4979090 ) ( 422880 4979090 )
NEW met3 ( 422880 4979090 ) ( 422880 4980570 )
NEW met2 ( 547440 5026450 ) ( 547440 5026635 )
NEW met3 ( 547440 5026450 ) ( 549600 5026450 0 )
NEW met2 ( 462960 4980570 ) ( 462960 5026635 )
NEW met3 ( 422880 4980570 ) ( 462960 4980570 )
NEW met1 ( 462960 5026635 ) ( 547440 5026635 )
NEW met2 ( 393360 4979090 ) via2_FR
NEW met1 ( 547440 5026635 ) M1M2_PR
NEW met2 ( 547440 5026450 ) via2_FR
NEW met2 ( 462960 4980570 ) via2_FR
NEW met1 ( 462960 5026635 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[24\] ( padframe mprj_io_vtrip_sel[24] ) ( gpio_control_in\[24\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 4512520 0 ) ( 211680 4515110 )
NEW met3 ( 211680 4515110 ) ( 212640 4515110 )
NEW met3 ( 210960 4780770 ) ( 212640 4780770 )
NEW met2 ( 210960 4780770 ) ( 210960 4781880 0 )
NEW met4 ( 212640 4515110 ) ( 212640 4780770 )
NEW met3 ( 212640 4515110 ) M3M4_PR_M
NEW met3 ( 212640 4780770 ) M3M4_PR_M
NEW met2 ( 210960 4780770 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[25\] ( padframe mprj_io_vtrip_sel[25] ) ( gpio_control_in\[25\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 210960 3932730 0 ) ( 210960 3935135 )
NEW met1 ( 210960 3935135 ) ( 215280 3935135 )
NEW met2 ( 215280 3935135 ) ( 215280 3959925 )
NEW met1 ( 215280 3959925 ) ( 218160 3959925 )
NEW met2 ( 211440 4022270 ) ( 211920 4022270 )
NEW met2 ( 211440 4001365 ) ( 211440 4022270 )
NEW met1 ( 211440 4001365 ) ( 217200 4001365 )
NEW met2 ( 217200 3980645 ) ( 217200 4001365 )
NEW met1 ( 215760 3980645 ) ( 217200 3980645 )
NEW met2 ( 215760 3960665 ) ( 215760 3980645 )
NEW met1 ( 215760 3960665 ) ( 218160 3960665 )
NEW met2 ( 218160 3959925 ) ( 218160 3960665 )
NEW met3 ( 211680 4089610 ) ( 211920 4089610 )
NEW met3 ( 211680 4089610 ) ( 211680 4090350 0 )
NEW met2 ( 211920 4022270 ) ( 211920 4089610 )
NEW met1 ( 210960 3935135 ) M1M2_PR
NEW met1 ( 215280 3935135 ) M1M2_PR
NEW met1 ( 215280 3959925 ) M1M2_PR
NEW met1 ( 218160 3959925 ) M1M2_PR
NEW met1 ( 211440 4001365 ) M1M2_PR
NEW met1 ( 217200 4001365 ) M1M2_PR
NEW met1 ( 217200 3980645 ) M1M2_PR
NEW met1 ( 215760 3980645 ) M1M2_PR
NEW met1 ( 215760 3960665 ) M1M2_PR
NEW met1 ( 218160 3960665 ) M1M2_PR
NEW met2 ( 211920 4089610 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[26\] ( padframe mprj_io_vtrip_sel[26] ) ( gpio_control_in\[26\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 3874270 0 ) ( 214320 3874270 )
NEW met3 ( 213600 3759570 ) ( 214320 3759570 )
NEW met2 ( 214320 3759570 ) ( 214320 3874270 )
NEW met2 ( 210960 3716825 0 ) ( 210960 3719055 )
NEW met1 ( 210960 3719055 ) ( 213840 3719055 )
NEW met2 ( 213840 3719055 ) ( 213840 3758090 )
NEW met3 ( 213600 3758090 ) ( 213840 3758090 )
NEW met4 ( 213600 3758090 ) ( 213600 3759570 )
NEW met2 ( 214320 3874270 ) via2_FR
NEW met3 ( 213600 3759570 ) M3M4_PR_M
NEW met2 ( 214320 3759570 ) via2_FR
NEW met1 ( 210960 3719055 ) M1M2_PR
NEW met1 ( 213840 3719055 ) M1M2_PR
NEW met2 ( 213840 3758090 ) via2_FR
NEW met3 ( 213600 3758090 ) M3M4_PR_M
NEW met3 ( 213840 3758090 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[27\] ( padframe mprj_io_vtrip_sel[27] ) ( gpio_control_in\[27\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 214560 3559030 ) ( 214800 3559030 )
NEW met2 ( 210480 3500940 0 ) ( 210480 3502975 )
NEW met1 ( 210480 3502975 ) ( 215280 3502975 )
NEW met2 ( 215280 3502975 ) ( 215280 3539050 )
NEW met3 ( 214560 3539050 ) ( 215280 3539050 )
NEW met4 ( 214560 3539050 ) ( 214560 3559030 )
NEW met3 ( 211680 3658190 ) ( 214800 3658190 )
NEW met3 ( 211680 3658190 ) ( 211680 3658560 0 )
NEW met2 ( 214800 3559030 ) ( 214800 3658190 )
NEW met3 ( 214560 3559030 ) M3M4_PR_M
NEW met2 ( 214800 3559030 ) via2_FR
NEW met1 ( 210480 3502975 ) M1M2_PR
NEW met1 ( 215280 3502975 ) M1M2_PR
NEW met2 ( 215280 3539050 ) via2_FR
NEW met3 ( 214560 3539050 ) M3M4_PR_M
NEW met2 ( 214800 3658190 ) via2_FR
NEW met3 ( 214560 3559030 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[28\] ( padframe mprj_io_vtrip_sel[28] ) ( gpio_control_in\[28\] pad_gpio_vtrip_sel )
+ ROUTED met1 ( 208080 3372735 ) ( 215760 3372735 )
NEW met2 ( 208080 3372735 ) ( 208080 3441370 )
NEW met3 ( 207840 3441370 ) ( 208080 3441370 )
NEW met3 ( 207840 3441370 ) ( 207840 3442480 0 )
NEW met2 ( 210480 3283935 ) ( 210480 3284860 0 )
NEW met1 ( 210480 3283935 ) ( 215760 3283935 )
NEW met2 ( 215760 3283935 ) ( 215760 3320195 )
NEW met1 ( 215760 3320195 ) ( 217680 3320195 )
NEW met2 ( 217680 3320195 ) ( 217680 3354235 )
NEW met1 ( 215760 3354235 ) ( 217680 3354235 )
NEW met2 ( 215760 3354235 ) ( 215760 3372735 )
NEW met1 ( 215760 3372735 ) M1M2_PR
NEW met1 ( 208080 3372735 ) M1M2_PR
NEW met2 ( 208080 3441370 ) via2_FR
NEW met1 ( 210480 3283935 ) M1M2_PR
NEW met1 ( 215760 3283935 ) M1M2_PR
NEW met1 ( 215760 3320195 ) M1M2_PR
NEW met1 ( 217680 3320195 ) M1M2_PR
NEW met1 ( 217680 3354235 ) M1M2_PR
NEW met1 ( 215760 3354235 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[29\] ( padframe mprj_io_vtrip_sel[29] ) ( gpio_control_in\[29\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 212880 3227510 ) ( 213360 3227510 )
NEW met3 ( 211680 3227510 ) ( 212880 3227510 )
NEW met3 ( 211680 3226770 0 ) ( 211680 3227510 )
NEW met2 ( 210960 3068780 0 ) ( 210960 3070075 )
NEW met1 ( 210960 3070075 ) ( 214320 3070075 )
NEW met2 ( 214320 3070075 ) ( 214320 3091350 )
NEW met3 ( 214320 3091350 ) ( 214560 3091350 )
NEW met3 ( 213360 3179410 ) ( 214560 3179410 )
NEW met2 ( 213360 3179410 ) ( 213360 3227510 )
NEW met4 ( 214560 3091350 ) ( 214560 3179410 )
NEW met2 ( 212880 3227510 ) via2_FR
NEW met1 ( 210960 3070075 ) M1M2_PR
NEW met1 ( 214320 3070075 ) M1M2_PR
NEW met2 ( 214320 3091350 ) via2_FR
NEW met3 ( 214560 3091350 ) M3M4_PR_M
NEW met2 ( 213360 3179410 ) via2_FR
NEW met3 ( 214560 3179410 ) M3M4_PR_M
NEW met3 ( 214320 3091350 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[2\] ( padframe mprj_io_vtrip_sel[2] ) ( gpio_control_in\[2\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3384240 1118510 ) ( 3384480 1118510 )
NEW met3 ( 3384480 1118510 ) ( 3384480 1119250 0 )
NEW met2 ( 3378960 1020090 0 ) ( 3378960 1022310 )
NEW met3 ( 3378960 1022310 ) ( 3380640 1022310 )
NEW met4 ( 3380640 1022310 ) ( 3380640 1031190 )
NEW met3 ( 3380640 1031190 ) ( 3384240 1031190 )
NEW met2 ( 3384240 1031190 ) ( 3384240 1118510 )
NEW met2 ( 3384240 1118510 ) via2_FR
NEW met2 ( 3378960 1022310 ) via2_FR
NEW met3 ( 3380640 1022310 ) M3M4_PR_M
NEW met3 ( 3380640 1031190 ) M3M4_PR_M
NEW met2 ( 3384240 1031190 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[30\] ( padframe mprj_io_vtrip_sel[30] ) ( gpio_control_in\[30\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 211680 3010690 0 ) ( 214800 3010690 )
NEW met2 ( 210960 2852700 0 ) ( 210960 2855105 )
NEW met1 ( 210960 2855105 ) ( 215280 2855105 )
NEW met2 ( 215280 2855105 ) ( 215280 2887850 )
NEW met3 ( 215280 2887850 ) ( 215520 2887850 )
NEW met4 ( 215520 2887850 ) ( 215520 2932990 )
NEW met3 ( 214800 2932990 ) ( 215520 2932990 )
NEW met2 ( 214800 2932990 ) ( 214800 3010690 )
NEW met2 ( 214800 3010690 ) via2_FR
NEW met1 ( 210960 2855105 ) M1M2_PR
NEW met1 ( 215280 2855105 ) M1M2_PR
NEW met2 ( 215280 2887850 ) via2_FR
NEW met3 ( 215520 2887850 ) M3M4_PR_M
NEW met3 ( 215520 2932990 ) M3M4_PR_M
NEW met2 ( 214800 2932990 ) via2_FR
NEW met3 ( 215280 2887850 ) RECT ( -380 -150 0 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[31\] ( padframe mprj_io_vtrip_sel[31] ) ( gpio_control_in\[31\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 210960 2636825 0 ) ( 210960 2638285 )
NEW met1 ( 210960 2638285 ) ( 214800 2638285 )
NEW met2 ( 214800 2638285 ) ( 214800 2667885 )
NEW met1 ( 214800 2667885 ) ( 217680 2667885 )
NEW met3 ( 208800 2793130 ) ( 210000 2793130 )
NEW met2 ( 210000 2723015 ) ( 210000 2793130 )
NEW met1 ( 210000 2723015 ) ( 214800 2723015 )
NEW met2 ( 214800 2693785 ) ( 214800 2723015 )
NEW met1 ( 214800 2693785 ) ( 217680 2693785 )
NEW met3 ( 208800 2793130 ) ( 208800 2794610 0 )
NEW met2 ( 217680 2667885 ) ( 217680 2693785 )
NEW met1 ( 210960 2638285 ) M1M2_PR
NEW met1 ( 214800 2638285 ) M1M2_PR
NEW met1 ( 214800 2667885 ) M1M2_PR
NEW met1 ( 217680 2667885 ) M1M2_PR
NEW met2 ( 210000 2793130 ) via2_FR
NEW met1 ( 210000 2723015 ) M1M2_PR
NEW met1 ( 214800 2723015 ) M1M2_PR
NEW met1 ( 214800 2693785 ) M1M2_PR
NEW met1 ( 217680 2693785 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[32\] ( padframe mprj_io_vtrip_sel[32] ) ( gpio_control_in\[32\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204960 2000590 ) ( 209040 2000590 )
NEW met2 ( 209040 1998740 0 ) ( 209040 2000590 )
NEW met3 ( 204960 2153770 ) ( 204960 2156730 0 )
NEW met4 ( 204960 2000590 ) ( 204960 2153770 )
NEW met3 ( 204960 2000590 ) M3M4_PR_M
NEW met2 ( 209040 2000590 ) via2_FR
NEW met3 ( 204960 2153770 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[33\] ( padframe mprj_io_vtrip_sel[33] ) ( gpio_control_in\[33\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204960 1784510 ) ( 209520 1784510 )
NEW met2 ( 209520 1782825 0 ) ( 209520 1784510 )
NEW met3 ( 204960 1937690 ) ( 204960 1940650 0 )
NEW met4 ( 204960 1784510 ) ( 204960 1937690 )
NEW met3 ( 204960 1784510 ) M3M4_PR_M
NEW met2 ( 209520 1784510 ) via2_FR
NEW met3 ( 204960 1937690 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[34\] ( padframe mprj_io_vtrip_sel[34] ) ( gpio_control_in\[34\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204000 1568430 ) ( 209040 1568430 )
NEW met2 ( 209040 1566950 0 ) ( 209040 1568430 )
NEW met3 ( 204000 1721610 ) ( 204000 1724570 0 )
NEW met4 ( 204000 1568430 ) ( 204000 1721610 )
NEW met3 ( 204000 1568430 ) M3M4_PR_M
NEW met2 ( 209040 1568430 ) via2_FR
NEW met3 ( 204000 1721610 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[35\] ( padframe mprj_io_vtrip_sel[35] ) ( gpio_control_in\[35\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 204960 1353090 ) ( 209040 1353090 )
NEW met2 ( 209040 1350870 0 ) ( 209040 1353090 )
NEW met3 ( 204960 1505530 ) ( 204960 1508490 0 )
NEW met4 ( 204960 1353090 ) ( 204960 1505530 )
NEW met3 ( 204960 1353090 ) M3M4_PR_M
NEW met2 ( 209040 1353090 ) via2_FR
NEW met3 ( 204960 1505530 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[36\] ( padframe mprj_io_vtrip_sel[36] ) ( gpio_control_in\[36\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 202080 1136270 ) ( 209040 1136270 )
NEW met2 ( 209040 1134790 0 ) ( 209040 1136270 )
NEW met3 ( 202080 1289450 ) ( 202080 1292410 0 )
NEW met4 ( 202080 1136270 ) ( 202080 1289450 )
NEW met3 ( 202080 1136270 ) M3M4_PR_M
NEW met2 ( 209040 1136270 ) via2_FR
NEW met3 ( 202080 1289450 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[37\] ( padframe mprj_io_vtrip_sel[37] ) ( gpio_control_in\[37\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 203040 920930 ) ( 209040 920930 )
NEW met2 ( 209040 918710 0 ) ( 209040 920930 )
NEW met3 ( 203040 1073370 ) ( 203040 1076330 0 )
NEW met4 ( 203040 920930 ) ( 203040 1073370 )
NEW met3 ( 203040 920930 ) M3M4_PR_M
NEW met2 ( 209040 920930 ) via2_FR
NEW met3 ( 203040 1073370 ) M3M4_PR_M
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[3\] ( padframe mprj_io_vtrip_sel[3] ) ( gpio_control_in\[3\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3373920 1342730 ) ( 3373920 1345690 0 )
NEW met3 ( 3373920 1248010 ) ( 3377040 1248010 )
NEW met2 ( 3377040 1246160 0 ) ( 3377040 1248010 )
NEW met4 ( 3373920 1248010 ) ( 3373920 1342730 )
NEW met3 ( 3373920 1342730 ) M3M4_PR_M
NEW met3 ( 3373920 1248010 ) M3M4_PR_M
NEW met2 ( 3377040 1248010 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[4\] ( padframe mprj_io_vtrip_sel[4] ) ( gpio_control_in\[4\] pad_gpio_vtrip_sel )
+ ROUTED met2 ( 3376560 1471120 ) ( 3377040 1471120 0 )
NEW met1 ( 3376560 1496835 ) ( 3385200 1496835 )
NEW met2 ( 3385200 1496835 ) ( 3385200 1569170 )
NEW met3 ( 3385200 1569170 ) ( 3385440 1569170 )
NEW met3 ( 3385440 1569170 ) ( 3385440 1570650 0 )
NEW met2 ( 3376560 1471120 ) ( 3376560 1496835 )
NEW met1 ( 3376560 1496835 ) M1M2_PR
NEW met1 ( 3385200 1496835 ) M1M2_PR
NEW met2 ( 3385200 1569170 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[5\] ( padframe mprj_io_vtrip_sel[5] ) ( gpio_control_in\[5\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3370320 1795610 ) ( 3373920 1795610 0 )
NEW met1 ( 3370320 1697745 ) ( 3377040 1697745 )
NEW met2 ( 3377040 1696080 0 ) ( 3377040 1697745 )
NEW met2 ( 3370320 1697745 ) ( 3370320 1795610 )
NEW met2 ( 3370320 1795610 ) via2_FR
NEW met1 ( 3370320 1697745 ) M1M2_PR
NEW met1 ( 3377040 1697745 ) M1M2_PR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[6\] ( padframe mprj_io_vtrip_sel[6] ) ( gpio_control_in\[6\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3374640 2020570 ) ( 3374880 2020570 )
NEW met3 ( 3374880 2020570 ) ( 3374880 2021310 0 )
NEW met2 ( 3374640 1922150 ) ( 3377040 1922150 0 )
NEW met2 ( 3374640 1922150 ) ( 3374640 2020570 )
NEW met2 ( 3374640 2020570 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[7\] ( padframe mprj_io_vtrip_sel[7] ) ( gpio_control_in\[7\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3375840 2462350 0 ) ( 3375840 2463830 )
NEW met3 ( 3375840 2807930 ) ( 3376560 2807930 )
NEW met2 ( 3376560 2807930 ) ( 3377040 2807930 )
NEW met2 ( 3377040 2807930 ) ( 3377040 2808300 0 )
NEW met4 ( 3375840 2463830 ) ( 3375840 2807930 )
NEW met3 ( 3375840 2463830 ) M3M4_PR_M
NEW met3 ( 3375840 2807930 ) M3M4_PR_M
NEW met2 ( 3376560 2807930 ) via2_FR
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[8\] ( padframe mprj_io_vtrip_sel[8] ) ( gpio_control_in\[8\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3378720 2682500 0 ) ( 3378720 2685090 )
NEW met3 ( 3378480 3032150 ) ( 3378720 3032150 )
NEW met2 ( 3378480 3032150 ) ( 3378480 3034175 0 )
NEW met4 ( 3378720 2685090 ) ( 3378720 3032150 )
NEW met3 ( 3378720 2685090 ) M3M4_PR_M
NEW met3 ( 3378720 3032150 ) M3M4_PR_M
NEW met2 ( 3378480 3032150 ) via2_FR
NEW met3 ( 3378720 3032150 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_io_vtrip_sel\[9\] ( padframe mprj_io_vtrip_sel[9] ) ( gpio_control_in\[9\] pad_gpio_vtrip_sel )
+ ROUTED met3 ( 3376560 3254890 ) ( 3376800 3254890 )
NEW met2 ( 3376560 3254890 ) ( 3376560 3259175 )
NEW met2 ( 3376560 3259175 ) ( 3377040 3259175 0 )
NEW met3 ( 3376800 2907830 0 ) ( 3376800 2908570 )
NEW met4 ( 3376800 2908570 ) ( 3376800 3254890 )
NEW met3 ( 3376800 3254890 ) M3M4_PR_M
NEW met2 ( 3376560 3254890 ) via2_FR
NEW met3 ( 3376800 2908570 ) M3M4_PR_M
NEW met3 ( 3376800 3254890 ) RECT ( 0 -150 380 150 )
+ USE SIGNAL ;
- mprj_reset ( mprj wb_rst_i ) ( mgmt_buffers user_reset )
+ ROUTED met2 ( 334800 1346430 ) ( 334800 1377510 0 )
NEW met1 ( 2240880 1294075 ) ( 2240880 1294815 )
NEW met3 ( 334800 1346430 ) ( 2155920 1346430 )
NEW met2 ( 2264880 1263550 ) ( 2265120 1263550 0 )
NEW met2 ( 2264880 1263550 ) ( 2264880 1294815 )
NEW met1 ( 2240880 1294815 ) ( 2264880 1294815 )
NEW met1 ( 2155920 1300735 ) ( 2216400 1300735 )
NEW met2 ( 2216400 1294075 ) ( 2216400 1300735 )
NEW met2 ( 2155920 1300735 ) ( 2155920 1346430 )
NEW met1 ( 2216400 1294075 ) ( 2240880 1294075 )
NEW met2 ( 334800 1346430 ) via2_FR
NEW met2 ( 2155920 1346430 ) via2_FR
NEW met1 ( 2264880 1294815 ) M1M2_PR
NEW met1 ( 2155920 1300735 ) M1M2_PR
NEW met1 ( 2216400 1300735 ) M1M2_PR
NEW met1 ( 2216400 1294075 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[0\] ( soc mprj_sel_o[0] ) ( mgmt_buffers mprj_sel_o_core[0] )
+ ROUTED met2 ( 2567760 1117770 0 ) ( 2567760 1141265 )
NEW met2 ( 2256720 1172715 ) ( 2256720 1199170 0 )
NEW met2 ( 2498640 1141265 ) ( 2498640 1172715 )
NEW met1 ( 2256720 1172715 ) ( 2498640 1172715 )
NEW met1 ( 2498640 1141265 ) ( 2567760 1141265 )
NEW met1 ( 2567760 1141265 ) M1M2_PR
NEW met1 ( 2256720 1172715 ) M1M2_PR
NEW met1 ( 2498640 1172715 ) M1M2_PR
NEW met1 ( 2498640 1141265 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[1\] ( soc mprj_sel_o[1] ) ( mgmt_buffers mprj_sel_o_core[1] )
+ ROUTED met2 ( 1971120 1142375 ) ( 1971120 1143485 )
NEW met1 ( 1971120 1143485 ) ( 1990800 1143485 )
NEW met2 ( 1990800 1143485 ) ( 1990800 1153290 )
NEW met1 ( 1893360 1142005 ) ( 1893360 1142375 )
NEW met1 ( 1730640 1142005 ) ( 1893360 1142005 )
NEW met1 ( 1893360 1142375 ) ( 1971120 1142375 )
NEW met2 ( 2258640 1153290 ) ( 2258640 1199170 0 )
NEW met3 ( 1990800 1153290 ) ( 2258640 1153290 )
NEW met2 ( 1730400 1117770 0 ) ( 1730400 1119250 )
NEW met2 ( 1730400 1119250 ) ( 1730640 1119250 )
NEW met2 ( 1730640 1119250 ) ( 1730640 1142005 )
NEW met1 ( 1971120 1142375 ) M1M2_PR
NEW met1 ( 1971120 1143485 ) M1M2_PR
NEW met1 ( 1990800 1143485 ) M1M2_PR
NEW met2 ( 1990800 1153290 ) via2_FR
NEW met1 ( 1730640 1142005 ) M1M2_PR
NEW met2 ( 2258640 1153290 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_core\[2\] ( soc mprj_sel_o[2] ) ( mgmt_buffers mprj_sel_o_core[2] )
+ ROUTED met2 ( 2569440 1117770 0 ) ( 2569440 1119250 )
NEW met2 ( 2569200 1119250 ) ( 2569440 1119250 )
NEW met2 ( 2569200 1119250 ) ( 2569200 1146815 )
NEW met2 ( 2260080 1146815 ) ( 2260080 1171790 )
NEW met2 ( 2259600 1171790 ) ( 2260080 1171790 )
NEW met2 ( 2259600 1171790 ) ( 2259600 1182150 )
NEW met2 ( 2259600 1182150 ) ( 2260560 1182150 )
NEW met2 ( 2260560 1182150 ) ( 2260560 1199170 0 )
NEW met1 ( 2260080 1146815 ) ( 2569200 1146815 )
NEW met1 ( 2569200 1146815 ) M1M2_PR
NEW met1 ( 2260080 1146815 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_core\[3\] ( soc mprj_sel_o[3] ) ( mgmt_buffers mprj_sel_o_core[3] )
+ ROUTED met2 ( 2163120 1123505 ) ( 2163120 1137750 )
NEW met3 ( 1728720 1137750 ) ( 2163120 1137750 )
NEW met2 ( 2262240 1197690 ) ( 2262480 1197690 )
NEW met2 ( 2262240 1197690 ) ( 2262240 1199170 0 )
NEW met2 ( 1728720 1117770 0 ) ( 1728720 1137750 )
NEW met1 ( 2163120 1123505 ) ( 2262480 1123505 )
NEW met2 ( 2262480 1123505 ) ( 2262480 1197690 )
NEW met2 ( 2163120 1137750 ) via2_FR
NEW met1 ( 2163120 1123505 ) M1M2_PR
NEW met2 ( 1728720 1137750 ) via2_FR
NEW met1 ( 2262480 1123505 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_user\[0\] ( mprj wbs_sel_i[0] ) ( mgmt_buffers mprj_sel_o_user[0] )
+ ROUTED met2 ( 382800 1293150 ) ( 382800 1377510 0 )
NEW met2 ( 1892880 1282235 ) ( 1892880 1284085 )
NEW met2 ( 2250240 1263550 0 ) ( 2250480 1263550 )
NEW met2 ( 2250480 1263550 ) ( 2250480 1282235 )
NEW met2 ( 1915440 1282235 ) ( 1915440 1285195 )
NEW met1 ( 1915440 1285195 ) ( 1965360 1285195 )
NEW met2 ( 1965360 1282235 ) ( 1965360 1285195 )
NEW met1 ( 1892880 1282235 ) ( 1915440 1282235 )
NEW met1 ( 1965360 1282235 ) ( 2250480 1282235 )
NEW met2 ( 1839120 1284085 ) ( 1839120 1293150 )
NEW met3 ( 382800 1293150 ) ( 1839120 1293150 )
NEW met1 ( 1839120 1284085 ) ( 1892880 1284085 )
NEW met2 ( 382800 1293150 ) via2_FR
NEW met1 ( 1892880 1284085 ) M1M2_PR
NEW met1 ( 1892880 1282235 ) M1M2_PR
NEW met1 ( 2250480 1282235 ) M1M2_PR
NEW met1 ( 1915440 1282235 ) M1M2_PR
NEW met1 ( 1915440 1285195 ) M1M2_PR
NEW met1 ( 1965360 1285195 ) M1M2_PR
NEW met1 ( 1965360 1282235 ) M1M2_PR
NEW met1 ( 1839120 1284085 ) M1M2_PR
NEW met2 ( 1839120 1293150 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[1\] ( mprj wbs_sel_i[1] ) ( mgmt_buffers mprj_sel_o_user[1] )
+ ROUTED met2 ( 406800 1347910 ) ( 406800 1377510 0 )
NEW met2 ( 2186160 1290005 ) ( 2186160 1347910 )
NEW met3 ( 406800 1347910 ) ( 2186160 1347910 )
NEW met2 ( 2252160 1263550 0 ) ( 2252160 1264290 )
NEW met2 ( 2251920 1264290 ) ( 2252160 1264290 )
NEW met2 ( 2251920 1264290 ) ( 2251920 1290005 )
NEW met1 ( 2186160 1290005 ) ( 2251920 1290005 )
NEW met2 ( 406800 1347910 ) via2_FR
NEW met2 ( 2186160 1347910 ) via2_FR
NEW met1 ( 2186160 1290005 ) M1M2_PR
NEW met1 ( 2251920 1290005 ) M1M2_PR
+ USE SIGNAL ;
- mprj_sel_o_user\[2\] ( mprj wbs_sel_i[2] ) ( mgmt_buffers mprj_sel_o_user[2] )
+ ROUTED met2 ( 430320 1291670 ) ( 430320 1377510 0 )
NEW met2 ( 2253840 1263550 ) ( 2254080 1263550 0 )
NEW met2 ( 2253840 1263550 ) ( 2253840 1281865 )
NEW met2 ( 1851600 1281865 ) ( 1851600 1291670 )
NEW met3 ( 430320 1291670 ) ( 1851600 1291670 )
NEW met1 ( 1851600 1281865 ) ( 2253840 1281865 )
NEW met2 ( 430320 1291670 ) via2_FR
NEW met1 ( 2253840 1281865 ) M1M2_PR
NEW met1 ( 1851600 1281865 ) M1M2_PR
NEW met2 ( 1851600 1291670 ) via2_FR
+ USE SIGNAL ;
- mprj_sel_o_user\[3\] ( mprj wbs_sel_i[3] ) ( mgmt_buffers mprj_sel_o_user[3] )
+ ROUTED met3 ( 2154720 1350130 ) ( 2154720 1350870 )
NEW met3 ( 2154720 1350130 ) ( 2164080 1350130 )
NEW met2 ( 453840 1350870 ) ( 453840 1377510 0 )
NEW met3 ( 453840 1350870 ) ( 2154720 1350870 )
NEW met2 ( 2255760 1263550 0 ) ( 2255760 1293705 )
NEW met1 ( 2164080 1299995 ) ( 2215920 1299995 )
NEW met2 ( 2215920 1293705 ) ( 2215920 1299995 )
NEW met2 ( 2164080 1299995 ) ( 2164080 1350130 )
NEW met1 ( 2215920 1293705 ) ( 2255760 1293705 )
NEW met2 ( 2164080 1350130 ) via2_FR
NEW met2 ( 453840 1350870 ) via2_FR
NEW met1 ( 2255760 1293705 ) M1M2_PR
NEW met1 ( 2164080 1299995 ) M1M2_PR
NEW met1 ( 2215920 1299995 ) M1M2_PR
NEW met1 ( 2215920 1293705 ) M1M2_PR
+ USE SIGNAL ;
- mprj_stb_o_core ( soc mprj_stb_o ) ( mgmt_buffers mprj_stb_o_core )
+ ROUTED met2 ( 2571360 1117770 0 ) ( 2571360 1119250 )
NEW met2 ( 2571120 1119250 ) ( 2571360 1119250 )
NEW met2 ( 2571120 1119250 ) ( 2571120 1143115 )
NEW met2 ( 2264400 1171975 ) ( 2264400 1197690 )
NEW met2 ( 2264160 1197690 ) ( 2264400 1197690 )
NEW met2 ( 2264160 1197690 ) ( 2264160 1199170 0 )
NEW met2 ( 2498160 1143115 ) ( 2498160 1171975 )
NEW met1 ( 2264400 1171975 ) ( 2498160 1171975 )
NEW met1 ( 2498160 1143115 ) ( 2571120 1143115 )
NEW met1 ( 2571120 1143115 ) M1M2_PR
NEW met1 ( 2264400 1171975 ) M1M2_PR
NEW met1 ( 2498160 1171975 ) M1M2_PR
NEW met1 ( 2498160 1143115 ) M1M2_PR
+ USE SIGNAL ;
- mprj_stb_o_user ( mprj wbs_stb_i ) ( mgmt_buffers mprj_stb_o_user )
+ ROUTED met2 ( 353040 1294630 ) ( 353040 1377510 0 )
NEW met1 ( 1892400 1282235 ) ( 1892400 1282605 )
NEW met1 ( 1857360 1282235 ) ( 1892400 1282235 )
NEW met2 ( 1857360 1282235 ) ( 1857360 1294630 )
NEW met3 ( 353040 1294630 ) ( 1857360 1294630 )
NEW met2 ( 2257680 1263550 0 ) ( 2257680 1282605 )
NEW met2 ( 1918320 1282605 ) ( 1918320 1282790 )
NEW met2 ( 1918320 1282790 ) ( 1918800 1282790 )
NEW met2 ( 1918800 1282605 ) ( 1918800 1282790 )
NEW met1 ( 1892400 1282605 ) ( 1918320 1282605 )
NEW met1 ( 1918800 1282605 ) ( 2257680 1282605 )
NEW met2 ( 353040 1294630 ) via2_FR
NEW met1 ( 1857360 1282235 ) M1M2_PR
NEW met2 ( 1857360 1294630 ) via2_FR
NEW met1 ( 2257680 1282605 ) M1M2_PR
NEW met1 ( 1918320 1282605 ) M1M2_PR
NEW met1 ( 1918800 1282605 ) M1M2_PR
+ USE SIGNAL ;
- mprj_vcc_pwrgood ( soc mprj_vcc_pwrgood ) ( mgmt_buffers user1_vcc_powergood )
+ ROUTED met2 ( 1965360 1140710 ) ( 1965360 1155695 )
NEW met2 ( 2159760 1155695 ) ( 2159760 1171975 )
NEW met3 ( 1726800 1140710 ) ( 1965360 1140710 )
NEW met2 ( 2261040 1171975 ) ( 2261040 1180485 )
NEW met1 ( 2261040 1180485 ) ( 2267760 1180485 )
NEW met2 ( 2267760 1180485 ) ( 2267760 1199170 0 )
NEW met1 ( 2159760 1171975 ) ( 2261040 1171975 )
NEW met2 ( 1726800 1117770 0 ) ( 1726800 1140710 )
NEW met1 ( 1965360 1155695 ) ( 2159760 1155695 )
NEW met2 ( 1965360 1140710 ) via2_FR
NEW met1 ( 1965360 1155695 ) M1M2_PR
NEW met1 ( 2159760 1155695 ) M1M2_PR
NEW met1 ( 2159760 1171975 ) M1M2_PR
NEW met2 ( 1726800 1140710 ) via2_FR
NEW met1 ( 2261040 1171975 ) M1M2_PR
NEW met1 ( 2261040 1180485 ) M1M2_PR
NEW met1 ( 2267760 1180485 ) M1M2_PR
+ USE SIGNAL ;
- mprj_vdd_pwrgood ( soc mprj_vdd_pwrgood ) ( mgmt_buffers user1_vdd_powergood )
+ ROUTED met2 ( 2573040 1117770 0 ) ( 2573040 1148295 )
NEW met2 ( 2269680 1180485 ) ( 2269680 1199170 0 )
NEW met2 ( 2505840 1148295 ) ( 2505840 1180485 )
NEW met1 ( 2269680 1180485 ) ( 2505840 1180485 )
NEW met1 ( 2505840 1148295 ) ( 2573040 1148295 )
NEW met1 ( 2573040 1148295 ) M1M2_PR
NEW met1 ( 2269680 1180485 ) M1M2_PR
NEW met1 ( 2505840 1180485 ) M1M2_PR
NEW met1 ( 2505840 1148295 ) M1M2_PR
+ USE SIGNAL ;
- mprj_we_o_core ( soc mprj_we_o ) ( mgmt_buffers mprj_we_o_core )
+ ROUTED met2 ( 2265360 1141450 ) ( 2265360 1144410 )
NEW met2 ( 2265360 1144410 ) ( 2265840 1144410 )
NEW met2 ( 2265840 1144410 ) ( 2265840 1199170 0 )
NEW met3 ( 1724880 1141450 ) ( 2265360 1141450 )
NEW met2 ( 1724880 1117770 0 ) ( 1724880 1141450 )
NEW met2 ( 1724880 1141450 ) via2_FR
NEW met2 ( 2265360 1141450 ) via2_FR
+ USE SIGNAL ;
- mprj_we_o_user ( mprj wbs_we_i ) ( mgmt_buffers mprj_we_o_user )
+ ROUTED met2 ( 358800 1347170 ) ( 358800 1377510 0 )
NEW met1 ( 2167440 1294815 ) ( 2239920 1294815 )
NEW met2 ( 2239920 1294815 ) ( 2239920 1295555 )
NEW met2 ( 2167440 1294815 ) ( 2167440 1347170 )
NEW met3 ( 358800 1347170 ) ( 2167440 1347170 )
NEW met2 ( 2259600 1263550 0 ) ( 2259600 1295555 )
NEW met1 ( 2239920 1295555 ) ( 2259600 1295555 )
NEW met2 ( 358800 1347170 ) via2_FR
NEW met2 ( 2167440 1347170 ) via2_FR
NEW met1 ( 2167440 1294815 ) M1M2_PR
NEW met1 ( 2239920 1294815 ) M1M2_PR
NEW met1 ( 2239920 1295555 ) M1M2_PR
NEW met1 ( 2259600 1295555 ) M1M2_PR
+ USE SIGNAL ;
- por_l ( por por_l ) ( padframe por )
+ ROUTED met2 ( 3067440 203130 ) ( 3067440 223295 )
NEW met4 ( 974880 203130 ) ( 974880 209050 )
NEW met3 ( 971760 209050 ) ( 974880 209050 )
NEW met2 ( 970320 209050 0 ) ( 971760 209050 )
NEW met3 ( 974880 203130 ) ( 3067440 203130 )
NEW met1 ( 3067440 223295 ) ( 3177840 223295 )
NEW met2 ( 3177840 223295 ) ( 3177840 439005 )
NEW met3 ( 3326880 556110 ) ( 3341040 556110 )
NEW met3 ( 3326880 556110 ) ( 3326880 558590 0 )
NEW met2 ( 3262800 439005 ) ( 3262800 504125 )
NEW met1 ( 3262800 504125 ) ( 3341040 504125 )
NEW met1 ( 3177840 439005 ) ( 3262800 439005 )
NEW met2 ( 3341040 504125 ) ( 3341040 556110 )
NEW met2 ( 3067440 203130 ) via2_FR
NEW met1 ( 3067440 223295 ) M1M2_PR
NEW met3 ( 974880 203130 ) M3M4_PR_M
NEW met3 ( 974880 209050 ) M3M4_PR_M
NEW met2 ( 971760 209050 ) via2_FR
NEW met1 ( 3177840 223295 ) M1M2_PR
NEW met1 ( 3177840 439005 ) M1M2_PR
NEW met2 ( 3341040 556110 ) via2_FR
NEW met1 ( 3262800 439005 ) M1M2_PR
NEW met1 ( 3262800 504125 ) M1M2_PR
NEW met1 ( 3341040 504125 ) M1M2_PR
+ USE SIGNAL ;
- porb_l ( soc porb ) ( por porb_l )
+ ROUTED met2 ( 3210960 377770 ) ( 3210960 388315 )
NEW met3 ( 3172320 377770 0 ) ( 3210960 377770 )
NEW met3 ( 3325920 561290 ) ( 3326160 561290 )
NEW met3 ( 3325920 560415 0 ) ( 3325920 561290 )
NEW met2 ( 3318960 388315 ) ( 3318960 428830 )
NEW met2 ( 3316560 428830 ) ( 3318960 428830 )
NEW met1 ( 3210960 388315 ) ( 3318960 388315 )
NEW met1 ( 3316560 482295 ) ( 3326160 482295 )
NEW met2 ( 3316560 428830 ) ( 3316560 482295 )
NEW met2 ( 3326160 482295 ) ( 3326160 561290 )
NEW met2 ( 3210960 377770 ) via2_FR
NEW met1 ( 3210960 388315 ) M1M2_PR
NEW met2 ( 3326160 561290 ) via2_FR
NEW met1 ( 3318960 388315 ) M1M2_PR
NEW met1 ( 3316560 482295 ) M1M2_PR
NEW met1 ( 3326160 482295 ) M1M2_PR
+ USE SIGNAL ;
- rstb_h ( rstb_level A ) ( padframe resetb_core_h )
+ ROUTED met2 ( 795360 282310 0 ) ( 795600 282310 )
NEW met2 ( 795600 282310 ) ( 795600 302475 )
NEW met3 ( 690960 200910 ) ( 708960 200910 )
NEW met3 ( 708960 199430 0 ) ( 708960 200910 )
NEW met2 ( 690960 200910 ) ( 690960 302475 )
NEW met1 ( 690960 302475 ) ( 795600 302475 )
NEW met1 ( 795600 302475 ) M1M2_PR
NEW met2 ( 690960 200910 ) via2_FR
NEW met1 ( 690960 302475 ) M1M2_PR
+ USE SIGNAL ;
- rstb_l ( soc resetb ) ( rstb_level X )
+ ROUTED met2 ( 775920 260850 ) ( 777120 260850 0 )
NEW met1 ( 763440 326895 ) ( 775920 326895 )
NEW met2 ( 763440 326895 ) ( 763440 460835 )
NEW met2 ( 775920 260850 ) ( 775920 326895 )
NEW met2 ( 994320 460835 ) ( 994320 467310 )
NEW met3 ( 994320 467310 ) ( 1022880 467310 0 )
NEW met1 ( 763440 460835 ) ( 994320 460835 )
NEW met1 ( 763440 460835 ) M1M2_PR
NEW met1 ( 763440 326895 ) M1M2_PR
NEW met1 ( 775920 326895 ) M1M2_PR
NEW met1 ( 994320 460835 ) M1M2_PR
NEW met2 ( 994320 467310 ) via2_FR
+ USE SIGNAL ;
- sdo_out ( soc sdo_out ) ( gpio_control_bidir\[1\] mgmt_gpio_out )
+ ROUTED met2 ( 3207600 829170 ) ( 3207600 836015 )
NEW met3 ( 3172320 829170 0 ) ( 3207600 829170 )
NEW met2 ( 3373680 836015 ) ( 3373680 836570 )
NEW met3 ( 3373680 836570 ) ( 3373920 836570 )
NEW met3 ( 3373920 836570 ) ( 3373920 838790 0 )
NEW met1 ( 3207600 836015 ) ( 3373680 836015 )
NEW met2 ( 3207600 829170 ) via2_FR
NEW met1 ( 3207600 836015 ) M1M2_PR
NEW met1 ( 3373680 836015 ) M1M2_PR
NEW met2 ( 3373680 836570 ) via2_FR
+ USE SIGNAL ;
- sdo_outenb ( soc sdo_outenb ) ( gpio_control_bidir\[1\] mgmt_gpio_oeb )
+ ROUTED met2 ( 3210480 820845 ) ( 3210480 834350 )
NEW met3 ( 3172320 834350 0 ) ( 3210480 834350 )
NEW met2 ( 3373200 820845 ) ( 3373200 833610 )
NEW met3 ( 3373200 833610 ) ( 3373920 833610 )
NEW met3 ( 3373920 833610 ) ( 3373920 834720 0 )
NEW met1 ( 3210480 820845 ) ( 3373200 820845 )
NEW met1 ( 3210480 820845 ) M1M2_PR
NEW met2 ( 3210480 834350 ) via2_FR
NEW met1 ( 3373200 820845 ) M1M2_PR
NEW met2 ( 3373200 833610 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[0\] ( padframe mprj_analog_io[0] ) ( mprj analog_io[0] )
+ ROUTED met1 ( 3304560 2779255 ) ( 3376080 2779255 )
NEW met2 ( 3376080 2757795 ) ( 3376080 2779255 )
NEW met1 ( 3376080 2757795 ) ( 3377040 2757795 )
NEW met2 ( 3377040 2756130 0 ) ( 3377040 2757795 )
NEW met2 ( 3269040 1411550 ) ( 3269040 1412105 )
NEW met1 ( 3269040 1412105 ) ( 3304560 1412105 )
NEW met3 ( 3251040 1411550 0 ) ( 3269040 1411550 )
NEW met2 ( 3304560 1412105 ) ( 3304560 2779255 )
NEW met1 ( 3304560 2779255 ) M1M2_PR
NEW met1 ( 3376080 2779255 ) M1M2_PR
NEW met1 ( 3376080 2757795 ) M1M2_PR
NEW met1 ( 3377040 2757795 ) M1M2_PR
NEW met2 ( 3269040 1411550 ) via2_FR
NEW met1 ( 3269040 1412105 ) M1M2_PR
NEW met1 ( 3304560 1412105 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[10\] ( padframe mprj_analog_io[10] ) ( mprj analog_io[10] )
+ ROUTED met2 ( 2428080 4975575 ) ( 2428080 4977610 )
NEW met2 ( 2426880 4977610 0 ) ( 2428080 4977610 )
NEW met3 ( 3249120 3760310 ) ( 3249360 3760310 )
NEW met3 ( 3249120 3758090 0 ) ( 3249120 3760310 )
NEW met2 ( 3220080 4953375 ) ( 3220080 4975575 )
NEW met1 ( 3220080 4953375 ) ( 3249360 4953375 )
NEW met2 ( 3249360 3760310 ) ( 3249360 4953375 )
NEW met1 ( 2428080 4975575 ) ( 3220080 4975575 )
NEW met1 ( 2428080 4975575 ) M1M2_PR
NEW met2 ( 3249360 3760310 ) via2_FR
NEW met1 ( 3220080 4975575 ) M1M2_PR
NEW met1 ( 3220080 4953375 ) M1M2_PR
NEW met1 ( 3249360 4953375 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[11\] ( padframe mprj_analog_io[11] ) ( mprj analog_io[11] )
+ ROUTED met3 ( 3249840 3995630 ) ( 3250080 3995630 )
NEW met3 ( 3250080 3992670 0 ) ( 3250080 3995630 )
NEW met2 ( 3249840 3995630 ) ( 3249840 4946715 )
NEW met2 ( 3192720 4946715 ) ( 3192720 4971690 )
NEW met1 ( 3192720 4946715 ) ( 3249840 4946715 )
NEW met2 ( 1981680 4971690 ) ( 1981680 4977610 0 )
NEW met3 ( 1981680 4971690 ) ( 3192720 4971690 )
NEW met2 ( 3249840 3995630 ) via2_FR
NEW met1 ( 3249840 4946715 ) M1M2_PR
NEW met1 ( 3192720 4946715 ) M1M2_PR
NEW met2 ( 3192720 4971690 ) via2_FR
NEW met2 ( 1981680 4971690 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[12\] ( padframe mprj_analog_io[12] ) ( mprj analog_io[12] )
+ ROUTED met3 ( 3247920 4230210 ) ( 3248160 4230210 )
NEW met3 ( 3248160 4227250 0 ) ( 3248160 4230210 )
NEW met2 ( 3203760 4948935 ) ( 3203760 4970950 )
NEW met1 ( 3203760 4948935 ) ( 3247920 4948935 )
NEW met2 ( 3247920 4230210 ) ( 3247920 4948935 )
NEW met2 ( 1472880 4970950 ) ( 1472880 4977610 0 )
NEW met3 ( 1472880 4970950 ) ( 3203760 4970950 )
NEW met2 ( 3247920 4230210 ) via2_FR
NEW met2 ( 3203760 4970950 ) via2_FR
NEW met1 ( 3203760 4948935 ) M1M2_PR
NEW met1 ( 3247920 4948935 ) M1M2_PR
NEW met2 ( 1472880 4970950 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[13\] ( padframe mprj_analog_io[13] ) ( mprj analog_io[13] )
+ ROUTED met3 ( 3250080 4464050 ) ( 3250320 4464050 )
NEW met3 ( 3250080 4461830 0 ) ( 3250080 4464050 )
NEW met2 ( 1216080 4970210 ) ( 1216080 4977610 )
NEW met2 ( 1214880 4977610 0 ) ( 1216080 4977610 )
NEW met2 ( 3250320 4464050 ) ( 3250320 4970210 )
NEW met3 ( 1216080 4970210 ) ( 3250320 4970210 )
NEW met2 ( 3250320 4464050 ) via2_FR
NEW met2 ( 1216080 4970210 ) via2_FR
NEW met2 ( 3250320 4970210 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[14\] ( padframe mprj_analog_io[14] ) ( mprj analog_io[14] )
+ ROUTED met3 ( 3251040 4696410 0 ) ( 3269040 4696410 )
NEW met1 ( 3236880 4903795 ) ( 3247440 4903795 )
NEW met1 ( 3247440 4766525 ) ( 3268560 4766525 )
NEW met2 ( 3268560 4737110 ) ( 3268560 4766525 )
NEW met2 ( 3268560 4737110 ) ( 3269040 4737110 )
NEW met2 ( 3247440 4766525 ) ( 3247440 4903795 )
NEW met2 ( 3269040 4696410 ) ( 3269040 4737110 )
NEW met2 ( 3212400 4938945 ) ( 3212400 4975205 )
NEW met1 ( 3212400 4938945 ) ( 3236880 4938945 )
NEW met2 ( 3236880 4903795 ) ( 3236880 4938945 )
NEW met2 ( 1130160 4975205 ) ( 1130160 4997035 )
NEW met2 ( 957840 4977610 0 ) ( 959760 4977610 )
NEW met2 ( 959760 4977610 ) ( 959760 4977795 )
NEW met1 ( 959760 4977795 ) ( 976080 4977795 )
NEW met2 ( 976080 4977795 ) ( 976080 4997035 )
NEW met1 ( 976080 4997035 ) ( 1130160 4997035 )
NEW met1 ( 1130160 4975205 ) ( 3212400 4975205 )
NEW met2 ( 3269040 4696410 ) via2_FR
NEW met1 ( 3236880 4903795 ) M1M2_PR
NEW met1 ( 3247440 4903795 ) M1M2_PR
NEW met1 ( 3247440 4766525 ) M1M2_PR
NEW met1 ( 3268560 4766525 ) M1M2_PR
NEW met1 ( 3212400 4975205 ) M1M2_PR
NEW met1 ( 3212400 4938945 ) M1M2_PR
NEW met1 ( 3236880 4938945 ) M1M2_PR
NEW met1 ( 1130160 4997035 ) M1M2_PR
NEW met1 ( 1130160 4975205 ) M1M2_PR
NEW met1 ( 959760 4977795 ) M1M2_PR
NEW met1 ( 976080 4977795 ) M1M2_PR
NEW met1 ( 976080 4997035 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[15\] ( padframe mprj_analog_io[15] ) ( mprj analog_io[15] )
+ ROUTED met2 ( 3205680 4906570 0 ) ( 3205680 4924885 )
NEW met2 ( 3168240 4924885 ) ( 3168240 4968730 )
NEW met1 ( 3168240 4924885 ) ( 3205680 4924885 )
NEW met2 ( 702000 4968730 ) ( 702000 4977610 )
NEW met2 ( 700800 4977610 0 ) ( 702000 4977610 )
NEW met3 ( 702000 4968730 ) ( 3168240 4968730 )
NEW met1 ( 3205680 4924885 ) M1M2_PR
NEW met1 ( 3168240 4924885 ) M1M2_PR
NEW met2 ( 3168240 4968730 ) via2_FR
NEW met2 ( 702000 4968730 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[16\] ( padframe mprj_analog_io[16] ) ( mprj analog_io[16] )
+ ROUTED met2 ( 2880240 4906570 ) ( 2881200 4906570 0 )
NEW met2 ( 2880240 4906570 ) ( 2880240 4969470 )
NEW met2 ( 443760 4969470 ) ( 443760 4977610 0 )
NEW met3 ( 443760 4969470 ) ( 2880240 4969470 )
NEW met2 ( 2880240 4969470 ) via2_FR
NEW met2 ( 443760 4969470 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[17\] ( padframe mprj_analog_io[17] ) ( mprj analog_io[17] )
+ ROUTED met2 ( 210960 4833680 0 ) ( 210960 4836455 )
NEW met1 ( 210960 4836455 ) ( 212880 4836455 )
NEW met2 ( 212880 4836455 ) ( 212880 4924885 )
NEW met2 ( 2557200 4906570 0 ) ( 2557200 4924885 )
NEW met1 ( 212880 4924885 ) ( 2557200 4924885 )
NEW met1 ( 210960 4836455 ) M1M2_PR
NEW met1 ( 212880 4836455 ) M1M2_PR
NEW met1 ( 212880 4924885 ) M1M2_PR
NEW met1 ( 2557200 4924885 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[18\] ( padframe mprj_analog_io[18] ) ( mprj analog_io[18] )
+ ROUTED met2 ( 2232240 4906570 0 ) ( 2232240 4925255 )
NEW met2 ( 210480 3984900 0 ) ( 210480 3986565 )
NEW met1 ( 210480 3986565 ) ( 217680 3986565 )
NEW met2 ( 217680 3986565 ) ( 217680 4004325 )
NEW met1 ( 217680 4004325 ) ( 224400 4004325 )
NEW met2 ( 224400 4004325 ) ( 224400 4925255 )
NEW met1 ( 224400 4925255 ) ( 2232240 4925255 )
NEW met1 ( 224400 4925255 ) M1M2_PR
NEW met1 ( 2232240 4925255 ) M1M2_PR
NEW met1 ( 210480 3986565 ) M1M2_PR
NEW met1 ( 217680 3986565 ) M1M2_PR
NEW met1 ( 217680 4004325 ) M1M2_PR
NEW met1 ( 224400 4004325 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[19\] ( padframe mprj_analog_io[19] ) ( mprj analog_io[19] )
+ ROUTED met2 ( 1908240 4906570 0 ) ( 1908240 4925625 )
NEW met2 ( 210960 3768820 0 ) ( 210960 3770485 )
NEW met1 ( 210960 3770485 ) ( 214800 3770485 )
NEW met2 ( 214800 3770485 ) ( 214800 3789725 )
NEW met1 ( 214800 3789725 ) ( 224880 3789725 )
NEW met2 ( 224880 3789725 ) ( 224880 4925625 )
NEW met1 ( 224880 4925625 ) ( 1908240 4925625 )
NEW met1 ( 224880 4925625 ) M1M2_PR
NEW met1 ( 1908240 4925625 ) M1M2_PR
NEW met1 ( 210960 3770485 ) M1M2_PR
NEW met1 ( 214800 3770485 ) M1M2_PR
NEW met1 ( 214800 3789725 ) M1M2_PR
NEW met1 ( 224880 3789725 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[1\] ( padframe mprj_analog_io[1] ) ( mprj analog_io[1] )
+ ROUTED met3 ( 3251040 1646130 0 ) ( 3270000 1646130 )
NEW met2 ( 3270000 1646130 ) ( 3270000 1648905 )
NEW met1 ( 3270000 1648905 ) ( 3318960 1648905 )
NEW met1 ( 3318960 3010505 ) ( 3376560 3010505 )
NEW met1 ( 3376560 3009765 ) ( 3376560 3010505 )
NEW met1 ( 3376080 3009765 ) ( 3376560 3009765 )
NEW met1 ( 3376080 3009395 ) ( 3376080 3009765 )
NEW met1 ( 3376080 2984235 ) ( 3377040 2984235 )
NEW met2 ( 3377040 2982200 0 ) ( 3377040 2984235 )
NEW met2 ( 3318960 1648905 ) ( 3318960 3010505 )
NEW met2 ( 3376080 2984235 ) ( 3376080 3009395 )
NEW met2 ( 3270000 1646130 ) via2_FR
NEW met1 ( 3270000 1648905 ) M1M2_PR
NEW met1 ( 3318960 1648905 ) M1M2_PR
NEW met1 ( 3318960 3010505 ) M1M2_PR
NEW met1 ( 3376080 3009395 ) M1M2_PR
NEW met1 ( 3376080 2984235 ) M1M2_PR
NEW met1 ( 3377040 2984235 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[20\] ( padframe mprj_analog_io[20] ) ( mprj analog_io[20] )
+ ROUTED met2 ( 1583760 4906570 0 ) ( 1583760 4925995 )
NEW met1 ( 217200 3556995 ) ( 217200 3557365 )
NEW met1 ( 215760 3557365 ) ( 217200 3557365 )
NEW met2 ( 215760 3557365 ) ( 215760 3585485 )
NEW met1 ( 215760 3585485 ) ( 225360 3585485 )
NEW met2 ( 210960 3552740 0 ) ( 210960 3554405 )
NEW met1 ( 210960 3554405 ) ( 217200 3554405 )
NEW met2 ( 217200 3554405 ) ( 217200 3556995 )
NEW met2 ( 225360 3585485 ) ( 225360 4925995 )
NEW met1 ( 225360 4925995 ) ( 1583760 4925995 )
NEW met1 ( 225360 4925995 ) M1M2_PR
NEW met1 ( 1583760 4925995 ) M1M2_PR
NEW met1 ( 217200 3556995 ) M1M2_PR
NEW met1 ( 215760 3557365 ) M1M2_PR
NEW met1 ( 215760 3585485 ) M1M2_PR
NEW met1 ( 225360 3585485 ) M1M2_PR
NEW met1 ( 210960 3554405 ) M1M2_PR
NEW met1 ( 217200 3554405 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[21\] ( padframe mprj_analog_io[21] ) ( mprj analog_io[21] )
+ ROUTED met2 ( 1258800 4906570 0 ) ( 1258800 4926365 )
NEW met2 ( 316560 4926365 ) ( 316560 4927475 )
NEW met1 ( 225840 4927475 ) ( 316560 4927475 )
NEW met1 ( 216720 3356825 ) ( 225840 3356825 )
NEW met2 ( 210960 3336805 0 ) ( 210960 3339435 )
NEW met1 ( 210960 3339435 ) ( 216720 3339435 )
NEW met2 ( 216720 3339435 ) ( 216720 3356825 )
NEW met2 ( 225840 3356825 ) ( 225840 4927475 )
NEW met1 ( 1137840 4926365 ) ( 1137840 4926735 )
NEW met1 ( 1137840 4926365 ) ( 1258800 4926365 )
NEW met2 ( 453360 4926365 ) ( 453360 4928955 )
NEW met1 ( 316560 4926365 ) ( 453360 4926365 )
NEW met1 ( 632880 4927105 ) ( 632880 4928215 )
NEW met1 ( 834480 4927105 ) ( 834480 4927845 )
NEW met1 ( 834480 4927845 ) ( 835920 4927845 )
NEW met1 ( 835920 4927475 ) ( 835920 4927845 )
NEW met1 ( 632880 4927105 ) ( 834480 4927105 )
NEW met1 ( 504240 4928585 ) ( 504240 4928955 )
NEW met1 ( 504240 4928585 ) ( 504720 4928585 )
NEW met1 ( 504720 4928215 ) ( 504720 4928585 )
NEW met1 ( 453360 4928955 ) ( 504240 4928955 )
NEW met1 ( 504720 4928215 ) ( 632880 4928215 )
NEW met2 ( 907440 4927290 ) ( 907440 4927475 )
NEW met3 ( 907440 4927290 ) ( 936720 4927290 )
NEW met2 ( 936720 4926735 ) ( 936720 4927290 )
NEW met1 ( 835920 4927475 ) ( 907440 4927475 )
NEW met1 ( 936720 4926735 ) ( 1137840 4926735 )
NEW met1 ( 225840 4927475 ) M1M2_PR
NEW met1 ( 1258800 4926365 ) M1M2_PR
NEW met1 ( 316560 4927475 ) M1M2_PR
NEW met1 ( 316560 4926365 ) M1M2_PR
NEW met1 ( 216720 3356825 ) M1M2_PR
NEW met1 ( 225840 3356825 ) M1M2_PR
NEW met1 ( 210960 3339435 ) M1M2_PR
NEW met1 ( 216720 3339435 ) M1M2_PR
NEW met1 ( 453360 4926365 ) M1M2_PR
NEW met1 ( 453360 4928955 ) M1M2_PR
NEW met1 ( 907440 4927475 ) M1M2_PR
NEW met2 ( 907440 4927290 ) via2_FR
NEW met2 ( 936720 4927290 ) via2_FR
NEW met1 ( 936720 4926735 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[22\] ( padframe mprj_analog_io[22] ) ( mprj analog_io[22] )
+ ROUTED met2 ( 238800 3139635 ) ( 238800 4926735 )
NEW met2 ( 210960 3120805 0 ) ( 210960 3123355 )
NEW met1 ( 210960 3123355 ) ( 212400 3123355 )
NEW met2 ( 212400 3123355 ) ( 212400 3139635 )
NEW met1 ( 212400 3139635 ) ( 238800 3139635 )
NEW met2 ( 934800 4906570 0 ) ( 934800 4926735 )
NEW met1 ( 238800 4926735 ) ( 934800 4926735 )
NEW met1 ( 238800 3139635 ) M1M2_PR
NEW met1 ( 238800 4926735 ) M1M2_PR
NEW met1 ( 210960 3123355 ) M1M2_PR
NEW met1 ( 212400 3123355 ) M1M2_PR
NEW met1 ( 212400 3139635 ) M1M2_PR
NEW met1 ( 934800 4926735 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[23\] ( padframe mprj_analog_io[23] ) ( mprj analog_io[23] )
+ ROUTED met2 ( 252720 2923555 ) ( 252720 4927105 )
NEW met2 ( 210480 2904870 0 ) ( 210480 2907275 )
NEW met1 ( 210480 2907275 ) ( 214320 2907275 )
NEW met2 ( 214320 2907275 ) ( 214320 2923555 )
NEW met1 ( 214320 2923555 ) ( 252720 2923555 )
NEW met2 ( 610320 4906570 0 ) ( 610320 4927105 )
NEW met1 ( 252720 4927105 ) ( 610320 4927105 )
NEW met1 ( 252720 2923555 ) M1M2_PR
NEW met1 ( 252720 4927105 ) M1M2_PR
NEW met1 ( 210480 2907275 ) M1M2_PR
NEW met1 ( 214320 2907275 ) M1M2_PR
NEW met1 ( 214320 2923555 ) M1M2_PR
NEW met1 ( 610320 4927105 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[24\] ( padframe mprj_analog_io[24] ) ( mprj analog_io[24] )
+ ROUTED met2 ( 210960 2688790 0 ) ( 210960 2690825 )
NEW met1 ( 210960 2690825 ) ( 218640 2690825 )
NEW met1 ( 218640 2707475 ) ( 266640 2707475 )
NEW met2 ( 218640 2690825 ) ( 218640 2707475 )
NEW met2 ( 266640 2707475 ) ( 266640 4853105 )
NEW met2 ( 297840 4853105 ) ( 297840 4865130 )
NEW met3 ( 297840 4865130 ) ( 322080 4865130 0 )
NEW met1 ( 266640 4853105 ) ( 297840 4853105 )
NEW met1 ( 210960 2690825 ) M1M2_PR
NEW met1 ( 218640 2690825 ) M1M2_PR
NEW met1 ( 266640 4853105 ) M1M2_PR
NEW met1 ( 218640 2707475 ) M1M2_PR
NEW met1 ( 266640 2707475 ) M1M2_PR
NEW met1 ( 297840 4853105 ) M1M2_PR
NEW met2 ( 297840 4865130 ) via2_FR
+ USE SIGNAL ;
- user_analog_io\[25\] ( padframe mprj_analog_io[25] ) ( mprj analog_io[25] )
+ ROUTED met2 ( 237360 2052575 ) ( 237360 4564875 )
NEW met2 ( 297840 4564875 ) ( 297840 4577270 )
NEW met3 ( 297840 4577270 ) ( 322080 4577270 0 )
NEW met1 ( 237360 4564875 ) ( 297840 4564875 )
NEW met2 ( 210480 2050910 0 ) ( 210480 2052575 )
NEW met1 ( 210480 2052575 ) ( 237360 2052575 )
NEW met1 ( 237360 2052575 ) M1M2_PR
NEW met1 ( 237360 4564875 ) M1M2_PR
NEW met1 ( 297840 4564875 ) M1M2_PR
NEW met2 ( 297840 4577270 ) via2_FR
NEW met1 ( 210480 2052575 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[26\] ( padframe mprj_analog_io[26] ) ( mprj analog_io[26] )
+ ROUTED met2 ( 251760 1836865 ) ( 251760 4277015 )
NEW met2 ( 297840 4277015 ) ( 297840 4290150 )
NEW met3 ( 297840 4290150 ) ( 322080 4290150 0 )
NEW met1 ( 251760 4277015 ) ( 297840 4277015 )
NEW met2 ( 210480 1834830 0 ) ( 210480 1836865 )
NEW met1 ( 210480 1836865 ) ( 251760 1836865 )
NEW met1 ( 251760 1836865 ) M1M2_PR
NEW met1 ( 251760 4277015 ) M1M2_PR
NEW met1 ( 297840 4277015 ) M1M2_PR
NEW met2 ( 297840 4290150 ) via2_FR
NEW met1 ( 210480 1836865 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[27\] ( padframe mprj_analog_io[27] ) ( mprj analog_io[27] )
+ ROUTED met2 ( 266160 1620785 ) ( 266160 3989155 )
NEW met2 ( 297840 3989155 ) ( 297840 4003030 )
NEW met3 ( 297840 4003030 ) ( 322080 4003030 0 )
NEW met1 ( 266160 3989155 ) ( 297840 3989155 )
NEW met2 ( 210960 1618750 0 ) ( 210960 1620785 )
NEW met1 ( 210960 1620785 ) ( 266160 1620785 )
NEW met1 ( 266160 1620785 ) M1M2_PR
NEW met1 ( 266160 3989155 ) M1M2_PR
NEW met1 ( 297840 3989155 ) M1M2_PR
NEW met2 ( 297840 4003030 ) via2_FR
NEW met1 ( 210960 1620785 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[28\] ( padframe mprj_analog_io[28] ) ( mprj analog_io[28] )
+ ROUTED met2 ( 210960 1402670 0 ) ( 210960 1405445 )
NEW met2 ( 293040 3715355 ) ( 293040 3715910 )
NEW met3 ( 293040 3715910 ) ( 322080 3715910 0 )
NEW met1 ( 237840 3715355 ) ( 293040 3715355 )
NEW met1 ( 210960 1405445 ) ( 237840 1405445 )
NEW met2 ( 237840 1405445 ) ( 237840 3715355 )
NEW met1 ( 210960 1405445 ) M1M2_PR
NEW met1 ( 237840 3715355 ) M1M2_PR
NEW met1 ( 293040 3715355 ) M1M2_PR
NEW met2 ( 293040 3715910 ) via2_FR
NEW met1 ( 237840 1405445 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[29\] ( padframe mprj_analog_io[29] ) ( mprj analog_io[29] )
+ ROUTED met2 ( 293520 3427495 ) ( 293520 3428050 )
NEW met3 ( 293520 3428050 ) ( 322080 3428050 0 )
NEW met1 ( 252240 3427495 ) ( 293520 3427495 )
NEW met2 ( 210960 1186805 0 ) ( 210960 1188625 )
NEW met1 ( 210960 1188625 ) ( 252240 1188625 )
NEW met2 ( 252240 1188625 ) ( 252240 3427495 )
NEW met1 ( 252240 1188625 ) M1M2_PR
NEW met1 ( 252240 3427495 ) M1M2_PR
NEW met1 ( 293520 3427495 ) M1M2_PR
NEW met2 ( 293520 3428050 ) via2_FR
NEW met1 ( 210960 1188625 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[2\] ( padframe mprj_analog_io[2] ) ( mprj analog_io[2] )
+ ROUTED met3 ( 3251040 1880710 0 ) ( 3270960 1880710 )
NEW met2 ( 3270960 1880710 ) ( 3270960 1886075 )
NEW met1 ( 3270960 1886075 ) ( 3333840 1886075 )
NEW met2 ( 3333840 1886075 ) ( 3333840 3226215 )
NEW met2 ( 3376080 3209195 ) ( 3376080 3226215 )
NEW met1 ( 3376080 3209195 ) ( 3377040 3209195 )
NEW met2 ( 3377040 3207160 0 ) ( 3377040 3209195 )
NEW met1 ( 3333840 3226215 ) ( 3376080 3226215 )
NEW met2 ( 3270960 1880710 ) via2_FR
NEW met1 ( 3270960 1886075 ) M1M2_PR
NEW met1 ( 3333840 1886075 ) M1M2_PR
NEW met1 ( 3333840 3226215 ) M1M2_PR
NEW met1 ( 3376080 3226215 ) M1M2_PR
NEW met1 ( 3376080 3209195 ) M1M2_PR
NEW met1 ( 3377040 3209195 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[30\] ( padframe mprj_analog_io[30] ) ( mprj analog_io[30] )
+ ROUTED met2 ( 292080 3139265 ) ( 292080 3140930 )
NEW met3 ( 292080 3140930 ) ( 322080 3140930 0 )
NEW met1 ( 238320 3139265 ) ( 292080 3139265 )
NEW met2 ( 210480 970880 0 ) ( 210480 972545 )
NEW met1 ( 210480 972545 ) ( 238320 972545 )
NEW met2 ( 238320 972545 ) ( 238320 3139265 )
NEW met1 ( 238320 972545 ) M1M2_PR
NEW met1 ( 238320 3139265 ) M1M2_PR
NEW met1 ( 292080 3139265 ) M1M2_PR
NEW met2 ( 292080 3140930 ) via2_FR
NEW met1 ( 210480 972545 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[3\] ( padframe mprj_analog_io[3] ) ( mprj analog_io[3] )
+ ROUTED met3 ( 3251040 2115290 0 ) ( 3272400 2115290 )
NEW met2 ( 3272400 2115290 ) ( 3272400 2116585 )
NEW met1 ( 3348240 3431195 ) ( 3377040 3431195 )
NEW met2 ( 3377040 3431195 ) ( 3377040 3433230 0 )
NEW met1 ( 3272400 2116585 ) ( 3348240 2116585 )
NEW met2 ( 3348240 2116585 ) ( 3348240 3431195 )
NEW met2 ( 3272400 2115290 ) via2_FR
NEW met1 ( 3272400 2116585 ) M1M2_PR
NEW met1 ( 3348240 3431195 ) M1M2_PR
NEW met1 ( 3377040 3431195 ) M1M2_PR
NEW met1 ( 3348240 2116585 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[4\] ( padframe mprj_analog_io[4] ) ( mprj analog_io[4] )
+ ROUTED met3 ( 3251040 2349870 0 ) ( 3272400 2349870 )
NEW met2 ( 3272400 2349870 ) ( 3272400 2350055 )
NEW met1 ( 3305040 3686865 ) ( 3375600 3686865 )
NEW met2 ( 3375600 3660225 ) ( 3375600 3686865 )
NEW met1 ( 3375600 3660225 ) ( 3377040 3660225 )
NEW met2 ( 3377040 3658190 0 ) ( 3377040 3660225 )
NEW met1 ( 3272400 2350055 ) ( 3305040 2350055 )
NEW met2 ( 3305040 2350055 ) ( 3305040 3686865 )
NEW met2 ( 3272400 2349870 ) via2_FR
NEW met1 ( 3272400 2350055 ) M1M2_PR
NEW met1 ( 3305040 3686865 ) M1M2_PR
NEW met1 ( 3375600 3686865 ) M1M2_PR
NEW met1 ( 3375600 3660225 ) M1M2_PR
NEW met1 ( 3377040 3660225 ) M1M2_PR
NEW met1 ( 3305040 2350055 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[5\] ( padframe mprj_analog_io[5] ) ( mprj analog_io[5] )
+ ROUTED met3 ( 3251040 2584450 0 ) ( 3272400 2584450 )
NEW met2 ( 3272400 2584450 ) ( 3272400 2589815 )
NEW met1 ( 3272400 2589815 ) ( 3319440 2589815 )
NEW met2 ( 3319440 2589815 ) ( 3319440 3902575 )
NEW met2 ( 3375600 3885185 ) ( 3375600 3902575 )
NEW met1 ( 3375600 3885185 ) ( 3377040 3885185 )
NEW met2 ( 3377040 3883150 0 ) ( 3377040 3885185 )
NEW met1 ( 3319440 3902575 ) ( 3375600 3902575 )
NEW met2 ( 3272400 2584450 ) via2_FR
NEW met1 ( 3272400 2589815 ) M1M2_PR
NEW met1 ( 3319440 2589815 ) M1M2_PR
NEW met1 ( 3319440 3902575 ) M1M2_PR
NEW met1 ( 3375600 3902575 ) M1M2_PR
NEW met1 ( 3375600 3885185 ) M1M2_PR
NEW met1 ( 3377040 3885185 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[6\] ( padframe mprj_analog_io[6] ) ( mprj analog_io[6] )
+ ROUTED met3 ( 3251040 2819030 0 ) ( 3272400 2819030 )
NEW met2 ( 3272400 2819030 ) ( 3272400 2822175 )
NEW met1 ( 3272400 2822175 ) ( 3333360 2822175 )
NEW met2 ( 3333360 2822175 ) ( 3333360 4326595 )
NEW met2 ( 3377040 4326595 ) ( 3377040 4329195 0 )
NEW met1 ( 3333360 4326595 ) ( 3377040 4326595 )
NEW met2 ( 3272400 2819030 ) via2_FR
NEW met1 ( 3272400 2822175 ) M1M2_PR
NEW met1 ( 3333360 2822175 ) M1M2_PR
NEW met1 ( 3333360 4326595 ) M1M2_PR
NEW met1 ( 3377040 4326595 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[7\] ( padframe mprj_analog_io[7] ) ( mprj analog_io[7] )
+ ROUTED met3 ( 3251040 3053610 0 ) ( 3272400 3053610 )
NEW met2 ( 3272400 3053610 ) ( 3272400 3067115 )
NEW met1 ( 3272400 3067115 ) ( 3347760 3067115 )
NEW met1 ( 3347760 4773555 ) ( 3377040 4773555 )
NEW met2 ( 3377040 4773555 ) ( 3377040 4775220 0 )
NEW met2 ( 3347760 3067115 ) ( 3347760 4773555 )
NEW met2 ( 3272400 3053610 ) via2_FR
NEW met1 ( 3272400 3067115 ) M1M2_PR
NEW met1 ( 3347760 3067115 ) M1M2_PR
NEW met1 ( 3347760 4773555 ) M1M2_PR
NEW met1 ( 3377040 4773555 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[8\] ( padframe mprj_analog_io[8] ) ( mprj analog_io[8] )
+ ROUTED met3 ( 3251040 3288930 0 ) ( 3251040 3291890 )
NEW met3 ( 3251040 3291890 ) ( 3269040 3291890 )
NEW met1 ( 3218160 4904165 ) ( 3248880 4904165 )
NEW met1 ( 3248880 3384205 ) ( 3268560 3384205 )
NEW met2 ( 3268560 3369590 ) ( 3268560 3384205 )
NEW met2 ( 3268560 3369590 ) ( 3269040 3369590 )
NEW met2 ( 3269040 3291890 ) ( 3269040 3369590 )
NEW met2 ( 3248880 3384205 ) ( 3248880 4904165 )
NEW met2 ( 3218160 4904165 ) ( 3218160 4968175 )
NEW met2 ( 3194640 4968175 ) ( 3194640 4977610 )
NEW met2 ( 3192720 4977610 0 ) ( 3194640 4977610 )
NEW met1 ( 3194640 4968175 ) ( 3218160 4968175 )
NEW met2 ( 3269040 3291890 ) via2_FR
NEW met1 ( 3218160 4904165 ) M1M2_PR
NEW met1 ( 3248880 4904165 ) M1M2_PR
NEW met1 ( 3248880 3384205 ) M1M2_PR
NEW met1 ( 3268560 3384205 ) M1M2_PR
NEW met1 ( 3218160 4968175 ) M1M2_PR
NEW met1 ( 3194640 4968175 ) M1M2_PR
+ USE SIGNAL ;
- user_analog_io\[9\] ( padframe mprj_analog_io[9] ) ( mprj analog_io[9] )
+ ROUTED met2 ( 2685840 4978905 ) ( 2685840 4979090 )
NEW met2 ( 2683920 4979090 0 ) ( 2685840 4979090 )
NEW met2 ( 2851440 4989635 ) ( 2851440 4997035 )
NEW met2 ( 3053520 4979275 ) ( 3053520 4989635 )
NEW met1 ( 3053520 4979275 ) ( 3081840 4979275 )
NEW met2 ( 3081840 4946345 ) ( 3081840 4979275 )
NEW met3 ( 3250800 3526470 ) ( 3251040 3526470 )
NEW met3 ( 3251040 3523510 0 ) ( 3251040 3526470 )
NEW met2 ( 3216240 4924515 ) ( 3216240 4946345 )
NEW met1 ( 3216240 4924515 ) ( 3250800 4924515 )
NEW met2 ( 3250800 3526470 ) ( 3250800 4924515 )
NEW met2 ( 2701680 4978905 ) ( 2701680 4997035 )
NEW met1 ( 2685840 4978905 ) ( 2701680 4978905 )
NEW met1 ( 2701680 4997035 ) ( 2851440 4997035 )
NEW met1 ( 2851440 4989635 ) ( 3053520 4989635 )
NEW met1 ( 3081840 4946345 ) ( 3216240 4946345 )
NEW met1 ( 2685840 4978905 ) M1M2_PR
NEW met1 ( 2851440 4997035 ) M1M2_PR
NEW met1 ( 2851440 4989635 ) M1M2_PR
NEW met1 ( 3053520 4989635 ) M1M2_PR
NEW met1 ( 3053520 4979275 ) M1M2_PR
NEW met1 ( 3081840 4979275 ) M1M2_PR
NEW met1 ( 3081840 4946345 ) M1M2_PR
NEW met2 ( 3250800 3526470 ) via2_FR
NEW met1 ( 3216240 4946345 ) M1M2_PR
NEW met1 ( 3216240 4924515 ) M1M2_PR
NEW met1 ( 3250800 4924515 ) M1M2_PR
NEW met1 ( 2701680 4978905 ) M1M2_PR
NEW met1 ( 2701680 4997035 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[0\] ( mprj io_in[0] ) ( gpio_control_bidir\[0\] user_gpio_in )
+ ROUTED met3 ( 3251040 1470010 0 ) ( 3277200 1470010 )
NEW met2 ( 3373680 678025 ) ( 3373680 685610 )
NEW met3 ( 3373680 685610 ) ( 3373920 685610 )
NEW met3 ( 3373920 685610 ) ( 3373920 688200 0 )
NEW met1 ( 3277200 678025 ) ( 3373680 678025 )
NEW met2 ( 3277200 678025 ) ( 3277200 1470010 )
NEW met2 ( 3277200 1470010 ) via2_FR
NEW met1 ( 3277200 678025 ) M1M2_PR
NEW met1 ( 3373680 678025 ) M1M2_PR
NEW met2 ( 3373680 685610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[10\] ( mprj io_in[10] ) ( gpio_control_in\[10\] user_gpio_in )
+ ROUTED met2 ( 3272400 3816365 ) ( 3272400 3816550 )
NEW met3 ( 3251040 3816550 0 ) ( 3272400 3816550 )
NEW met1 ( 3272400 3816365 ) ( 3305520 3816365 )
NEW met2 ( 3305520 3152955 ) ( 3305520 3816365 )
NEW met2 ( 3370800 3152955 ) ( 3370800 3153510 )
NEW met3 ( 3370800 3153510 ) ( 3373920 3153510 0 )
NEW met1 ( 3305520 3152955 ) ( 3370800 3152955 )
NEW met1 ( 3272400 3816365 ) M1M2_PR
NEW met2 ( 3272400 3816550 ) via2_FR
NEW met1 ( 3305520 3816365 ) M1M2_PR
NEW met1 ( 3305520 3152955 ) M1M2_PR
NEW met1 ( 3370800 3152955 ) M1M2_PR
NEW met2 ( 3370800 3153510 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[11\] ( mprj io_in[11] ) ( gpio_control_in\[11\] user_gpio_in )
+ ROUTED met2 ( 3272400 4046505 ) ( 3272400 4051130 )
NEW met3 ( 3251040 4051130 0 ) ( 3272400 4051130 )
NEW met1 ( 3272400 4046505 ) ( 3320400 4046505 )
NEW met1 ( 3320400 3379395 ) ( 3374160 3379395 )
NEW met2 ( 3374160 3379210 ) ( 3374160 3379395 )
NEW met3 ( 3373920 3379210 ) ( 3374160 3379210 )
NEW met3 ( 3373920 3378470 0 ) ( 3373920 3379210 )
NEW met2 ( 3320400 3379395 ) ( 3320400 4046505 )
NEW met1 ( 3272400 4046505 ) M1M2_PR
NEW met2 ( 3272400 4051130 ) via2_FR
NEW met1 ( 3320400 4046505 ) M1M2_PR
NEW met1 ( 3320400 3379395 ) M1M2_PR
NEW met1 ( 3374160 3379395 ) M1M2_PR
NEW met2 ( 3374160 3379210 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[12\] ( mprj io_in[12] ) ( gpio_control_in\[12\] user_gpio_in )
+ ROUTED met2 ( 3272400 4277015 ) ( 3272400 4285710 )
NEW met3 ( 3251040 4285710 0 ) ( 3272400 4285710 )
NEW met1 ( 3306000 3604355 ) ( 3371760 3604355 )
NEW met2 ( 3371760 3604170 ) ( 3371760 3604355 )
NEW met3 ( 3371760 3604170 ) ( 3373920 3604170 0 )
NEW met1 ( 3272400 4277015 ) ( 3306000 4277015 )
NEW met2 ( 3306000 3604355 ) ( 3306000 4277015 )
NEW met1 ( 3272400 4277015 ) M1M2_PR
NEW met2 ( 3272400 4285710 ) via2_FR
NEW met1 ( 3306000 3604355 ) M1M2_PR
NEW met1 ( 3371760 3604355 ) M1M2_PR
NEW met2 ( 3371760 3604170 ) via2_FR
NEW met1 ( 3306000 4277015 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[13\] ( mprj io_in[13] ) ( gpio_control_in\[13\] user_gpio_in )
+ ROUTED met2 ( 3272400 4507525 ) ( 3272400 4520290 )
NEW met3 ( 3251040 4520290 0 ) ( 3272400 4520290 )
NEW met1 ( 3272400 4507525 ) ( 3319920 4507525 )
NEW met2 ( 3319920 3829315 ) ( 3319920 4507525 )
NEW met2 ( 3371760 3829130 ) ( 3371760 3829315 )
NEW met3 ( 3371760 3829130 ) ( 3373920 3829130 0 )
NEW met1 ( 3319920 3829315 ) ( 3371760 3829315 )
NEW met1 ( 3272400 4507525 ) M1M2_PR
NEW met2 ( 3272400 4520290 ) via2_FR
NEW met1 ( 3319920 3829315 ) M1M2_PR
NEW met1 ( 3319920 4507525 ) M1M2_PR
NEW met1 ( 3371760 3829315 ) M1M2_PR
NEW met2 ( 3371760 3829130 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[14\] ( mprj io_in[14] ) ( gpio_control_in\[14\] user_gpio_in )
+ ROUTED met2 ( 3271920 4710285 ) ( 3271920 4754870 )
NEW met3 ( 3251040 4754870 0 ) ( 3271920 4754870 )
NEW met2 ( 3373680 4710285 ) ( 3373680 4718610 )
NEW met3 ( 3373680 4718610 ) ( 3373920 4718610 )
NEW met3 ( 3373920 4718610 ) ( 3373920 4721200 0 )
NEW met1 ( 3271920 4710285 ) ( 3373680 4710285 )
NEW met1 ( 3271920 4710285 ) M1M2_PR
NEW met2 ( 3271920 4754870 ) via2_FR
NEW met1 ( 3373680 4710285 ) M1M2_PR
NEW met2 ( 3373680 4718610 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[15\] ( mprj io_in[15] ) ( gpio_control_in\[15\] user_gpio_in )
+ ROUTED met2 ( 2852400 4931915 ) ( 2852400 4977610 )
NEW met3 ( 2829120 4977610 ) ( 2852400 4977610 )
NEW met3 ( 2829120 4977610 ) ( 2829120 4979090 0 )
NEW met1 ( 2852400 4931915 ) ( 3124560 4931915 )
NEW met2 ( 3124560 4906570 0 ) ( 3124560 4931915 )
NEW met1 ( 2852400 4931915 ) M1M2_PR
NEW met2 ( 2852400 4977610 ) via2_FR
NEW met1 ( 3124560 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[16\] ( mprj io_in[16] ) ( gpio_control_in\[16\] user_gpio_in )
+ ROUTED met2 ( 2592720 4931915 ) ( 2592720 4977610 )
NEW met2 ( 2800560 4906570 0 ) ( 2800560 4931915 )
NEW met3 ( 2572320 4977610 ) ( 2572320 4979090 0 )
NEW met3 ( 2572320 4977610 ) ( 2592720 4977610 )
NEW met1 ( 2592720 4931915 ) ( 2800560 4931915 )
NEW met1 ( 2592720 4931915 ) M1M2_PR
NEW met2 ( 2592720 4977610 ) via2_FR
NEW met1 ( 2800560 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[17\] ( mprj io_in[17] ) ( gpio_control_in\[17\] user_gpio_in )
+ ROUTED met2 ( 2203440 4931915 ) ( 2203440 4978350 )
NEW met2 ( 2475600 4906570 0 ) ( 2475600 4931915 )
NEW met3 ( 2187360 4978350 ) ( 2187360 4979090 0 )
NEW met3 ( 2187360 4978350 ) ( 2203440 4978350 )
NEW met1 ( 2203440 4931915 ) ( 2475600 4931915 )
NEW met1 ( 2203440 4931915 ) M1M2_PR
NEW met2 ( 2203440 4978350 ) via2_FR
NEW met1 ( 2475600 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[18\] ( mprj io_in[18] ) ( gpio_control_in\[18\] user_gpio_in )
+ ROUTED met1 ( 1872720 4931915 ) ( 2151600 4931915 )
NEW met2 ( 2151600 4906570 0 ) ( 2151600 4931915 )
NEW met1 ( 1851120 4979645 ) ( 1872720 4979645 )
NEW met2 ( 1851120 4979645 ) ( 1851120 4979830 )
NEW met3 ( 1850400 4979830 0 ) ( 1851120 4979830 )
NEW met2 ( 1872720 4931915 ) ( 1872720 4979645 )
NEW met1 ( 1872720 4931915 ) M1M2_PR
NEW met1 ( 2151600 4931915 ) M1M2_PR
NEW met1 ( 1872720 4979645 ) M1M2_PR
NEW met1 ( 1851120 4979645 ) M1M2_PR
NEW met2 ( 1851120 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[19\] ( mprj io_in[19] ) ( gpio_control_in\[19\] user_gpio_in )
+ ROUTED met2 ( 1827120 4906570 0 ) ( 1827120 4931915 )
NEW met1 ( 1619760 4931915 ) ( 1827120 4931915 )
NEW met3 ( 1598400 4978350 ) ( 1619760 4978350 )
NEW met3 ( 1598400 4978350 ) ( 1598400 4979090 0 )
NEW met2 ( 1619760 4931915 ) ( 1619760 4978350 )
NEW met1 ( 1619760 4931915 ) M1M2_PR
NEW met1 ( 1827120 4931915 ) M1M2_PR
NEW met2 ( 1619760 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[1\] ( mprj io_in[1] ) ( gpio_control_bidir\[1\] user_gpio_in )
+ ROUTED met3 ( 3251040 1704590 0 ) ( 3270960 1704590 )
NEW met2 ( 3270960 1699225 ) ( 3270960 1704590 )
NEW met1 ( 3333840 914455 ) ( 3372240 914455 )
NEW met2 ( 3372240 914270 ) ( 3372240 914455 )
NEW met3 ( 3372240 914270 ) ( 3373920 914270 0 )
NEW met1 ( 3270960 1699225 ) ( 3333840 1699225 )
NEW met2 ( 3333840 914455 ) ( 3333840 1699225 )
NEW met2 ( 3270960 1704590 ) via2_FR
NEW met1 ( 3270960 1699225 ) M1M2_PR
NEW met1 ( 3333840 914455 ) M1M2_PR
NEW met1 ( 3372240 914455 ) M1M2_PR
NEW met2 ( 3372240 914270 ) via2_FR
NEW met1 ( 3333840 1699225 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[20\] ( mprj io_in[20] ) ( gpio_control_in\[20\] user_gpio_in )
+ ROUTED met2 ( 1368720 4931915 ) ( 1368720 4978905 )
NEW met1 ( 1342800 4978905 ) ( 1368720 4978905 )
NEW met2 ( 1342800 4978905 ) ( 1342800 4979090 )
NEW met3 ( 1340160 4979090 0 ) ( 1342800 4979090 )
NEW met2 ( 1502160 4906570 0 ) ( 1502160 4931915 )
NEW met1 ( 1368720 4931915 ) ( 1502160 4931915 )
NEW met1 ( 1368720 4931915 ) M1M2_PR
NEW met1 ( 1368720 4978905 ) M1M2_PR
NEW met1 ( 1342800 4978905 ) M1M2_PR
NEW met2 ( 1342800 4979090 ) via2_FR
NEW met1 ( 1502160 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[21\] ( mprj io_in[21] ) ( gpio_control_in\[21\] user_gpio_in )
+ ROUTED met3 ( 1094640 5026450 ) ( 1115760 5026450 )
NEW met2 ( 1094640 5026265 ) ( 1094640 5026450 )
NEW met1 ( 1086480 5026265 ) ( 1094640 5026265 )
NEW met2 ( 1086480 5026265 ) ( 1086480 5026450 )
NEW met3 ( 1083360 5026450 0 ) ( 1086480 5026450 )
NEW met2 ( 1178160 4906570 0 ) ( 1178160 4938945 )
NEW met2 ( 1115760 4938945 ) ( 1115760 5026450 )
NEW met1 ( 1115760 4938945 ) ( 1178160 4938945 )
NEW met2 ( 1115760 5026450 ) via2_FR
NEW met2 ( 1094640 5026450 ) via2_FR
NEW met1 ( 1094640 5026265 ) M1M2_PR
NEW met1 ( 1086480 5026265 ) M1M2_PR
NEW met2 ( 1086480 5026450 ) via2_FR
NEW met1 ( 1178160 4938945 ) M1M2_PR
NEW met1 ( 1115760 4938945 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[22\] ( mprj io_in[22] ) ( gpio_control_in\[22\] user_gpio_in )
+ ROUTED met3 ( 827040 4978350 ) ( 827040 4979090 )
NEW met3 ( 826080 4979090 0 ) ( 827040 4979090 )
NEW met3 ( 827040 4978350 ) ( 851280 4978350 )
NEW met2 ( 852240 4906570 ) ( 853680 4906570 0 )
NEW met2 ( 852240 4906570 ) ( 852240 4919890 )
NEW met2 ( 851280 4919890 ) ( 852240 4919890 )
NEW met2 ( 851280 4919890 ) ( 851280 4978350 )
NEW met2 ( 851280 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[23\] ( mprj io_in[23] ) ( gpio_control_in\[23\] user_gpio_in )
+ ROUTED met2 ( 567120 4979645 ) ( 567120 4979830 )
NEW met3 ( 567120 4979830 ) ( 569280 4979830 0 )
NEW met1 ( 532560 4979645 ) ( 567120 4979645 )
NEW met2 ( 529200 4906570 0 ) ( 530640 4906570 )
NEW met2 ( 530640 4906570 ) ( 530640 4907310 )
NEW met2 ( 530640 4907310 ) ( 532560 4907310 )
NEW met2 ( 532560 4907310 ) ( 532560 4979645 )
NEW met1 ( 567120 4979645 ) M1M2_PR
NEW met2 ( 567120 4979830 ) via2_FR
NEW met1 ( 532560 4979645 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[24\] ( mprj io_in[24] ) ( gpio_control_in\[24\] user_gpio_in )
+ ROUTED met3 ( 211680 4532130 0 ) ( 211680 4533610 )
NEW met3 ( 211680 4533610 ) ( 211920 4533610 )
NEW met2 ( 211920 4533610 ) ( 211920 4780955 )
NEW met2 ( 296880 4780955 ) ( 296880 4793350 )
NEW met3 ( 296880 4793350 ) ( 322080 4793350 0 )
NEW met1 ( 211920 4780955 ) ( 296880 4780955 )
NEW met2 ( 211920 4533610 ) via2_FR
NEW met1 ( 211920 4780955 ) M1M2_PR
NEW met1 ( 296880 4780955 ) M1M2_PR
NEW met2 ( 296880 4793350 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[25\] ( mprj io_in[25] ) ( gpio_control_in\[25\] user_gpio_in )
+ ROUTED met2 ( 266160 4111255 ) ( 266160 4493095 )
NEW met2 ( 297840 4493095 ) ( 297840 4506230 )
NEW met3 ( 297840 4506230 ) ( 322080 4506230 0 )
NEW met1 ( 266160 4493095 ) ( 297840 4493095 )
NEW met3 ( 211680 4110330 0 ) ( 211680 4111070 )
NEW met3 ( 211680 4111070 ) ( 211920 4111070 )
NEW met2 ( 211920 4111070 ) ( 211920 4111255 )
NEW met1 ( 211920 4111255 ) ( 266160 4111255 )
NEW met1 ( 266160 4493095 ) M1M2_PR
NEW met1 ( 266160 4111255 ) M1M2_PR
NEW met1 ( 297840 4493095 ) M1M2_PR
NEW met2 ( 297840 4506230 ) via2_FR
NEW met2 ( 211920 4111070 ) via2_FR
NEW met1 ( 211920 4111255 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[26\] ( mprj io_in[26] ) ( gpio_control_in\[26\] user_gpio_in )
+ ROUTED met3 ( 211680 3894250 0 ) ( 213840 3894250 )
NEW met2 ( 213840 3894250 ) ( 213840 3894435 )
NEW met1 ( 213840 3894435 ) ( 267120 3894435 )
NEW met2 ( 267120 3894435 ) ( 267120 4204865 )
NEW met2 ( 297840 4204865 ) ( 297840 4218370 )
NEW met3 ( 297840 4218370 ) ( 322080 4218370 0 )
NEW met1 ( 267120 4204865 ) ( 297840 4204865 )
NEW met2 ( 213840 3894250 ) via2_FR
NEW met1 ( 213840 3894435 ) M1M2_PR
NEW met1 ( 267120 3894435 ) M1M2_PR
NEW met1 ( 267120 4204865 ) M1M2_PR
NEW met1 ( 297840 4204865 ) M1M2_PR
NEW met2 ( 297840 4218370 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[27\] ( mprj io_in[27] ) ( gpio_control_in\[27\] user_gpio_in )
+ ROUTED met3 ( 294960 3931250 ) ( 322080 3931250 0 )
NEW met2 ( 294960 3677245 ) ( 294960 3931250 )
NEW met3 ( 211680 3677430 ) ( 211680 3678170 0 )
NEW met3 ( 211680 3677430 ) ( 211920 3677430 )
NEW met2 ( 211920 3677245 ) ( 211920 3677430 )
NEW met1 ( 211920 3677245 ) ( 294960 3677245 )
NEW met1 ( 294960 3677245 ) M1M2_PR
NEW met2 ( 294960 3931250 ) via2_FR
NEW met2 ( 211920 3677430 ) via2_FR
NEW met1 ( 211920 3677245 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[28\] ( mprj io_in[28] ) ( gpio_control_in\[28\] user_gpio_in )
+ ROUTED met2 ( 252240 3462275 ) ( 252240 3643205 )
NEW met2 ( 297840 3643205 ) ( 297840 3644130 )
NEW met3 ( 297840 3644130 ) ( 322080 3644130 0 )
NEW met1 ( 252240 3643205 ) ( 297840 3643205 )
NEW met3 ( 211680 3462090 0 ) ( 213360 3462090 )
NEW met2 ( 213360 3462090 ) ( 213360 3462275 )
NEW met1 ( 213360 3462275 ) ( 252240 3462275 )
NEW met1 ( 252240 3462275 ) M1M2_PR
NEW met1 ( 252240 3643205 ) M1M2_PR
NEW met1 ( 297840 3643205 ) M1M2_PR
NEW met2 ( 297840 3644130 ) via2_FR
NEW met2 ( 213360 3462090 ) via2_FR
NEW met1 ( 213360 3462275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[29\] ( mprj io_in[29] ) ( gpio_control_in\[29\] user_gpio_in )
+ ROUTED met2 ( 267120 3247675 ) ( 267120 3355345 )
NEW met2 ( 292080 3355345 ) ( 292080 3357010 )
NEW met3 ( 292080 3357010 ) ( 322080 3357010 0 )
NEW met1 ( 267120 3355345 ) ( 292080 3355345 )
NEW met3 ( 211680 3246010 0 ) ( 211680 3247490 )
NEW met3 ( 211680 3247490 ) ( 212400 3247490 )
NEW met2 ( 212400 3247490 ) ( 212400 3247675 )
NEW met1 ( 212400 3247675 ) ( 267120 3247675 )
NEW met1 ( 267120 3247675 ) M1M2_PR
NEW met1 ( 267120 3355345 ) M1M2_PR
NEW met1 ( 292080 3355345 ) M1M2_PR
NEW met2 ( 292080 3357010 ) via2_FR
NEW met2 ( 212400 3247490 ) via2_FR
NEW met1 ( 212400 3247675 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[2\] ( mprj io_in[2] ) ( gpio_control_in\[2\] user_gpio_in )
+ ROUTED met3 ( 3251040 1939170 0 ) ( 3269520 1939170 )
NEW met2 ( 3269520 1929735 ) ( 3269520 1939170 )
NEW met1 ( 3348240 1139415 ) ( 3372240 1139415 )
NEW met2 ( 3372240 1139230 ) ( 3372240 1139415 )
NEW met3 ( 3372240 1139230 ) ( 3373920 1139230 0 )
NEW met1 ( 3269520 1929735 ) ( 3348240 1929735 )
NEW met2 ( 3348240 1139415 ) ( 3348240 1929735 )
NEW met2 ( 3269520 1939170 ) via2_FR
NEW met1 ( 3269520 1929735 ) M1M2_PR
NEW met1 ( 3348240 1139415 ) M1M2_PR
NEW met1 ( 3372240 1139415 ) M1M2_PR
NEW met2 ( 3372240 1139230 ) via2_FR
NEW met1 ( 3348240 1929735 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[30\] ( mprj io_in[30] ) ( gpio_control_in\[30\] user_gpio_in )
+ ROUTED met2 ( 292080 3067485 ) ( 292080 3069150 )
NEW met3 ( 292080 3069150 ) ( 322080 3069150 0 )
NEW met3 ( 211680 3030300 0 ) ( 211680 3031410 )
NEW met3 ( 211680 3031410 ) ( 212400 3031410 )
NEW met2 ( 212400 3031410 ) ( 212400 3067485 )
NEW met1 ( 212400 3067485 ) ( 292080 3067485 )
NEW met1 ( 292080 3067485 ) M1M2_PR
NEW met2 ( 292080 3069150 ) via2_FR
NEW met2 ( 212400 3031410 ) via2_FR
NEW met1 ( 212400 3067485 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[31\] ( mprj io_in[31] ) ( gpio_control_in\[31\] user_gpio_in )
+ ROUTED met3 ( 211680 2814220 0 ) ( 211680 2815330 )
NEW met3 ( 211680 2815330 ) ( 211920 2815330 )
NEW met2 ( 297840 2851405 ) ( 297840 2853810 )
NEW met3 ( 297840 2853810 ) ( 322080 2853810 0 )
NEW met2 ( 211920 2815330 ) ( 211920 2851405 )
NEW met1 ( 211920 2851405 ) ( 297840 2851405 )
NEW met2 ( 211920 2815330 ) via2_FR
NEW met1 ( 297840 2851405 ) M1M2_PR
NEW met2 ( 297840 2853810 ) via2_FR
NEW met1 ( 211920 2851405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[32\] ( mprj io_in[32] ) ( gpio_control_in\[32\] user_gpio_in )
+ ROUTED met2 ( 297360 2635325 ) ( 297360 2637730 )
NEW met3 ( 297360 2637730 ) ( 322080 2637730 0 )
NEW met1 ( 211920 2635325 ) ( 297360 2635325 )
NEW met3 ( 211680 2175970 0 ) ( 211680 2177450 )
NEW met3 ( 211680 2177450 ) ( 211920 2177450 )
NEW met2 ( 211920 2177450 ) ( 211920 2635325 )
NEW met1 ( 211920 2635325 ) M1M2_PR
NEW met1 ( 297360 2635325 ) M1M2_PR
NEW met2 ( 297360 2637730 ) via2_FR
NEW met2 ( 211920 2177450 ) via2_FR
+ USE SIGNAL ;
- user_io_in\[33\] ( mprj io_in[33] ) ( gpio_control_in\[33\] user_gpio_in )
+ ROUTED met2 ( 266640 1961555 ) ( 266640 2419245 )
NEW met2 ( 297840 2419245 ) ( 297840 2422390 )
NEW met3 ( 297840 2422390 ) ( 322080 2422390 0 )
NEW met1 ( 266640 2419245 ) ( 297840 2419245 )
NEW met3 ( 211680 1960260 0 ) ( 211680 1961370 )
NEW met3 ( 211680 1961370 ) ( 211920 1961370 )
NEW met2 ( 211920 1961370 ) ( 211920 1961555 )
NEW met1 ( 211920 1961555 ) ( 266640 1961555 )
NEW met1 ( 266640 2419245 ) M1M2_PR
NEW met1 ( 266640 1961555 ) M1M2_PR
NEW met1 ( 297840 2419245 ) M1M2_PR
NEW met2 ( 297840 2422390 ) via2_FR
NEW met2 ( 211920 1961370 ) via2_FR
NEW met1 ( 211920 1961555 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[34\] ( mprj io_in[34] ) ( gpio_control_in\[34\] user_gpio_in )
+ ROUTED met2 ( 297840 2203535 ) ( 297840 2207050 )
NEW met3 ( 297840 2207050 ) ( 322080 2207050 0 )
NEW met3 ( 211680 1744550 0 ) ( 211680 1745290 )
NEW met3 ( 211680 1745290 ) ( 211920 1745290 )
NEW met2 ( 211920 1745290 ) ( 211920 1745475 )
NEW met1 ( 211920 1745475 ) ( 227760 1745475 )
NEW met1 ( 227760 2203535 ) ( 297840 2203535 )
NEW met2 ( 227760 1745475 ) ( 227760 2203535 )
NEW met1 ( 297840 2203535 ) M1M2_PR
NEW met2 ( 297840 2207050 ) via2_FR
NEW met2 ( 211920 1745290 ) via2_FR
NEW met1 ( 211920 1745475 ) M1M2_PR
NEW met1 ( 227760 1745475 ) M1M2_PR
NEW met1 ( 227760 2203535 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[35\] ( mprj io_in[35] ) ( gpio_control_in\[35\] user_gpio_in )
+ ROUTED met3 ( 295440 1991710 ) ( 322080 1991710 0 )
NEW met2 ( 295440 1527545 ) ( 295440 1991710 )
NEW met3 ( 211680 1528470 0 ) ( 214320 1528470 )
NEW met2 ( 214320 1527545 ) ( 214320 1528470 )
NEW met1 ( 214320 1527545 ) ( 295440 1527545 )
NEW met2 ( 295440 1991710 ) via2_FR
NEW met1 ( 295440 1527545 ) M1M2_PR
NEW met2 ( 214320 1528470 ) via2_FR
NEW met1 ( 214320 1527545 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[36\] ( mprj io_in[36] ) ( gpio_control_in\[36\] user_gpio_in )
+ ROUTED met3 ( 294960 1776370 ) ( 322080 1776370 0 )
NEW met3 ( 211680 1312390 0 ) ( 214320 1312390 )
NEW met2 ( 214320 1311465 ) ( 214320 1312390 )
NEW met1 ( 214320 1311465 ) ( 294960 1311465 )
NEW met2 ( 294960 1311465 ) ( 294960 1776370 )
NEW met2 ( 294960 1776370 ) via2_FR
NEW met2 ( 214320 1312390 ) via2_FR
NEW met1 ( 214320 1311465 ) M1M2_PR
NEW met1 ( 294960 1311465 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[37\] ( mprj io_in[37] ) ( gpio_control_in\[37\] user_gpio_in )
+ ROUTED met2 ( 297840 1555295 ) ( 297840 1561030 )
NEW met3 ( 297840 1561030 ) ( 322080 1561030 0 )
NEW met1 ( 227760 1555295 ) ( 297840 1555295 )
NEW met3 ( 211680 1096310 0 ) ( 211680 1097050 )
NEW met3 ( 211680 1097050 ) ( 211920 1097050 )
NEW met2 ( 211920 1097050 ) ( 211920 1097235 )
NEW met1 ( 211920 1097235 ) ( 227760 1097235 )
NEW met2 ( 227760 1097235 ) ( 227760 1555295 )
NEW met1 ( 297840 1555295 ) M1M2_PR
NEW met2 ( 297840 1561030 ) via2_FR
NEW met1 ( 227760 1555295 ) M1M2_PR
NEW met2 ( 211920 1097050 ) via2_FR
NEW met1 ( 211920 1097235 ) M1M2_PR
NEW met1 ( 227760 1097235 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[3\] ( mprj io_in[3] ) ( gpio_control_in\[3\] user_gpio_in )
+ ROUTED met3 ( 3251040 2173750 0 ) ( 3271920 2173750 )
NEW met2 ( 3271920 2160245 ) ( 3271920 2173750 )
NEW met1 ( 3271920 2160245 ) ( 3320400 2160245 )
NEW met2 ( 3373680 1366410 ) ( 3373680 1366595 )
NEW met3 ( 3373680 1366410 ) ( 3373920 1366410 )
NEW met3 ( 3373920 1365300 0 ) ( 3373920 1366410 )
NEW met2 ( 3320400 1366595 ) ( 3320400 2160245 )
NEW met1 ( 3320400 1366595 ) ( 3373680 1366595 )
NEW met2 ( 3271920 2173750 ) via2_FR
NEW met1 ( 3271920 2160245 ) M1M2_PR
NEW met1 ( 3320400 2160245 ) M1M2_PR
NEW met1 ( 3373680 1366595 ) M1M2_PR
NEW met2 ( 3373680 1366410 ) via2_FR
NEW met1 ( 3320400 1366595 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[4\] ( mprj io_in[4] ) ( gpio_control_in\[4\] user_gpio_in )
+ ROUTED met2 ( 3272400 2404815 ) ( 3272400 2408330 )
NEW met3 ( 3251040 2408330 0 ) ( 3272400 2408330 )
NEW met1 ( 3334800 1591555 ) ( 3373680 1591555 )
NEW met2 ( 3373680 1591370 ) ( 3373680 1591555 )
NEW met3 ( 3373680 1591370 ) ( 3373920 1591370 )
NEW met3 ( 3373920 1590260 0 ) ( 3373920 1591370 )
NEW met1 ( 3272400 2404815 ) ( 3334800 2404815 )
NEW met2 ( 3334800 1591555 ) ( 3334800 2404815 )
NEW met1 ( 3272400 2404815 ) M1M2_PR
NEW met2 ( 3272400 2408330 ) via2_FR
NEW met1 ( 3334800 1591555 ) M1M2_PR
NEW met1 ( 3373680 1591555 ) M1M2_PR
NEW met2 ( 3373680 1591370 ) via2_FR
NEW met1 ( 3334800 2404815 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[5\] ( mprj io_in[5] ) ( gpio_control_in\[5\] user_gpio_in )
+ ROUTED met2 ( 3272400 2635325 ) ( 3272400 2642910 )
NEW met3 ( 3251040 2642910 0 ) ( 3272400 2642910 )
NEW met1 ( 3349200 1816515 ) ( 3373200 1816515 )
NEW met2 ( 3373200 1816330 ) ( 3373200 1816515 )
NEW met3 ( 3373200 1816330 ) ( 3373920 1816330 )
NEW met3 ( 3373920 1815220 0 ) ( 3373920 1816330 )
NEW met1 ( 3272400 2635325 ) ( 3349200 2635325 )
NEW met2 ( 3349200 1816515 ) ( 3349200 2635325 )
NEW met1 ( 3272400 2635325 ) M1M2_PR
NEW met2 ( 3272400 2642910 ) via2_FR
NEW met1 ( 3349200 1816515 ) M1M2_PR
NEW met1 ( 3373200 1816515 ) M1M2_PR
NEW met2 ( 3373200 1816330 ) via2_FR
NEW met1 ( 3349200 2635325 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[6\] ( mprj io_in[6] ) ( gpio_control_in\[6\] user_gpio_in )
+ ROUTED met2 ( 3272400 2865835 ) ( 3272400 2877490 )
NEW met3 ( 3251040 2877490 0 ) ( 3272400 2877490 )
NEW met1 ( 3319920 2042215 ) ( 3373680 2042215 )
NEW met2 ( 3373680 2042030 ) ( 3373680 2042215 )
NEW met3 ( 3373680 2042030 ) ( 3373920 2042030 )
NEW met3 ( 3373920 2041290 0 ) ( 3373920 2042030 )
NEW met1 ( 3272400 2865835 ) ( 3319920 2865835 )
NEW met2 ( 3319920 2042215 ) ( 3319920 2865835 )
NEW met1 ( 3272400 2865835 ) M1M2_PR
NEW met2 ( 3272400 2877490 ) via2_FR
NEW met1 ( 3319920 2042215 ) M1M2_PR
NEW met1 ( 3373680 2042215 ) M1M2_PR
NEW met2 ( 3373680 2042030 ) via2_FR
NEW met1 ( 3319920 2865835 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[7\] ( mprj io_in[7] ) ( gpio_control_in\[7\] user_gpio_in )
+ ROUTED met2 ( 3272400 3110405 ) ( 3272400 3112070 )
NEW met3 ( 3251040 3112070 0 ) ( 3272400 3112070 )
NEW met1 ( 3349680 2482515 ) ( 3372240 2482515 )
NEW met2 ( 3372240 2482330 ) ( 3372240 2482515 )
NEW met3 ( 3372240 2482330 ) ( 3373920 2482330 0 )
NEW met1 ( 3272400 3110405 ) ( 3349680 3110405 )
NEW met2 ( 3349680 2482515 ) ( 3349680 3110405 )
NEW met1 ( 3272400 3110405 ) M1M2_PR
NEW met2 ( 3272400 3112070 ) via2_FR
NEW met1 ( 3349680 2482515 ) M1M2_PR
NEW met1 ( 3372240 2482515 ) M1M2_PR
NEW met2 ( 3372240 2482330 ) via2_FR
NEW met1 ( 3349680 3110405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[8\] ( mprj io_in[8] ) ( gpio_control_in\[8\] user_gpio_in )
+ ROUTED met2 ( 3272400 3340915 ) ( 3272400 3346650 )
NEW met3 ( 3251040 3346650 0 ) ( 3272400 3346650 )
NEW met1 ( 3306480 2703775 ) ( 3373680 2703775 )
NEW met2 ( 3373680 2703590 ) ( 3373680 2703775 )
NEW met3 ( 3373680 2703590 ) ( 3373920 2703590 )
NEW met3 ( 3373920 2702110 0 ) ( 3373920 2703590 )
NEW met1 ( 3272400 3340915 ) ( 3306480 3340915 )
NEW met2 ( 3306480 2703775 ) ( 3306480 3340915 )
NEW met1 ( 3272400 3340915 ) M1M2_PR
NEW met2 ( 3272400 3346650 ) via2_FR
NEW met1 ( 3306480 2703775 ) M1M2_PR
NEW met1 ( 3373680 2703775 ) M1M2_PR
NEW met2 ( 3373680 2703590 ) via2_FR
NEW met1 ( 3306480 3340915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_in\[9\] ( mprj io_in[9] ) ( gpio_control_in\[9\] user_gpio_in )
+ ROUTED met2 ( 3272400 3571425 ) ( 3272400 3581230 )
NEW met3 ( 3251040 3581230 0 ) ( 3272400 3581230 )
NEW met1 ( 3320880 2927255 ) ( 3372240 2927255 )
NEW met2 ( 3372240 2927070 ) ( 3372240 2927255 )
NEW met3 ( 3372240 2927070 ) ( 3373920 2927070 0 )
NEW met1 ( 3272400 3571425 ) ( 3320880 3571425 )
NEW met2 ( 3320880 2927255 ) ( 3320880 3571425 )
NEW met1 ( 3272400 3571425 ) M1M2_PR
NEW met2 ( 3272400 3581230 ) via2_FR
NEW met1 ( 3320880 2927255 ) M1M2_PR
NEW met1 ( 3372240 2927255 ) M1M2_PR
NEW met2 ( 3372240 2927070 ) via2_FR
NEW met1 ( 3320880 3571425 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[0\] ( mprj io_oeb[0] ) ( gpio_control_bidir\[0\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 1586930 0 ) ( 3275760 1586930 )
NEW met2 ( 3372240 692085 ) ( 3372240 692270 )
NEW met3 ( 3372240 692270 ) ( 3373920 692270 0 )
NEW met1 ( 3275760 692085 ) ( 3372240 692085 )
NEW met2 ( 3275760 692085 ) ( 3275760 1586930 )
NEW met2 ( 3275760 1586930 ) via2_FR
NEW met1 ( 3275760 692085 ) M1M2_PR
NEW met1 ( 3372240 692085 ) M1M2_PR
NEW met2 ( 3372240 692270 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[10\] ( mprj io_oeb[10] ) ( gpio_control_in\[10\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 3931435 ) ( 3272400 3933470 )
NEW met3 ( 3251040 3933470 0 ) ( 3272400 3933470 )
NEW met1 ( 3272400 3931435 ) ( 3334320 3931435 )
NEW met2 ( 3334320 3158875 ) ( 3334320 3931435 )
NEW met2 ( 3373680 3158690 ) ( 3373680 3158875 )
NEW met3 ( 3373680 3158690 ) ( 3373920 3158690 )
NEW met3 ( 3373920 3157210 0 ) ( 3373920 3158690 )
NEW met1 ( 3334320 3158875 ) ( 3373680 3158875 )
NEW met1 ( 3272400 3931435 ) M1M2_PR
NEW met2 ( 3272400 3933470 ) via2_FR
NEW met1 ( 3334320 3158875 ) M1M2_PR
NEW met1 ( 3334320 3931435 ) M1M2_PR
NEW met1 ( 3373680 3158875 ) M1M2_PR
NEW met2 ( 3373680 3158690 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[11\] ( mprj io_oeb[11] ) ( gpio_control_in\[11\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 4161945 ) ( 3272400 4168050 )
NEW met3 ( 3251040 4168050 0 ) ( 3272400 4168050 )
NEW met1 ( 3348720 3382355 ) ( 3372240 3382355 )
NEW met2 ( 3372240 3382170 ) ( 3372240 3382355 )
NEW met3 ( 3372240 3382170 ) ( 3373920 3382170 0 )
NEW met1 ( 3272400 4161945 ) ( 3348720 4161945 )
NEW met2 ( 3348720 3382355 ) ( 3348720 4161945 )
NEW met1 ( 3272400 4161945 ) M1M2_PR
NEW met2 ( 3272400 4168050 ) via2_FR
NEW met1 ( 3348720 3382355 ) M1M2_PR
NEW met1 ( 3372240 3382355 ) M1M2_PR
NEW met2 ( 3372240 3382170 ) via2_FR
NEW met1 ( 3348720 4161945 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[12\] ( mprj io_oeb[12] ) ( gpio_control_in\[12\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 4392085 ) ( 3272400 4402630 )
NEW met3 ( 3251040 4402630 0 ) ( 3272400 4402630 )
NEW met1 ( 3304560 3609535 ) ( 3374160 3609535 )
NEW met2 ( 3374160 3609350 ) ( 3374160 3609535 )
NEW met3 ( 3373920 3609350 ) ( 3374160 3609350 )
NEW met3 ( 3373920 3608610 0 ) ( 3373920 3609350 )
NEW met1 ( 3272400 4392085 ) ( 3304560 4392085 )
NEW met2 ( 3304560 3609535 ) ( 3304560 4392085 )
NEW met1 ( 3272400 4392085 ) M1M2_PR
NEW met2 ( 3272400 4402630 ) via2_FR
NEW met1 ( 3304560 3609535 ) M1M2_PR
NEW met1 ( 3374160 3609535 ) M1M2_PR
NEW met2 ( 3374160 3609350 ) via2_FR
NEW met1 ( 3304560 4392085 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[13\] ( mprj io_oeb[13] ) ( gpio_control_in\[13\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 4637210 ) ( 3272400 4637395 )
NEW met3 ( 3251040 4637210 0 ) ( 3272400 4637210 )
NEW met1 ( 3272400 4637395 ) ( 3333840 4637395 )
NEW met2 ( 3333840 3834495 ) ( 3333840 4637395 )
NEW met2 ( 3373680 3834310 ) ( 3373680 3834495 )
NEW met3 ( 3373680 3834310 ) ( 3373920 3834310 )
NEW met3 ( 3373920 3833570 0 ) ( 3373920 3834310 )
NEW met1 ( 3333840 3834495 ) ( 3373680 3834495 )
NEW met1 ( 3272400 4637395 ) M1M2_PR
NEW met2 ( 3272400 4637210 ) via2_FR
NEW met1 ( 3333840 3834495 ) M1M2_PR
NEW met1 ( 3333840 4637395 ) M1M2_PR
NEW met1 ( 3373680 3834495 ) M1M2_PR
NEW met2 ( 3373680 3834310 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[14\] ( mprj io_oeb[14] ) ( gpio_control_in\[14\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 4871790 0 ) ( 3275760 4871790 )
NEW met2 ( 3275760 4723235 ) ( 3275760 4871790 )
NEW met2 ( 3373680 4723235 ) ( 3373680 4723790 )
NEW met3 ( 3373680 4723790 ) ( 3373920 4723790 )
NEW met3 ( 3373920 4723790 ) ( 3373920 4725270 0 )
NEW met1 ( 3275760 4723235 ) ( 3373680 4723235 )
NEW met2 ( 3275760 4871790 ) via2_FR
NEW met1 ( 3275760 4723235 ) M1M2_PR
NEW met1 ( 3373680 4723235 ) M1M2_PR
NEW met2 ( 3373680 4723790 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[15\] ( mprj io_oeb[15] ) ( gpio_control_in\[15\] user_gpio_oeb )
+ ROUTED met2 ( 2851440 4932655 ) ( 2851440 4978350 )
NEW met3 ( 2833440 4978350 ) ( 2851440 4978350 )
NEW met3 ( 2833440 4978350 ) ( 2833440 4979090 0 )
NEW met1 ( 2851440 4932655 ) ( 2962320 4932655 )
NEW met2 ( 2962320 4906570 0 ) ( 2962320 4932655 )
NEW met1 ( 2851440 4932655 ) M1M2_PR
NEW met2 ( 2851440 4978350 ) via2_FR
NEW met1 ( 2962320 4932655 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[16\] ( mprj io_oeb[16] ) ( gpio_control_in\[16\] user_gpio_oeb )
+ ROUTED met2 ( 2592240 4938945 ) ( 2592240 4978350 )
NEW met1 ( 2592240 4938945 ) ( 2637840 4938945 )
NEW met2 ( 2637840 4906570 0 ) ( 2637840 4938945 )
NEW met3 ( 2577120 4978350 ) ( 2577120 4979090 )
NEW met3 ( 2576160 4979090 0 ) ( 2577120 4979090 )
NEW met3 ( 2577120 4978350 ) ( 2592240 4978350 )
NEW met2 ( 2592240 4978350 ) via2_FR
NEW met1 ( 2592240 4938945 ) M1M2_PR
NEW met1 ( 2637840 4938945 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[17\] ( mprj io_oeb[17] ) ( gpio_control_in\[17\] user_gpio_oeb )
+ ROUTED met3 ( 2198640 5026450 ) ( 2224560 5026450 )
NEW met2 ( 2193840 5026450 ) ( 2198640 5026450 )
NEW met3 ( 2191200 5026450 0 ) ( 2193840 5026450 )
NEW met2 ( 2224560 4925625 ) ( 2224560 5026450 )
NEW met1 ( 2224560 4925625 ) ( 2313840 4925625 )
NEW met2 ( 2313840 4906570 0 ) ( 2313840 4925625 )
NEW met2 ( 2224560 5026450 ) via2_FR
NEW met2 ( 2198640 5026450 ) via2_FR
NEW met2 ( 2193840 5026450 ) via2_FR
NEW met1 ( 2224560 4925625 ) M1M2_PR
NEW met1 ( 2313840 4925625 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[18\] ( mprj io_oeb[18] ) ( gpio_control_in\[18\] user_gpio_oeb )
+ ROUTED met2 ( 1988880 4906570 0 ) ( 1988880 4932655 )
NEW met1 ( 1872240 4932655 ) ( 1988880 4932655 )
NEW met3 ( 1854240 4978350 ) ( 1872240 4978350 )
NEW met3 ( 1854240 4978350 ) ( 1854240 4979090 0 )
NEW met2 ( 1872240 4932655 ) ( 1872240 4978350 )
NEW met1 ( 1872240 4932655 ) M1M2_PR
NEW met1 ( 1988880 4932655 ) M1M2_PR
NEW met2 ( 1872240 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[19\] ( mprj io_oeb[19] ) ( gpio_control_in\[19\] user_gpio_oeb )
+ ROUTED met2 ( 1662960 4906570 ) ( 1664880 4906570 0 )
NEW met1 ( 1656240 4960775 ) ( 1662960 4960775 )
NEW met2 ( 1662960 4906570 ) ( 1662960 4960775 )
NEW met2 ( 1627440 5025895 ) ( 1627440 5027190 )
NEW met1 ( 1627440 5025895 ) ( 1656240 5025895 )
NEW met2 ( 1656240 4960775 ) ( 1656240 5025895 )
NEW met2 ( 1605360 5027190 ) ( 1607280 5027190 )
NEW met3 ( 1602240 5027190 0 ) ( 1605360 5027190 )
NEW met3 ( 1607280 5027190 ) ( 1627440 5027190 )
NEW met1 ( 1656240 4960775 ) M1M2_PR
NEW met1 ( 1662960 4960775 ) M1M2_PR
NEW met1 ( 1627440 5025895 ) M1M2_PR
NEW met2 ( 1627440 5027190 ) via2_FR
NEW met1 ( 1656240 5025895 ) M1M2_PR
NEW met2 ( 1607280 5027190 ) via2_FR
NEW met2 ( 1605360 5027190 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[1\] ( mprj io_oeb[1] ) ( gpio_control_bidir\[1\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 1821510 0 ) ( 3272400 1821510 )
NEW met2 ( 3272400 1816885 ) ( 3272400 1821510 )
NEW met1 ( 3272400 1816885 ) ( 3306000 1816885 )
NEW met1 ( 3306000 919635 ) ( 3373680 919635 )
NEW met2 ( 3373680 919450 ) ( 3373680 919635 )
NEW met3 ( 3373680 919450 ) ( 3373920 919450 )
NEW met3 ( 3373920 918340 0 ) ( 3373920 919450 )
NEW met2 ( 3306000 919635 ) ( 3306000 1816885 )
NEW met2 ( 3272400 1821510 ) via2_FR
NEW met1 ( 3272400 1816885 ) M1M2_PR
NEW met1 ( 3306000 1816885 ) M1M2_PR
NEW met1 ( 3306000 919635 ) M1M2_PR
NEW met1 ( 3373680 919635 ) M1M2_PR
NEW met2 ( 3373680 919450 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[20\] ( mprj io_oeb[20] ) ( gpio_control_in\[20\] user_gpio_oeb )
+ ROUTED met3 ( 1340400 4978350 ) ( 1344480 4978350 )
NEW met3 ( 1344480 4978350 ) ( 1344480 4979090 0 )
NEW met2 ( 1340400 4906570 0 ) ( 1340400 4978350 )
NEW met2 ( 1340400 4978350 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[21\] ( mprj io_oeb[21] ) ( gpio_control_in\[21\] user_gpio_oeb )
+ ROUTED met2 ( 1084080 4979645 ) ( 1084080 4979830 )
NEW met3 ( 1084080 4979830 ) ( 1087200 4979830 0 )
NEW met1 ( 1065360 4979645 ) ( 1084080 4979645 )
NEW met2 ( 1015920 4906570 0 ) ( 1015920 4931545 )
NEW met1 ( 1015920 4931545 ) ( 1065360 4931545 )
NEW met2 ( 1065360 4931545 ) ( 1065360 4979645 )
NEW met1 ( 1065360 4979645 ) M1M2_PR
NEW met1 ( 1084080 4979645 ) M1M2_PR
NEW met2 ( 1084080 4979830 ) via2_FR
NEW met1 ( 1015920 4931545 ) M1M2_PR
NEW met1 ( 1065360 4931545 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[22\] ( mprj io_oeb[22] ) ( gpio_control_in\[22\] user_gpio_oeb )
+ ROUTED met1 ( 820560 4978905 ) ( 828240 4978905 )
NEW met2 ( 828240 4978905 ) ( 828240 4979090 )
NEW met3 ( 828240 4979090 ) ( 830400 4979090 0 )
NEW met2 ( 820560 4931915 ) ( 820560 4978905 )
NEW met2 ( 691440 4906570 0 ) ( 691440 4931915 )
NEW met1 ( 691440 4931915 ) ( 820560 4931915 )
NEW met1 ( 820560 4978905 ) M1M2_PR
NEW met1 ( 828240 4978905 ) M1M2_PR
NEW met2 ( 828240 4979090 ) via2_FR
NEW met1 ( 820560 4931915 ) M1M2_PR
NEW met1 ( 691440 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[23\] ( mprj io_oeb[23] ) ( gpio_control_in\[23\] user_gpio_oeb )
+ ROUTED met2 ( 570960 5024785 ) ( 570960 5025710 )
NEW met3 ( 570960 5025710 ) ( 573600 5025710 0 )
NEW met2 ( 366960 4906570 0 ) ( 366960 4931915 )
NEW met1 ( 468240 5027375 ) ( 503760 5027375 )
NEW met2 ( 503760 5024785 ) ( 503760 5027375 )
NEW met1 ( 503760 5024785 ) ( 570960 5024785 )
NEW met1 ( 366960 4931915 ) ( 468240 4931915 )
NEW met2 ( 468240 4931915 ) ( 468240 5027375 )
NEW met1 ( 570960 5024785 ) M1M2_PR
NEW met2 ( 570960 5025710 ) via2_FR
NEW met1 ( 366960 4931915 ) M1M2_PR
NEW met1 ( 468240 5027375 ) M1M2_PR
NEW met1 ( 503760 5027375 ) M1M2_PR
NEW met1 ( 503760 5024785 ) M1M2_PR
NEW met1 ( 468240 4931915 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[24\] ( mprj io_oeb[24] ) ( gpio_control_in\[24\] user_gpio_oeb )
+ ROUTED met3 ( 211680 4536570 0 ) ( 211680 4537310 )
NEW met3 ( 211440 4537310 ) ( 211680 4537310 )
NEW met2 ( 211440 4537310 ) ( 211440 4537495 )
NEW met1 ( 211440 4537495 ) ( 251760 4537495 )
NEW met2 ( 251760 4537495 ) ( 251760 4637025 )
NEW met2 ( 297840 4637025 ) ( 297840 4649790 )
NEW met3 ( 297840 4649790 ) ( 322080 4649790 0 )
NEW met1 ( 251760 4637025 ) ( 297840 4637025 )
NEW met1 ( 251760 4637025 ) M1M2_PR
NEW met2 ( 211440 4537310 ) via2_FR
NEW met1 ( 211440 4537495 ) M1M2_PR
NEW met1 ( 251760 4537495 ) M1M2_PR
NEW met1 ( 297840 4637025 ) M1M2_PR
NEW met2 ( 297840 4649790 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[25\] ( mprj io_oeb[25] ) ( gpio_control_in\[25\] user_gpio_oeb )
+ ROUTED met3 ( 294960 4361930 ) ( 322080 4361930 0 )
NEW met2 ( 294960 4109405 ) ( 294960 4361930 )
NEW met3 ( 211680 4113290 ) ( 211680 4114400 0 )
NEW met3 ( 211680 4113290 ) ( 212400 4113290 )
NEW met2 ( 212400 4109405 ) ( 212400 4113290 )
NEW met1 ( 212400 4109405 ) ( 294960 4109405 )
NEW met1 ( 294960 4109405 ) M1M2_PR
NEW met2 ( 294960 4361930 ) via2_FR
NEW met2 ( 212400 4113290 ) via2_FR
NEW met1 ( 212400 4109405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[26\] ( mprj io_oeb[26] ) ( gpio_control_in\[26\] user_gpio_oeb )
+ ROUTED met3 ( 211680 3898320 0 ) ( 211680 3899430 )
NEW met3 ( 211680 3899430 ) ( 212400 3899430 )
NEW met2 ( 212400 3899430 ) ( 212400 3899615 )
NEW met1 ( 212400 3899615 ) ( 237840 3899615 )
NEW met2 ( 237840 3899615 ) ( 237840 4060935 )
NEW met2 ( 297840 4060935 ) ( 297840 4074810 )
NEW met3 ( 297840 4074810 ) ( 322080 4074810 0 )
NEW met1 ( 237840 4060935 ) ( 297840 4060935 )
NEW met2 ( 212400 3899430 ) via2_FR
NEW met1 ( 212400 3899615 ) M1M2_PR
NEW met1 ( 237840 3899615 ) M1M2_PR
NEW met1 ( 237840 4060935 ) M1M2_PR
NEW met1 ( 297840 4060935 ) M1M2_PR
NEW met2 ( 297840 4074810 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[27\] ( mprj io_oeb[27] ) ( gpio_control_in\[27\] user_gpio_oeb )
+ ROUTED met2 ( 252240 3683535 ) ( 252240 3787505 )
NEW met2 ( 291120 3787505 ) ( 291120 3787690 )
NEW met3 ( 291120 3787690 ) ( 322080 3787690 0 )
NEW met1 ( 252240 3787505 ) ( 291120 3787505 )
NEW met3 ( 211680 3682610 0 ) ( 211680 3683350 )
NEW met3 ( 211680 3683350 ) ( 211920 3683350 )
NEW met2 ( 211920 3683350 ) ( 211920 3683535 )
NEW met1 ( 211920 3683535 ) ( 252240 3683535 )
NEW met1 ( 252240 3683535 ) M1M2_PR
NEW met1 ( 252240 3787505 ) M1M2_PR
NEW met1 ( 291120 3787505 ) M1M2_PR
NEW met2 ( 291120 3787690 ) via2_FR
NEW met2 ( 211920 3683350 ) via2_FR
NEW met1 ( 211920 3683535 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[28\] ( mprj io_oeb[28] ) ( gpio_control_in\[28\] user_gpio_oeb )
+ ROUTED met2 ( 297840 3499275 ) ( 297840 3500570 )
NEW met3 ( 297840 3500570 ) ( 322080 3500570 0 )
NEW met3 ( 211680 3466530 0 ) ( 211680 3467270 )
NEW met3 ( 211680 3467270 ) ( 211920 3467270 )
NEW met2 ( 211920 3467270 ) ( 211920 3499275 )
NEW met1 ( 211920 3499275 ) ( 297840 3499275 )
NEW met1 ( 297840 3499275 ) M1M2_PR
NEW met2 ( 297840 3500570 ) via2_FR
NEW met2 ( 211920 3467270 ) via2_FR
NEW met1 ( 211920 3499275 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[29\] ( mprj io_oeb[29] ) ( gpio_control_in\[29\] user_gpio_oeb )
+ ROUTED met2 ( 259440 3222515 ) ( 259440 3248785 )
NEW met2 ( 297840 3213450 ) ( 297840 3222515 )
NEW met3 ( 297840 3213450 ) ( 322080 3213450 0 )
NEW met1 ( 259440 3222515 ) ( 297840 3222515 )
NEW met3 ( 211680 3248970 ) ( 211680 3250450 0 )
NEW met3 ( 211680 3248970 ) ( 212400 3248970 )
NEW met2 ( 212400 3248785 ) ( 212400 3248970 )
NEW met1 ( 212400 3248785 ) ( 259440 3248785 )
NEW met1 ( 259440 3248785 ) M1M2_PR
NEW met1 ( 259440 3222515 ) M1M2_PR
NEW met1 ( 297840 3222515 ) M1M2_PR
NEW met2 ( 297840 3213450 ) via2_FR
NEW met2 ( 212400 3248970 ) via2_FR
NEW met1 ( 212400 3248785 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[2\] ( mprj io_oeb[2] ) ( gpio_control_in\[2\] user_gpio_oeb )
+ ROUTED met2 ( 3275280 2044805 ) ( 3275280 2056090 )
NEW met3 ( 3251040 2056090 0 ) ( 3275280 2056090 )
NEW met1 ( 3275280 2044805 ) ( 3347760 2044805 )
NEW met1 ( 3347760 1144595 ) ( 3373680 1144595 )
NEW met2 ( 3373680 1144410 ) ( 3373680 1144595 )
NEW met3 ( 3373680 1144410 ) ( 3373920 1144410 )
NEW met3 ( 3373920 1143300 0 ) ( 3373920 1144410 )
NEW met2 ( 3347760 1144595 ) ( 3347760 2044805 )
NEW met1 ( 3275280 2044805 ) M1M2_PR
NEW met2 ( 3275280 2056090 ) via2_FR
NEW met1 ( 3347760 2044805 ) M1M2_PR
NEW met1 ( 3347760 1144595 ) M1M2_PR
NEW met1 ( 3373680 1144595 ) M1M2_PR
NEW met2 ( 3373680 1144410 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[30\] ( mprj io_oeb[30] ) ( gpio_control_in\[30\] user_gpio_oeb )
+ ROUTED met2 ( 267120 2937245 ) ( 267120 3034185 )
NEW met2 ( 297840 2926330 ) ( 297840 2937245 )
NEW met3 ( 297840 2926330 ) ( 322080 2926330 0 )
NEW met1 ( 267120 2937245 ) ( 297840 2937245 )
NEW met3 ( 211680 3034370 0 ) ( 213840 3034370 )
NEW met2 ( 213840 3034185 ) ( 213840 3034370 )
NEW met1 ( 213840 3034185 ) ( 267120 3034185 )
NEW met1 ( 267120 3034185 ) M1M2_PR
NEW met1 ( 267120 2937245 ) M1M2_PR
NEW met1 ( 297840 2937245 ) M1M2_PR
NEW met2 ( 297840 2926330 ) via2_FR
NEW met2 ( 213840 3034370 ) via2_FR
NEW met1 ( 213840 3034185 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[31\] ( mprj io_oeb[31] ) ( gpio_control_in\[31\] user_gpio_oeb )
+ ROUTED met1 ( 212400 2817365 ) ( 252720 2817365 )
NEW met2 ( 212400 2817365 ) ( 212400 2817550 )
NEW met3 ( 211680 2817550 ) ( 212400 2817550 )
NEW met3 ( 211680 2817550 ) ( 211680 2818290 0 )
NEW met2 ( 252720 2718575 ) ( 252720 2817365 )
NEW met2 ( 297840 2710990 ) ( 297840 2718575 )
NEW met3 ( 297840 2710990 ) ( 322080 2710990 0 )
NEW met1 ( 252720 2718575 ) ( 297840 2718575 )
NEW met1 ( 252720 2817365 ) M1M2_PR
NEW met1 ( 212400 2817365 ) M1M2_PR
NEW met2 ( 212400 2817550 ) via2_FR
NEW met1 ( 252720 2718575 ) M1M2_PR
NEW met1 ( 297840 2718575 ) M1M2_PR
NEW met2 ( 297840 2710990 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[32\] ( mprj io_oeb[32] ) ( gpio_control_in\[32\] user_gpio_oeb )
+ ROUTED met2 ( 267120 2180595 ) ( 267120 2491395 )
NEW met2 ( 290640 2491395 ) ( 290640 2494910 )
NEW met3 ( 290640 2494910 ) ( 322080 2494910 0 )
NEW met1 ( 267120 2491395 ) ( 290640 2491395 )
NEW met3 ( 211680 2180410 0 ) ( 213360 2180410 )
NEW met2 ( 213360 2180410 ) ( 213360 2180595 )
NEW met1 ( 213360 2180595 ) ( 267120 2180595 )
NEW met1 ( 267120 2180595 ) M1M2_PR
NEW met1 ( 267120 2491395 ) M1M2_PR
NEW met1 ( 290640 2491395 ) M1M2_PR
NEW met2 ( 290640 2494910 ) via2_FR
NEW met2 ( 213360 2180410 ) via2_FR
NEW met1 ( 213360 2180595 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[33\] ( mprj io_oeb[33] ) ( gpio_control_in\[33\] user_gpio_oeb )
+ ROUTED met2 ( 297840 2275315 ) ( 297840 2278830 )
NEW met3 ( 297840 2278830 ) ( 322080 2278830 0 )
NEW met1 ( 227280 2275315 ) ( 297840 2275315 )
NEW met3 ( 211680 1964330 0 ) ( 211680 1965810 )
NEW met3 ( 211680 1965810 ) ( 211920 1965810 )
NEW met2 ( 211920 1965810 ) ( 211920 1965995 )
NEW met1 ( 211920 1965995 ) ( 227280 1965995 )
NEW met2 ( 227280 1965995 ) ( 227280 2275315 )
NEW met1 ( 227280 2275315 ) M1M2_PR
NEW met1 ( 297840 2275315 ) M1M2_PR
NEW met2 ( 297840 2278830 ) via2_FR
NEW met2 ( 211920 1965810 ) via2_FR
NEW met1 ( 211920 1965995 ) M1M2_PR
NEW met1 ( 227280 1965995 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[34\] ( mprj io_oeb[34] ) ( gpio_control_in\[34\] user_gpio_oeb )
+ ROUTED met2 ( 267600 1748435 ) ( 267600 2059235 )
NEW met2 ( 289200 2059235 ) ( 289200 2063490 )
NEW met3 ( 289200 2063490 ) ( 322080 2063490 0 )
NEW met1 ( 267600 2059235 ) ( 289200 2059235 )
NEW met3 ( 211680 1748250 0 ) ( 213360 1748250 )
NEW met2 ( 213360 1748250 ) ( 213360 1748435 )
NEW met1 ( 213360 1748435 ) ( 267600 1748435 )
NEW met1 ( 267600 2059235 ) M1M2_PR
NEW met1 ( 267600 1748435 ) M1M2_PR
NEW met1 ( 289200 2059235 ) M1M2_PR
NEW met2 ( 289200 2063490 ) via2_FR
NEW met2 ( 213360 1748250 ) via2_FR
NEW met1 ( 213360 1748435 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[35\] ( mprj io_oeb[35] ) ( gpio_control_in\[35\] user_gpio_oeb )
+ ROUTED met2 ( 252720 1533835 ) ( 252720 1843525 )
NEW met2 ( 297840 1843525 ) ( 297840 1848150 )
NEW met3 ( 297840 1848150 ) ( 322080 1848150 0 )
NEW met1 ( 252720 1843525 ) ( 297840 1843525 )
NEW met3 ( 211680 1532170 0 ) ( 211680 1533650 )
NEW met3 ( 211440 1533650 ) ( 211680 1533650 )
NEW met2 ( 211440 1533650 ) ( 211440 1533835 )
NEW met1 ( 211440 1533835 ) ( 252720 1533835 )
NEW met1 ( 252720 1843525 ) M1M2_PR
NEW met1 ( 252720 1533835 ) M1M2_PR
NEW met1 ( 297840 1843525 ) M1M2_PR
NEW met2 ( 297840 1848150 ) via2_FR
NEW met2 ( 211440 1533650 ) via2_FR
NEW met1 ( 211440 1533835 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[36\] ( mprj io_oeb[36] ) ( gpio_control_in\[36\] user_gpio_oeb )
+ ROUTED met2 ( 297840 1627445 ) ( 297840 1632070 )
NEW met3 ( 297840 1632070 ) ( 322080 1632070 0 )
NEW met1 ( 267120 1627445 ) ( 297840 1627445 )
NEW met3 ( 211680 1316090 0 ) ( 211680 1317570 )
NEW met3 ( 211440 1317570 ) ( 211680 1317570 )
NEW met2 ( 211440 1317570 ) ( 211440 1317755 )
NEW met1 ( 211440 1317755 ) ( 267120 1317755 )
NEW met2 ( 267120 1317755 ) ( 267120 1627445 )
NEW met1 ( 267120 1627445 ) M1M2_PR
NEW met1 ( 297840 1627445 ) M1M2_PR
NEW met2 ( 297840 1632070 ) via2_FR
NEW met2 ( 211440 1317570 ) via2_FR
NEW met1 ( 211440 1317755 ) M1M2_PR
NEW met1 ( 267120 1317755 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[37\] ( mprj io_oeb[37] ) ( gpio_control_in\[37\] user_gpio_oeb )
+ ROUTED met3 ( 211680 1100380 0 ) ( 211680 1101490 )
NEW met3 ( 211680 1101490 ) ( 211920 1101490 )
NEW met2 ( 211920 1101490 ) ( 211920 1101675 )
NEW met1 ( 211920 1101675 ) ( 229200 1101675 )
NEW met2 ( 229200 1101675 ) ( 229200 1411365 )
NEW met2 ( 288240 1411365 ) ( 288240 1417470 )
NEW met3 ( 288240 1417470 ) ( 322080 1417470 0 )
NEW met1 ( 229200 1411365 ) ( 288240 1411365 )
NEW met1 ( 229200 1411365 ) M1M2_PR
NEW met2 ( 211920 1101490 ) via2_FR
NEW met1 ( 211920 1101675 ) M1M2_PR
NEW met1 ( 229200 1101675 ) M1M2_PR
NEW met1 ( 288240 1411365 ) M1M2_PR
NEW met2 ( 288240 1417470 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[3\] ( mprj io_oeb[3] ) ( gpio_control_in\[3\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2291410 0 ) ( 3271920 2291410 )
NEW met2 ( 3271920 2289745 ) ( 3271920 2291410 )
NEW met1 ( 3271920 2289745 ) ( 3305040 2289745 )
NEW met2 ( 3373680 1370110 ) ( 3373680 1370295 )
NEW met3 ( 3373680 1370110 ) ( 3373920 1370110 )
NEW met3 ( 3373920 1369370 0 ) ( 3373920 1370110 )
NEW met2 ( 3305040 1370295 ) ( 3305040 2289745 )
NEW met1 ( 3305040 1370295 ) ( 3373680 1370295 )
NEW met2 ( 3271920 2291410 ) via2_FR
NEW met1 ( 3271920 2289745 ) M1M2_PR
NEW met1 ( 3305040 2289745 ) M1M2_PR
NEW met1 ( 3373680 1370295 ) M1M2_PR
NEW met2 ( 3373680 1370110 ) via2_FR
NEW met1 ( 3305040 1370295 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[4\] ( mprj io_oeb[4] ) ( gpio_control_in\[4\] user_gpio_oeb )
+ ROUTED met3 ( 3251040 2525990 0 ) ( 3272400 2525990 )
NEW met2 ( 3272400 2520255 ) ( 3272400 2525990 )
NEW met1 ( 3333360 1594515 ) ( 3372240 1594515 )
NEW met2 ( 3372240 1594330 ) ( 3372240 1594515 )
NEW met3 ( 3372240 1594330 ) ( 3373920 1594330 0 )
NEW met1 ( 3272400 2520255 ) ( 3333360 2520255 )
NEW met2 ( 3333360 1594515 ) ( 3333360 2520255 )
NEW met2 ( 3272400 2525990 ) via2_FR
NEW met1 ( 3272400 2520255 ) M1M2_PR
NEW met1 ( 3333360 1594515 ) M1M2_PR
NEW met1 ( 3372240 1594515 ) M1M2_PR
NEW met2 ( 3372240 1594330 ) via2_FR
NEW met1 ( 3333360 2520255 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[5\] ( mprj io_oeb[5] ) ( gpio_control_in\[5\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 2750765 ) ( 3272400 2760570 )
NEW met3 ( 3251040 2760570 0 ) ( 3272400 2760570 )
NEW met1 ( 3305520 1819475 ) ( 3372240 1819475 )
NEW met2 ( 3372240 1819290 ) ( 3372240 1819475 )
NEW met3 ( 3372240 1819290 ) ( 3373920 1819290 0 )
NEW met1 ( 3272400 2750765 ) ( 3305520 2750765 )
NEW met2 ( 3305520 1819475 ) ( 3305520 2750765 )
NEW met1 ( 3272400 2750765 ) M1M2_PR
NEW met2 ( 3272400 2760570 ) via2_FR
NEW met1 ( 3305520 1819475 ) M1M2_PR
NEW met1 ( 3372240 1819475 ) M1M2_PR
NEW met2 ( 3372240 1819290 ) via2_FR
NEW met1 ( 3305520 2750765 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[6\] ( mprj io_oeb[6] ) ( gpio_control_in\[6\] user_gpio_oeb )
+ ROUTED met3 ( 3250080 2995890 0 ) ( 3250080 2996630 )
NEW met3 ( 3250080 2996630 ) ( 3272400 2996630 )
NEW met2 ( 3272400 2995335 ) ( 3272400 2996630 )
NEW met1 ( 3334320 2046655 ) ( 3373680 2046655 )
NEW met2 ( 3373680 2046470 ) ( 3373680 2046655 )
NEW met3 ( 3373680 2046470 ) ( 3373920 2046470 )
NEW met3 ( 3373920 2045360 0 ) ( 3373920 2046470 )
NEW met1 ( 3272400 2995335 ) ( 3334320 2995335 )
NEW met2 ( 3334320 2046655 ) ( 3334320 2995335 )
NEW met2 ( 3272400 2996630 ) via2_FR
NEW met1 ( 3272400 2995335 ) M1M2_PR
NEW met1 ( 3334320 2046655 ) M1M2_PR
NEW met1 ( 3373680 2046655 ) M1M2_PR
NEW met2 ( 3373680 2046470 ) via2_FR
NEW met1 ( 3334320 2995335 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[7\] ( mprj io_oeb[7] ) ( gpio_control_in\[7\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 3225845 ) ( 3272400 3229730 )
NEW met3 ( 3251040 3229730 0 ) ( 3272400 3229730 )
NEW met1 ( 3348720 2486585 ) ( 3372240 2486585 )
NEW met2 ( 3372240 2486400 ) ( 3372240 2486585 )
NEW met3 ( 3372240 2486400 ) ( 3373920 2486400 0 )
NEW met1 ( 3272400 3225845 ) ( 3348720 3225845 )
NEW met2 ( 3348720 2486585 ) ( 3348720 3225845 )
NEW met1 ( 3272400 3225845 ) M1M2_PR
NEW met2 ( 3272400 3229730 ) via2_FR
NEW met1 ( 3348720 2486585 ) M1M2_PR
NEW met1 ( 3372240 2486585 ) M1M2_PR
NEW met2 ( 3372240 2486400 ) via2_FR
NEW met1 ( 3348720 3225845 ) M1M2_PR
+ USE SIGNAL ;
- user_io_oeb\[8\] ( mprj io_oeb[8] ) ( gpio_control_in\[8\] user_gpio_oeb )
+ ROUTED met2 ( 3274320 3456355 ) ( 3274320 3464310 )
NEW met3 ( 3251040 3464310 0 ) ( 3274320 3464310 )
NEW met1 ( 3274320 3456355 ) ( 3334800 3456355 )
NEW met1 ( 3334800 2706735 ) ( 3372240 2706735 )
NEW met2 ( 3372240 2706550 ) ( 3372240 2706735 )
NEW met3 ( 3372240 2706550 ) ( 3373920 2706550 0 )
NEW met2 ( 3334800 2706735 ) ( 3334800 3456355 )
NEW met1 ( 3274320 3456355 ) M1M2_PR
NEW met2 ( 3274320 3464310 ) via2_FR
NEW met1 ( 3334800 3456355 ) M1M2_PR
NEW met1 ( 3334800 2706735 ) M1M2_PR
NEW met1 ( 3372240 2706735 ) M1M2_PR
NEW met2 ( 3372240 2706550 ) via2_FR
+ USE SIGNAL ;
- user_io_oeb\[9\] ( mprj io_oeb[9] ) ( gpio_control_in\[9\] user_gpio_oeb )
+ ROUTED met2 ( 3272400 3686495 ) ( 3272400 3698890 )
NEW met3 ( 3251040 3698890 0 ) ( 3272400 3698890 )
NEW met1 ( 3272400 3686495 ) ( 3319920 3686495 )
NEW met1 ( 3319920 2931695 ) ( 3372240 2931695 )
NEW met2 ( 3372240 2931510 ) ( 3372240 2931695 )
NEW met3 ( 3372240 2931510 ) ( 3373920 2931510 0 )
NEW met2 ( 3319920 2931695 ) ( 3319920 3686495 )
NEW met1 ( 3272400 3686495 ) M1M2_PR
NEW met2 ( 3272400 3698890 ) via2_FR
NEW met1 ( 3319920 3686495 ) M1M2_PR
NEW met1 ( 3319920 2931695 ) M1M2_PR
NEW met1 ( 3372240 2931695 ) M1M2_PR
NEW met2 ( 3372240 2931510 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[0\] ( mprj io_out[0] ) ( gpio_control_bidir\[0\] user_gpio_out )
+ ROUTED met3 ( 3251040 1528470 0 ) ( 3276240 1528470 )
NEW met2 ( 3373680 692825 ) ( 3373680 693750 )
NEW met3 ( 3373680 693750 ) ( 3373920 693750 )
NEW met3 ( 3373920 693750 ) ( 3373920 696710 0 )
NEW met1 ( 3276240 692825 ) ( 3373680 692825 )
NEW met2 ( 3276240 692825 ) ( 3276240 1528470 )
NEW met1 ( 3276240 692825 ) M1M2_PR
NEW met2 ( 3276240 1528470 ) via2_FR
NEW met1 ( 3373680 692825 ) M1M2_PR
NEW met2 ( 3373680 693750 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[10\] ( mprj io_out[10] ) ( gpio_control_in\[10\] user_gpio_out )
+ ROUTED met2 ( 3272400 3873715 ) ( 3272400 3875010 )
NEW met3 ( 3251040 3875010 0 ) ( 3272400 3875010 )
NEW met1 ( 3272400 3873715 ) ( 3349200 3873715 )
NEW met2 ( 3349200 3162575 ) ( 3349200 3873715 )
NEW met2 ( 3373680 3162390 ) ( 3373680 3162575 )
NEW met3 ( 3373680 3162390 ) ( 3373920 3162390 )
NEW met3 ( 3373920 3161650 0 ) ( 3373920 3162390 )
NEW met1 ( 3349200 3162575 ) ( 3373680 3162575 )
NEW met1 ( 3272400 3873715 ) M1M2_PR
NEW met2 ( 3272400 3875010 ) via2_FR
NEW met1 ( 3349200 3873715 ) M1M2_PR
NEW met1 ( 3349200 3162575 ) M1M2_PR
NEW met1 ( 3373680 3162575 ) M1M2_PR
NEW met2 ( 3373680 3162390 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[11\] ( mprj io_out[11] ) ( gpio_control_in\[11\] user_gpio_out )
+ ROUTED met2 ( 3272400 4104225 ) ( 3272400 4109590 )
NEW met3 ( 3251040 4109590 0 ) ( 3272400 4109590 )
NEW met1 ( 3335280 3387535 ) ( 3374160 3387535 )
NEW met2 ( 3374160 3387350 ) ( 3374160 3387535 )
NEW met3 ( 3373920 3387350 ) ( 3374160 3387350 )
NEW met3 ( 3373920 3386610 0 ) ( 3373920 3387350 )
NEW met1 ( 3272400 4104225 ) ( 3335280 4104225 )
NEW met2 ( 3335280 3387535 ) ( 3335280 4104225 )
NEW met1 ( 3272400 4104225 ) M1M2_PR
NEW met2 ( 3272400 4109590 ) via2_FR
NEW met1 ( 3335280 3387535 ) M1M2_PR
NEW met1 ( 3374160 3387535 ) M1M2_PR
NEW met2 ( 3374160 3387350 ) via2_FR
NEW met1 ( 3335280 4104225 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[12\] ( mprj io_out[12] ) ( gpio_control_in\[12\] user_gpio_out )
+ ROUTED met2 ( 3272400 4336585 ) ( 3272400 4344170 )
NEW met3 ( 3251040 4344170 0 ) ( 3272400 4344170 )
NEW met1 ( 3318960 3612495 ) ( 3371760 3612495 )
NEW met2 ( 3371760 3612310 ) ( 3371760 3612495 )
NEW met3 ( 3371760 3612310 ) ( 3373920 3612310 0 )
NEW met1 ( 3272400 4336585 ) ( 3318960 4336585 )
NEW met2 ( 3318960 3612495 ) ( 3318960 4336585 )
NEW met1 ( 3272400 4336585 ) M1M2_PR
NEW met2 ( 3272400 4344170 ) via2_FR
NEW met1 ( 3318960 3612495 ) M1M2_PR
NEW met1 ( 3371760 3612495 ) M1M2_PR
NEW met2 ( 3371760 3612310 ) via2_FR
NEW met1 ( 3318960 4336585 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[13\] ( mprj io_out[13] ) ( gpio_control_in\[13\] user_gpio_out )
+ ROUTED met2 ( 3272400 4564875 ) ( 3272400 4578750 )
NEW met3 ( 3251040 4578750 0 ) ( 3272400 4578750 )
NEW met1 ( 3272400 4564875 ) ( 3305040 4564875 )
NEW met2 ( 3305040 3838935 ) ( 3305040 4564875 )
NEW met2 ( 3373680 3838750 ) ( 3373680 3838935 )
NEW met3 ( 3373680 3838750 ) ( 3373920 3838750 )
NEW met3 ( 3373920 3837270 0 ) ( 3373920 3838750 )
NEW met1 ( 3305040 3838935 ) ( 3373680 3838935 )
NEW met1 ( 3272400 4564875 ) M1M2_PR
NEW met2 ( 3272400 4578750 ) via2_FR
NEW met1 ( 3305040 3838935 ) M1M2_PR
NEW met1 ( 3305040 4564875 ) M1M2_PR
NEW met1 ( 3373680 3838935 ) M1M2_PR
NEW met2 ( 3373680 3838750 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[14\] ( mprj io_out[14] ) ( gpio_control_in\[14\] user_gpio_out )
+ ROUTED met3 ( 3251040 4813330 0 ) ( 3276240 4813330 )
NEW met2 ( 3276240 4724345 ) ( 3276240 4813330 )
NEW met2 ( 3373680 4724345 ) ( 3373680 4726750 )
NEW met3 ( 3373680 4726750 ) ( 3373920 4726750 )
NEW met3 ( 3373920 4726750 ) ( 3373920 4729710 0 )
NEW met1 ( 3276240 4724345 ) ( 3373680 4724345 )
NEW met2 ( 3276240 4813330 ) via2_FR
NEW met1 ( 3276240 4724345 ) M1M2_PR
NEW met1 ( 3373680 4724345 ) M1M2_PR
NEW met2 ( 3373680 4726750 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[15\] ( mprj io_out[15] ) ( gpio_control_in\[15\] user_gpio_out )
+ ROUTED met2 ( 2865840 4932285 ) ( 2865840 4979090 )
NEW met3 ( 2837280 4979090 0 ) ( 2865840 4979090 )
NEW met2 ( 3043920 4906570 0 ) ( 3043920 4932285 )
NEW met1 ( 2865840 4932285 ) ( 3043920 4932285 )
NEW met1 ( 2865840 4932285 ) M1M2_PR
NEW met2 ( 2865840 4979090 ) via2_FR
NEW met1 ( 3043920 4932285 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[16\] ( mprj io_out[16] ) ( gpio_control_in\[16\] user_gpio_out )
+ ROUTED met2 ( 2607120 4932285 ) ( 2607120 4979830 )
NEW met3 ( 2580480 4979830 0 ) ( 2607120 4979830 )
NEW met1 ( 2607120 4932285 ) ( 2718960 4932285 )
NEW met2 ( 2718960 4906570 0 ) ( 2718960 4932285 )
NEW met1 ( 2607120 4932285 ) M1M2_PR
NEW met2 ( 2607120 4979830 ) via2_FR
NEW met1 ( 2718960 4932285 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[17\] ( mprj io_out[17] ) ( gpio_control_in\[17\] user_gpio_out )
+ ROUTED met3 ( 2195520 5025710 0 ) ( 2225520 5025710 )
NEW met2 ( 2225520 4932285 ) ( 2225520 5025710 )
NEW met2 ( 2394960 4906570 0 ) ( 2394960 4932285 )
NEW met1 ( 2225520 4932285 ) ( 2394960 4932285 )
NEW met2 ( 2225520 5025710 ) via2_FR
NEW met1 ( 2225520 4932285 ) M1M2_PR
NEW met1 ( 2394960 4932285 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[18\] ( mprj io_out[18] ) ( gpio_control_in\[18\] user_gpio_out )
+ ROUTED met2 ( 2070480 4906570 0 ) ( 2070480 4932285 )
NEW met1 ( 1887600 4932285 ) ( 2070480 4932285 )
NEW met3 ( 1858560 4979830 0 ) ( 1887600 4979830 )
NEW met2 ( 1887600 4932285 ) ( 1887600 4979830 )
NEW met1 ( 2070480 4932285 ) M1M2_PR
NEW met1 ( 1887600 4932285 ) M1M2_PR
NEW met2 ( 1887600 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[19\] ( mprj io_out[19] ) ( gpio_control_in\[19\] user_gpio_out )
+ ROUTED met1 ( 1634160 4932285 ) ( 1745520 4932285 )
NEW met2 ( 1745520 4906570 0 ) ( 1745520 4932285 )
NEW met2 ( 1634160 4932285 ) ( 1634160 4979830 )
NEW met3 ( 1606560 4979830 0 ) ( 1634160 4979830 )
NEW met1 ( 1634160 4932285 ) M1M2_PR
NEW met1 ( 1745520 4932285 ) M1M2_PR
NEW met2 ( 1634160 4979830 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[1\] ( mprj io_out[1] ) ( gpio_control_bidir\[1\] user_gpio_out )
+ ROUTED met3 ( 3251040 1763050 0 ) ( 3276720 1763050 )
NEW met2 ( 3372240 921855 ) ( 3372240 922410 )
NEW met3 ( 3372240 922410 ) ( 3373920 922410 0 )
NEW met1 ( 3276720 921855 ) ( 3372240 921855 )
NEW met2 ( 3276720 921855 ) ( 3276720 1763050 )
NEW met1 ( 3276720 921855 ) M1M2_PR
NEW met2 ( 3276720 1763050 ) via2_FR
NEW met1 ( 3372240 921855 ) M1M2_PR
NEW met2 ( 3372240 922410 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[20\] ( mprj io_out[20] ) ( gpio_control_in\[20\] user_gpio_out )
+ ROUTED met2 ( 1421520 4906570 0 ) ( 1421520 4932285 )
NEW met2 ( 1368240 4932285 ) ( 1368240 4979090 )
NEW met3 ( 1348320 4979090 0 ) ( 1368240 4979090 )
NEW met1 ( 1368240 4932285 ) ( 1421520 4932285 )
NEW met1 ( 1421520 4932285 ) M1M2_PR
NEW met1 ( 1368240 4932285 ) M1M2_PR
NEW met2 ( 1368240 4979090 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[21\] ( mprj io_out[21] ) ( gpio_control_in\[21\] user_gpio_out )
+ ROUTED met3 ( 1091520 5025710 0 ) ( 1109520 5025710 )
NEW met2 ( 1097040 4906570 0 ) ( 1097040 4935615 )
NEW met1 ( 1097040 4935615 ) ( 1109520 4935615 )
NEW met2 ( 1109520 4935615 ) ( 1109520 5025710 )
NEW met2 ( 1109520 5025710 ) via2_FR
NEW met1 ( 1097040 4935615 ) M1M2_PR
NEW met1 ( 1109520 4935615 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[22\] ( mprj io_out[22] ) ( gpio_control_in\[22\] user_gpio_out )
+ ROUTED met1 ( 820080 4978535 ) ( 831120 4978535 )
NEW met2 ( 831120 4978535 ) ( 831120 4979090 )
NEW met3 ( 831120 4979090 ) ( 834720 4979090 0 )
NEW met2 ( 772560 4906570 0 ) ( 772560 4933765 )
NEW met1 ( 772560 4933765 ) ( 820080 4933765 )
NEW met2 ( 820080 4933765 ) ( 820080 4978535 )
NEW met1 ( 820080 4978535 ) M1M2_PR
NEW met1 ( 831120 4978535 ) M1M2_PR
NEW met2 ( 831120 4979090 ) via2_FR
NEW met1 ( 772560 4933765 ) M1M2_PR
NEW met1 ( 820080 4933765 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[23\] ( mprj io_out[23] ) ( gpio_control_in\[23\] user_gpio_out )
+ ROUTED met2 ( 576240 5027005 ) ( 576240 5027190 )
NEW met3 ( 576240 5027190 ) ( 577440 5027190 0 )
NEW met1 ( 468720 5027005 ) ( 576240 5027005 )
NEW met2 ( 448080 4906570 0 ) ( 448080 4929325 )
NEW met1 ( 448080 4929325 ) ( 468720 4929325 )
NEW met2 ( 468720 4929325 ) ( 468720 5027005 )
NEW met1 ( 576240 5027005 ) M1M2_PR
NEW met2 ( 576240 5027190 ) via2_FR
NEW met1 ( 468720 5027005 ) M1M2_PR
NEW met1 ( 448080 4929325 ) M1M2_PR
NEW met1 ( 468720 4929325 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[24\] ( mprj io_out[24] ) ( gpio_control_in\[24\] user_gpio_out )
+ ROUTED met3 ( 211680 4540270 0 ) ( 213360 4540270 )
NEW met2 ( 213360 4540270 ) ( 213360 4540455 )
NEW met1 ( 213360 4540455 ) ( 266160 4540455 )
NEW met2 ( 266160 4540455 ) ( 266160 4708805 )
NEW met2 ( 297840 4708805 ) ( 297840 4721570 )
NEW met3 ( 297840 4721570 ) ( 322080 4721570 0 )
NEW met1 ( 266160 4708805 ) ( 297840 4708805 )
NEW met2 ( 213360 4540270 ) via2_FR
NEW met1 ( 213360 4540455 ) M1M2_PR
NEW met1 ( 266160 4540455 ) M1M2_PR
NEW met1 ( 266160 4708805 ) M1M2_PR
NEW met1 ( 297840 4708805 ) M1M2_PR
NEW met2 ( 297840 4721570 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[25\] ( mprj io_out[25] ) ( gpio_control_in\[25\] user_gpio_out )
+ ROUTED met2 ( 252240 4119395 ) ( 252240 4420945 )
NEW met2 ( 297840 4420945 ) ( 297840 4433710 )
NEW met3 ( 297840 4433710 ) ( 322080 4433710 0 )
NEW met1 ( 252240 4420945 ) ( 297840 4420945 )
NEW met3 ( 211680 4118470 0 ) ( 211680 4119210 )
NEW met3 ( 211680 4119210 ) ( 211920 4119210 )
NEW met2 ( 211920 4119210 ) ( 211920 4119395 )
NEW met1 ( 211920 4119395 ) ( 252240 4119395 )
NEW met1 ( 252240 4420945 ) M1M2_PR
NEW met1 ( 252240 4119395 ) M1M2_PR
NEW met1 ( 297840 4420945 ) M1M2_PR
NEW met2 ( 297840 4433710 ) via2_FR
NEW met2 ( 211920 4119210 ) via2_FR
NEW met1 ( 211920 4119395 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[26\] ( mprj io_out[26] ) ( gpio_control_in\[26\] user_gpio_out )
+ ROUTED met3 ( 211680 3902390 0 ) ( 213840 3902390 )
NEW met2 ( 213840 3902205 ) ( 213840 3902390 )
NEW met1 ( 213840 3902205 ) ( 253200 3902205 )
NEW met2 ( 253200 3902205 ) ( 253200 4147515 )
NEW met2 ( 291120 4147330 ) ( 291120 4147515 )
NEW met3 ( 291120 4147330 ) ( 322080 4147330 0 )
NEW met1 ( 253200 4147515 ) ( 291120 4147515 )
NEW met2 ( 213840 3902390 ) via2_FR
NEW met1 ( 213840 3902205 ) M1M2_PR
NEW met1 ( 253200 3902205 ) M1M2_PR
NEW met1 ( 253200 4147515 ) M1M2_PR
NEW met1 ( 291120 4147515 ) M1M2_PR
NEW met2 ( 291120 4147330 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[27\] ( mprj io_out[27] ) ( gpio_control_in\[27\] user_gpio_out )
+ ROUTED met2 ( 267120 3686125 ) ( 267120 3859285 )
NEW met2 ( 297840 3859285 ) ( 297840 3859470 )
NEW met3 ( 297840 3859470 ) ( 322080 3859470 0 )
NEW met1 ( 267120 3859285 ) ( 297840 3859285 )
NEW met3 ( 211680 3686310 0 ) ( 213360 3686310 )
NEW met2 ( 213360 3686125 ) ( 213360 3686310 )
NEW met1 ( 213360 3686125 ) ( 267120 3686125 )
NEW met1 ( 267120 3686125 ) M1M2_PR
NEW met1 ( 267120 3859285 ) M1M2_PR
NEW met1 ( 297840 3859285 ) M1M2_PR
NEW met2 ( 297840 3859470 ) via2_FR
NEW met2 ( 213360 3686310 ) via2_FR
NEW met1 ( 213360 3686125 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[28\] ( mprj io_out[28] ) ( gpio_control_in\[28\] user_gpio_out )
+ ROUTED met2 ( 267120 3470045 ) ( 267120 3571425 )
NEW met2 ( 297840 3571425 ) ( 297840 3571610 )
NEW met3 ( 297840 3571610 ) ( 322080 3571610 0 )
NEW met1 ( 267120 3571425 ) ( 297840 3571425 )
NEW met3 ( 211680 3470230 0 ) ( 213360 3470230 )
NEW met2 ( 213360 3470045 ) ( 213360 3470230 )
NEW met1 ( 213360 3470045 ) ( 267120 3470045 )
NEW met1 ( 267120 3470045 ) M1M2_PR
NEW met1 ( 267120 3571425 ) M1M2_PR
NEW met1 ( 297840 3571425 ) M1M2_PR
NEW met2 ( 297840 3571610 ) via2_FR
NEW met2 ( 213360 3470230 ) via2_FR
NEW met1 ( 213360 3470045 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[29\] ( mprj io_out[29] ) ( gpio_control_in\[29\] user_gpio_out )
+ ROUTED met2 ( 297840 3283565 ) ( 297840 3284490 )
NEW met3 ( 297840 3284490 ) ( 322080 3284490 0 )
NEW met3 ( 211680 3254150 0 ) ( 214320 3254150 )
NEW met2 ( 214320 3254150 ) ( 214320 3283565 )
NEW met1 ( 214320 3283565 ) ( 297840 3283565 )
NEW met1 ( 297840 3283565 ) M1M2_PR
NEW met2 ( 297840 3284490 ) via2_FR
NEW met2 ( 214320 3254150 ) via2_FR
NEW met1 ( 214320 3283565 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[2\] ( mprj io_out[2] ) ( gpio_control_in\[2\] user_gpio_out )
+ ROUTED met3 ( 3251040 1997630 0 ) ( 3272400 1997630 )
NEW met2 ( 3272400 1987455 ) ( 3272400 1997630 )
NEW met1 ( 3272400 1987455 ) ( 3319920 1987455 )
NEW met1 ( 3319920 1147555 ) ( 3372240 1147555 )
NEW met2 ( 3372240 1147370 ) ( 3372240 1147555 )
NEW met3 ( 3372240 1147370 ) ( 3373920 1147370 0 )
NEW met2 ( 3319920 1147555 ) ( 3319920 1987455 )
NEW met2 ( 3272400 1997630 ) via2_FR
NEW met1 ( 3272400 1987455 ) M1M2_PR
NEW met1 ( 3319920 1987455 ) M1M2_PR
NEW met1 ( 3319920 1147555 ) M1M2_PR
NEW met1 ( 3372240 1147555 ) M1M2_PR
NEW met2 ( 3372240 1147370 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[30\] ( mprj io_out[30] ) ( gpio_control_in\[30\] user_gpio_out )
+ ROUTED met2 ( 259440 3009395 ) ( 259440 3038625 )
NEW met2 ( 297840 2997370 ) ( 297840 3009395 )
NEW met3 ( 297840 2997370 ) ( 322080 2997370 0 )
NEW met1 ( 259440 3009395 ) ( 297840 3009395 )
NEW met3 ( 211680 3038440 0 ) ( 213840 3038440 )
NEW met2 ( 213840 3038440 ) ( 213840 3038625 )
NEW met1 ( 213840 3038625 ) ( 259440 3038625 )
NEW met1 ( 259440 3038625 ) M1M2_PR
NEW met1 ( 259440 3009395 ) M1M2_PR
NEW met1 ( 297840 3009395 ) M1M2_PR
NEW met2 ( 297840 2997370 ) via2_FR
NEW met2 ( 213840 3038440 ) via2_FR
NEW met1 ( 213840 3038625 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[31\] ( mprj io_out[31] ) ( gpio_control_in\[31\] user_gpio_out )
+ ROUTED met2 ( 267120 2793315 ) ( 267120 2822545 )
NEW met3 ( 172320 2822360 0 ) ( 172320 2823470 )
NEW met3 ( 172320 2823470 ) ( 172560 2823470 )
NEW met2 ( 172560 2822545 ) ( 172560 2823470 )
NEW met1 ( 172560 2822545 ) ( 267120 2822545 )
NEW met2 ( 297840 2782770 ) ( 297840 2793315 )
NEW met3 ( 297840 2782770 ) ( 322080 2782770 0 )
NEW met1 ( 267120 2793315 ) ( 297840 2793315 )
NEW met1 ( 267120 2822545 ) M1M2_PR
NEW met1 ( 267120 2793315 ) M1M2_PR
NEW met2 ( 172560 2823470 ) via2_FR
NEW met1 ( 172560 2822545 ) M1M2_PR
NEW met1 ( 297840 2793315 ) M1M2_PR
NEW met2 ( 297840 2782770 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[32\] ( mprj io_out[32] ) ( gpio_control_in\[32\] user_gpio_out )
+ ROUTED met2 ( 253200 2184665 ) ( 253200 2563545 )
NEW met2 ( 297840 2563545 ) ( 297840 2566690 )
NEW met3 ( 297840 2566690 ) ( 322080 2566690 0 )
NEW met1 ( 253200 2563545 ) ( 297840 2563545 )
NEW met3 ( 211680 2184480 0 ) ( 213360 2184480 )
NEW met2 ( 213360 2184480 ) ( 213360 2184665 )
NEW met1 ( 213360 2184665 ) ( 253200 2184665 )
NEW met1 ( 253200 2184665 ) M1M2_PR
NEW met1 ( 253200 2563545 ) M1M2_PR
NEW met1 ( 297840 2563545 ) M1M2_PR
NEW met2 ( 297840 2566690 ) via2_FR
NEW met2 ( 213360 2184480 ) via2_FR
NEW met1 ( 213360 2184665 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[33\] ( mprj io_out[33] ) ( gpio_control_in\[33\] user_gpio_out )
+ ROUTED met2 ( 252720 1969695 ) ( 252720 2347465 )
NEW met2 ( 297840 2347465 ) ( 297840 2351350 )
NEW met3 ( 297840 2351350 ) ( 322080 2351350 0 )
NEW met1 ( 252720 2347465 ) ( 297840 2347465 )
NEW met3 ( 211680 1968400 0 ) ( 211680 1969510 )
NEW met3 ( 211680 1969510 ) ( 211920 1969510 )
NEW met2 ( 211920 1969510 ) ( 211920 1969695 )
NEW met1 ( 211920 1969695 ) ( 252720 1969695 )
NEW met1 ( 252720 1969695 ) M1M2_PR
NEW met1 ( 252720 2347465 ) M1M2_PR
NEW met1 ( 297840 2347465 ) M1M2_PR
NEW met2 ( 297840 2351350 ) via2_FR
NEW met2 ( 211920 1969510 ) via2_FR
NEW met1 ( 211920 1969695 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[34\] ( mprj io_out[34] ) ( gpio_control_in\[34\] user_gpio_out )
+ ROUTED met2 ( 267120 1753615 ) ( 267120 2131385 )
NEW met2 ( 296880 2131385 ) ( 296880 2135270 )
NEW met3 ( 296880 2135270 ) ( 322080 2135270 0 )
NEW met1 ( 267120 2131385 ) ( 296880 2131385 )
NEW met3 ( 211680 1752690 0 ) ( 211680 1753430 )
NEW met3 ( 211680 1753430 ) ( 211920 1753430 )
NEW met2 ( 211920 1753430 ) ( 211920 1753615 )
NEW met1 ( 211920 1753615 ) ( 267120 1753615 )
NEW met1 ( 267120 1753615 ) M1M2_PR
NEW met1 ( 267120 2131385 ) M1M2_PR
NEW met1 ( 296880 2131385 ) M1M2_PR
NEW met2 ( 296880 2135270 ) via2_FR
NEW met2 ( 211920 1753430 ) via2_FR
NEW met1 ( 211920 1753615 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[35\] ( mprj io_out[35] ) ( gpio_control_in\[35\] user_gpio_out )
+ ROUTED met2 ( 297840 1915305 ) ( 297840 1919930 )
NEW met3 ( 297840 1919930 ) ( 322080 1919930 0 )
NEW met3 ( 211680 1536610 0 ) ( 211680 1537350 )
NEW met3 ( 211440 1537350 ) ( 211680 1537350 )
NEW met2 ( 211440 1537350 ) ( 211440 1537535 )
NEW met1 ( 211440 1537535 ) ( 228720 1537535 )
NEW met2 ( 228720 1537535 ) ( 228720 1915305 )
NEW met1 ( 228720 1915305 ) ( 297840 1915305 )
NEW met1 ( 297840 1915305 ) M1M2_PR
NEW met2 ( 297840 1919930 ) via2_FR
NEW met2 ( 211440 1537350 ) via2_FR
NEW met1 ( 211440 1537535 ) M1M2_PR
NEW met1 ( 228720 1537535 ) M1M2_PR
NEW met1 ( 228720 1915305 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[36\] ( mprj io_out[36] ) ( gpio_control_in\[36\] user_gpio_out )
+ ROUTED met2 ( 297840 1699225 ) ( 297840 1704590 )
NEW met3 ( 297840 1704590 ) ( 322080 1704590 0 )
NEW met1 ( 266640 1699225 ) ( 297840 1699225 )
NEW met3 ( 211680 1320530 0 ) ( 211680 1321270 )
NEW met3 ( 211440 1321270 ) ( 211680 1321270 )
NEW met2 ( 211440 1321270 ) ( 211440 1321455 )
NEW met1 ( 211440 1321455 ) ( 266640 1321455 )
NEW met2 ( 266640 1321455 ) ( 266640 1699225 )
NEW met1 ( 266640 1699225 ) M1M2_PR
NEW met1 ( 297840 1699225 ) M1M2_PR
NEW met2 ( 297840 1704590 ) via2_FR
NEW met2 ( 211440 1321270 ) via2_FR
NEW met1 ( 211440 1321455 ) M1M2_PR
NEW met1 ( 266640 1321455 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[37\] ( mprj io_out[37] ) ( gpio_control_in\[37\] user_gpio_out )
+ ROUTED met2 ( 297840 1483515 ) ( 297840 1488510 )
NEW met3 ( 297840 1488510 ) ( 322080 1488510 0 )
NEW met3 ( 211680 1104450 0 ) ( 211680 1105190 )
NEW met3 ( 211680 1105190 ) ( 211920 1105190 )
NEW met2 ( 211920 1105190 ) ( 211920 1105375 )
NEW met1 ( 211920 1105375 ) ( 228720 1105375 )
NEW met2 ( 228720 1105375 ) ( 228720 1483515 )
NEW met1 ( 228720 1483515 ) ( 297840 1483515 )
NEW met1 ( 297840 1483515 ) M1M2_PR
NEW met2 ( 297840 1488510 ) via2_FR
NEW met2 ( 211920 1105190 ) via2_FR
NEW met1 ( 211920 1105375 ) M1M2_PR
NEW met1 ( 228720 1105375 ) M1M2_PR
NEW met1 ( 228720 1483515 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[3\] ( mprj io_out[3] ) ( gpio_control_in\[3\] user_gpio_out )
+ ROUTED met2 ( 3272400 2232025 ) ( 3272400 2232210 )
NEW met3 ( 3251040 2232210 0 ) ( 3272400 2232210 )
NEW met1 ( 3272400 2232025 ) ( 3348720 2232025 )
NEW met2 ( 3373680 1374550 ) ( 3373680 1374735 )
NEW met3 ( 3373680 1374550 ) ( 3373920 1374550 )
NEW met3 ( 3373920 1373440 0 ) ( 3373920 1374550 )
NEW met2 ( 3348720 1374735 ) ( 3348720 2232025 )
NEW met1 ( 3348720 1374735 ) ( 3373680 1374735 )
NEW met1 ( 3272400 2232025 ) M1M2_PR
NEW met2 ( 3272400 2232210 ) via2_FR
NEW met1 ( 3348720 2232025 ) M1M2_PR
NEW met1 ( 3373680 1374735 ) M1M2_PR
NEW met2 ( 3373680 1374550 ) via2_FR
NEW met1 ( 3348720 1374735 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[4\] ( mprj io_out[4] ) ( gpio_control_in\[4\] user_gpio_out )
+ ROUTED met2 ( 3272400 2462535 ) ( 3272400 2466790 )
NEW met3 ( 3251040 2466790 0 ) ( 3272400 2466790 )
NEW met1 ( 3319440 1599695 ) ( 3373680 1599695 )
NEW met2 ( 3373680 1599510 ) ( 3373680 1599695 )
NEW met3 ( 3373680 1599510 ) ( 3373920 1599510 )
NEW met3 ( 3373920 1598400 0 ) ( 3373920 1599510 )
NEW met1 ( 3272400 2462535 ) ( 3319440 2462535 )
NEW met2 ( 3319440 1599695 ) ( 3319440 2462535 )
NEW met1 ( 3272400 2462535 ) M1M2_PR
NEW met2 ( 3272400 2466790 ) via2_FR
NEW met1 ( 3319440 1599695 ) M1M2_PR
NEW met1 ( 3373680 1599695 ) M1M2_PR
NEW met2 ( 3373680 1599510 ) via2_FR
NEW met1 ( 3319440 2462535 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[5\] ( mprj io_out[5] ) ( gpio_control_in\[5\] user_gpio_out )
+ ROUTED met3 ( 3251040 2701370 0 ) ( 3275760 2701370 )
NEW met2 ( 3275760 1815405 ) ( 3275760 2701370 )
NEW met2 ( 3373680 1815405 ) ( 3373680 1822250 )
NEW met3 ( 3373680 1822250 ) ( 3373920 1822250 )
NEW met3 ( 3373920 1822250 ) ( 3373920 1823360 0 )
NEW met1 ( 3275760 1815405 ) ( 3373680 1815405 )
NEW met1 ( 3275760 1815405 ) M1M2_PR
NEW met2 ( 3275760 2701370 ) via2_FR
NEW met1 ( 3373680 1815405 ) M1M2_PR
NEW met2 ( 3373680 1822250 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[6\] ( mprj io_out[6] ) ( gpio_control_in\[6\] user_gpio_out )
+ ROUTED met2 ( 3272400 2925405 ) ( 3272400 2935950 )
NEW met3 ( 3251040 2935950 0 ) ( 3272400 2935950 )
NEW met1 ( 3306000 2049615 ) ( 3372240 2049615 )
NEW met2 ( 3372240 2049430 ) ( 3372240 2049615 )
NEW met3 ( 3372240 2049430 ) ( 3373920 2049430 0 )
NEW met1 ( 3272400 2925405 ) ( 3306000 2925405 )
NEW met2 ( 3306000 2049615 ) ( 3306000 2925405 )
NEW met1 ( 3272400 2925405 ) M1M2_PR
NEW met2 ( 3272400 2935950 ) via2_FR
NEW met1 ( 3306000 2049615 ) M1M2_PR
NEW met1 ( 3372240 2049615 ) M1M2_PR
NEW met2 ( 3372240 2049430 ) via2_FR
NEW met1 ( 3306000 2925405 ) M1M2_PR
+ USE SIGNAL ;
- user_io_out\[7\] ( mprj io_out[7] ) ( gpio_control_in\[7\] user_gpio_out )
+ ROUTED met3 ( 3251040 3171270 0 ) ( 3276240 3171270 )
NEW met2 ( 3276240 2477705 ) ( 3276240 3171270 )
NEW met2 ( 3373680 2477705 ) ( 3373680 2488990 )
NEW met3 ( 3373680 2488990 ) ( 3373920 2488990 )
NEW met3 ( 3373920 2488990 ) ( 3373920 2490470 0 )
NEW met1 ( 3276240 2477705 ) ( 3373680 2477705 )
NEW met1 ( 3276240 2477705 ) M1M2_PR
NEW met2 ( 3276240 3171270 ) via2_FR
NEW met1 ( 3373680 2477705 ) M1M2_PR
NEW met2 ( 3373680 2488990 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[8\] ( mprj io_out[8] ) ( gpio_control_in\[8\] user_gpio_out )
+ ROUTED met3 ( 3251040 3405850 0 ) ( 3276720 3405850 )
NEW met2 ( 3276720 2707475 ) ( 3276720 3405850 )
NEW met2 ( 3373680 2707475 ) ( 3373680 2708030 )
NEW met3 ( 3373680 2708030 ) ( 3373920 2708030 )
NEW met3 ( 3373920 2708030 ) ( 3373920 2710250 0 )
NEW met1 ( 3276720 2707475 ) ( 3373680 2707475 )
NEW met2 ( 3276720 3405850 ) via2_FR
NEW met1 ( 3276720 2707475 ) M1M2_PR
NEW met1 ( 3373680 2707475 ) M1M2_PR
NEW met2 ( 3373680 2708030 ) via2_FR
+ USE SIGNAL ;
- user_io_out\[9\] ( mprj io_out[9] ) ( gpio_control_in\[9\] user_gpio_out )
+ ROUTED met3 ( 3251040 3640430 0 ) ( 3275760 3640430 )
NEW met2 ( 3275760 2923925 ) ( 3275760 3640430 )
NEW met2 ( 3373680 2923925 ) ( 3373680 2932250 )
NEW met3 ( 3373680 2932250 ) ( 3373920 2932250 )
NEW met3 ( 3373920 2932250 ) ( 3373920 2935210 0 )
NEW met1 ( 3275760 2923925 ) ( 3373680 2923925 )
NEW met2 ( 3275760 3640430 ) via2_FR
NEW met1 ( 3275760 2923925 ) M1M2_PR
NEW met1 ( 3373680 2923925 ) M1M2_PR
NEW met2 ( 3373680 2932250 ) via2_FR
+ USE SIGNAL ;
END NETS
END DESIGN