blob: 9e0aea567f6b9e6b85aba9af9cb1e488c46cac4d [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_project_wrapper ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2920000 3520000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1 unithd 5520 13600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_2 unithd 5520 16320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_3 unithd 5520 19040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_4 unithd 5520 21760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_5 unithd 5520 24480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_6 unithd 5520 27200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_7 unithd 5520 29920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_8 unithd 5520 32640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_9 unithd 5520 35360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_10 unithd 5520 38080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_11 unithd 5520 40800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_12 unithd 5520 43520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_13 unithd 5520 46240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_14 unithd 5520 48960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_15 unithd 5520 51680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_16 unithd 5520 54400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_17 unithd 5520 57120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_18 unithd 5520 59840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_19 unithd 5520 62560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_20 unithd 5520 65280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_21 unithd 5520 68000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_22 unithd 5520 70720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_23 unithd 5520 73440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_24 unithd 5520 76160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_25 unithd 5520 78880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_26 unithd 5520 81600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_27 unithd 5520 84320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_28 unithd 5520 87040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_29 unithd 5520 89760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_30 unithd 5520 92480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_31 unithd 5520 95200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_32 unithd 5520 97920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_33 unithd 5520 100640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_34 unithd 5520 103360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_35 unithd 5520 106080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_36 unithd 5520 108800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_37 unithd 5520 111520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_38 unithd 5520 114240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_39 unithd 5520 116960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_40 unithd 5520 119680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_41 unithd 5520 122400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_42 unithd 5520 125120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_43 unithd 5520 127840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_44 unithd 5520 130560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_45 unithd 5520 133280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_46 unithd 5520 136000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_47 unithd 5520 138720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_48 unithd 5520 141440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_49 unithd 5520 144160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_50 unithd 5520 146880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_51 unithd 5520 149600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_52 unithd 5520 152320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_53 unithd 5520 155040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_54 unithd 5520 157760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_55 unithd 5520 160480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_56 unithd 5520 163200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_57 unithd 5520 165920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_58 unithd 5520 168640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_59 unithd 5520 171360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_60 unithd 5520 174080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_61 unithd 5520 176800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_62 unithd 5520 179520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_63 unithd 5520 182240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_64 unithd 5520 184960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_65 unithd 5520 187680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_66 unithd 5520 190400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_67 unithd 5520 193120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_68 unithd 5520 195840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_69 unithd 5520 198560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_70 unithd 5520 201280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_71 unithd 5520 204000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_72 unithd 5520 206720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_73 unithd 5520 209440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_74 unithd 5520 212160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_75 unithd 5520 214880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_76 unithd 5520 217600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_77 unithd 5520 220320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_78 unithd 5520 223040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_79 unithd 5520 225760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_80 unithd 5520 228480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_81 unithd 5520 231200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_82 unithd 5520 233920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_83 unithd 5520 236640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_84 unithd 5520 239360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_85 unithd 5520 242080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_86 unithd 5520 244800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_87 unithd 5520 247520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_88 unithd 5520 250240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_89 unithd 5520 252960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_90 unithd 5520 255680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_91 unithd 5520 258400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_92 unithd 5520 261120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_93 unithd 5520 263840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_94 unithd 5520 266560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_95 unithd 5520 269280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_96 unithd 5520 272000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_97 unithd 5520 274720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_98 unithd 5520 277440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_99 unithd 5520 280160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_100 unithd 5520 282880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_101 unithd 5520 285600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_102 unithd 5520 288320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_103 unithd 5520 291040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_104 unithd 5520 293760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_105 unithd 5520 296480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_106 unithd 5520 299200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_107 unithd 5520 301920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_108 unithd 5520 304640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_109 unithd 5520 307360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_110 unithd 5520 310080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_111 unithd 5520 312800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_112 unithd 5520 315520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_113 unithd 5520 318240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_114 unithd 5520 320960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_115 unithd 5520 323680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_116 unithd 5520 326400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_117 unithd 5520 329120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_118 unithd 5520 331840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_119 unithd 5520 334560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_120 unithd 5520 337280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_121 unithd 5520 340000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_122 unithd 5520 342720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_123 unithd 5520 345440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_124 unithd 5520 348160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_125 unithd 5520 350880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_126 unithd 5520 353600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_127 unithd 5520 356320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_128 unithd 5520 359040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_129 unithd 5520 361760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_130 unithd 5520 364480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_131 unithd 5520 367200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_132 unithd 5520 369920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_133 unithd 5520 372640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_134 unithd 5520 375360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_135 unithd 5520 378080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_136 unithd 5520 380800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_137 unithd 5520 383520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_138 unithd 5520 386240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_139 unithd 5520 388960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_140 unithd 5520 391680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_141 unithd 5520 394400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_142 unithd 5520 397120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_143 unithd 5520 399840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_144 unithd 5520 402560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_145 unithd 5520 405280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_146 unithd 5520 408000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_147 unithd 5520 410720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_148 unithd 5520 413440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_149 unithd 5520 416160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_150 unithd 5520 418880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_151 unithd 5520 421600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_152 unithd 5520 424320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_153 unithd 5520 427040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_154 unithd 5520 429760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_155 unithd 5520 432480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_156 unithd 5520 435200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_157 unithd 5520 437920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_158 unithd 5520 440640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_159 unithd 5520 443360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_160 unithd 5520 446080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_161 unithd 5520 448800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_162 unithd 5520 451520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_163 unithd 5520 454240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_164 unithd 5520 456960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_165 unithd 5520 459680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_166 unithd 5520 462400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_167 unithd 5520 465120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_168 unithd 5520 467840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_169 unithd 5520 470560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_170 unithd 5520 473280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_171 unithd 5520 476000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_172 unithd 5520 478720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_173 unithd 5520 481440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_174 unithd 5520 484160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_175 unithd 5520 486880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_176 unithd 5520 489600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_177 unithd 5520 492320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_178 unithd 5520 495040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_179 unithd 5520 497760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_180 unithd 5520 500480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_181 unithd 5520 503200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_182 unithd 5520 505920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_183 unithd 5520 508640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_184 unithd 5520 511360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_185 unithd 5520 514080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_186 unithd 5520 516800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_187 unithd 5520 519520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_188 unithd 5520 522240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_189 unithd 5520 524960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_190 unithd 5520 527680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_191 unithd 5520 530400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_192 unithd 5520 533120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_193 unithd 5520 535840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_194 unithd 5520 538560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_195 unithd 5520 541280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_196 unithd 5520 544000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_197 unithd 5520 546720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_198 unithd 5520 549440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_199 unithd 5520 552160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_200 unithd 5520 554880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_201 unithd 5520 557600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_202 unithd 5520 560320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_203 unithd 5520 563040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_204 unithd 5520 565760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_205 unithd 5520 568480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_206 unithd 5520 571200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_207 unithd 5520 573920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_208 unithd 5520 576640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_209 unithd 5520 579360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_210 unithd 5520 582080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_211 unithd 5520 584800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_212 unithd 5520 587520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_213 unithd 5520 590240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_214 unithd 5520 592960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_215 unithd 5520 595680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_216 unithd 5520 598400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_217 unithd 5520 601120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_218 unithd 5520 603840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_219 unithd 5520 606560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_220 unithd 5520 609280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_221 unithd 5520 612000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_222 unithd 5520 614720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_223 unithd 5520 617440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_224 unithd 5520 620160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_225 unithd 5520 622880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_226 unithd 5520 625600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_227 unithd 5520 628320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_228 unithd 5520 631040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_229 unithd 5520 633760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_230 unithd 5520 636480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_231 unithd 5520 639200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_232 unithd 5520 641920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_233 unithd 5520 644640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_234 unithd 5520 647360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_235 unithd 5520 650080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_236 unithd 5520 652800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_237 unithd 5520 655520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_238 unithd 5520 658240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_239 unithd 5520 660960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_240 unithd 5520 663680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_241 unithd 5520 666400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_242 unithd 5520 669120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_243 unithd 5520 671840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_244 unithd 5520 674560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_245 unithd 5520 677280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_246 unithd 5520 680000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_247 unithd 5520 682720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_248 unithd 5520 685440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_249 unithd 5520 688160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_250 unithd 5520 690880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_251 unithd 5520 693600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_252 unithd 5520 696320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_253 unithd 5520 699040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_254 unithd 5520 701760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_255 unithd 5520 704480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_256 unithd 5520 707200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_257 unithd 5520 709920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_258 unithd 5520 712640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_259 unithd 5520 715360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_260 unithd 5520 718080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_261 unithd 5520 720800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_262 unithd 5520 723520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_263 unithd 5520 726240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_264 unithd 5520 728960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_265 unithd 5520 731680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_266 unithd 5520 734400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_267 unithd 5520 737120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_268 unithd 5520 739840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_269 unithd 5520 742560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_270 unithd 5520 745280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_271 unithd 5520 748000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_272 unithd 5520 750720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_273 unithd 5520 753440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_274 unithd 5520 756160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_275 unithd 5520 758880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_276 unithd 5520 761600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_277 unithd 5520 764320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_278 unithd 5520 767040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_279 unithd 5520 769760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_280 unithd 5520 772480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_281 unithd 5520 775200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_282 unithd 5520 777920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_283 unithd 5520 780640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_284 unithd 5520 783360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_285 unithd 5520 786080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_286 unithd 5520 788800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_287 unithd 5520 791520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_288 unithd 5520 794240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_289 unithd 5520 796960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_290 unithd 5520 799680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_291 unithd 5520 802400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_292 unithd 5520 805120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_293 unithd 5520 807840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_294 unithd 5520 810560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_295 unithd 5520 813280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_296 unithd 5520 816000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_297 unithd 5520 818720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_298 unithd 5520 821440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_299 unithd 5520 824160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_300 unithd 5520 826880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_301 unithd 5520 829600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_302 unithd 5520 832320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_303 unithd 5520 835040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_304 unithd 5520 837760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_305 unithd 5520 840480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_306 unithd 5520 843200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_307 unithd 5520 845920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_308 unithd 5520 848640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_309 unithd 5520 851360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_310 unithd 5520 854080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_311 unithd 5520 856800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_312 unithd 5520 859520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_313 unithd 5520 862240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_314 unithd 5520 864960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_315 unithd 5520 867680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_316 unithd 5520 870400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_317 unithd 5520 873120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_318 unithd 5520 875840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_319 unithd 5520 878560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_320 unithd 5520 881280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_321 unithd 5520 884000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_322 unithd 5520 886720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_323 unithd 5520 889440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_324 unithd 5520 892160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_325 unithd 5520 894880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_326 unithd 5520 897600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_327 unithd 5520 900320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_328 unithd 5520 903040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_329 unithd 5520 905760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_330 unithd 5520 908480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_331 unithd 5520 911200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_332 unithd 5520 913920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_333 unithd 5520 916640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_334 unithd 5520 919360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_335 unithd 5520 922080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_336 unithd 5520 924800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_337 unithd 5520 927520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_338 unithd 5520 930240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_339 unithd 5520 932960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_340 unithd 5520 935680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_341 unithd 5520 938400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_342 unithd 5520 941120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_343 unithd 5520 943840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_344 unithd 5520 946560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_345 unithd 5520 949280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_346 unithd 5520 952000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_347 unithd 5520 954720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_348 unithd 5520 957440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_349 unithd 5520 960160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_350 unithd 5520 962880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_351 unithd 5520 965600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_352 unithd 5520 968320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_353 unithd 5520 971040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_354 unithd 5520 973760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_355 unithd 5520 976480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_356 unithd 5520 979200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_357 unithd 5520 981920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_358 unithd 5520 984640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_359 unithd 5520 987360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_360 unithd 5520 990080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_361 unithd 5520 992800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_362 unithd 5520 995520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_363 unithd 5520 998240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_364 unithd 5520 1000960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_365 unithd 5520 1003680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_366 unithd 5520 1006400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_367 unithd 5520 1009120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_368 unithd 5520 1011840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_369 unithd 5520 1014560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_370 unithd 5520 1017280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_371 unithd 5520 1020000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_372 unithd 5520 1022720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_373 unithd 5520 1025440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_374 unithd 5520 1028160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_375 unithd 5520 1030880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_376 unithd 5520 1033600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_377 unithd 5520 1036320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_378 unithd 5520 1039040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_379 unithd 5520 1041760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_380 unithd 5520 1044480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_381 unithd 5520 1047200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_382 unithd 5520 1049920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_383 unithd 5520 1052640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_384 unithd 5520 1055360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_385 unithd 5520 1058080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_386 unithd 5520 1060800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_387 unithd 5520 1063520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_388 unithd 5520 1066240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_389 unithd 5520 1068960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_390 unithd 5520 1071680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_391 unithd 5520 1074400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_392 unithd 5520 1077120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_393 unithd 5520 1079840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_394 unithd 5520 1082560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_395 unithd 5520 1085280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_396 unithd 5520 1088000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_397 unithd 5520 1090720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_398 unithd 5520 1093440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_399 unithd 5520 1096160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_400 unithd 5520 1098880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_401 unithd 5520 1101600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_402 unithd 5520 1104320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_403 unithd 5520 1107040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_404 unithd 5520 1109760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_405 unithd 5520 1112480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_406 unithd 5520 1115200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_407 unithd 5520 1117920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_408 unithd 5520 1120640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_409 unithd 5520 1123360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_410 unithd 5520 1126080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_411 unithd 5520 1128800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_412 unithd 5520 1131520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_413 unithd 5520 1134240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_414 unithd 5520 1136960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_415 unithd 5520 1139680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_416 unithd 5520 1142400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_417 unithd 5520 1145120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_418 unithd 5520 1147840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_419 unithd 5520 1150560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_420 unithd 5520 1153280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_421 unithd 5520 1156000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_422 unithd 5520 1158720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_423 unithd 5520 1161440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_424 unithd 5520 1164160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_425 unithd 5520 1166880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_426 unithd 5520 1169600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_427 unithd 5520 1172320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_428 unithd 5520 1175040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_429 unithd 5520 1177760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_430 unithd 5520 1180480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_431 unithd 5520 1183200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_432 unithd 5520 1185920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_433 unithd 5520 1188640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_434 unithd 5520 1191360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_435 unithd 5520 1194080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_436 unithd 5520 1196800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_437 unithd 5520 1199520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_438 unithd 5520 1202240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_439 unithd 5520 1204960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_440 unithd 5520 1207680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_441 unithd 5520 1210400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_442 unithd 5520 1213120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_443 unithd 5520 1215840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_444 unithd 5520 1218560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_445 unithd 5520 1221280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_446 unithd 5520 1224000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_447 unithd 5520 1226720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_448 unithd 5520 1229440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_449 unithd 5520 1232160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_450 unithd 5520 1234880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_451 unithd 5520 1237600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_452 unithd 5520 1240320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_453 unithd 5520 1243040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_454 unithd 5520 1245760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_455 unithd 5520 1248480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_456 unithd 5520 1251200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_457 unithd 5520 1253920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_458 unithd 5520 1256640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_459 unithd 5520 1259360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_460 unithd 5520 1262080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_461 unithd 5520 1264800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_462 unithd 5520 1267520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_463 unithd 5520 1270240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_464 unithd 5520 1272960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_465 unithd 5520 1275680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_466 unithd 5520 1278400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_467 unithd 5520 1281120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_468 unithd 5520 1283840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_469 unithd 5520 1286560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_470 unithd 5520 1289280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_471 unithd 5520 1292000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_472 unithd 5520 1294720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_473 unithd 5520 1297440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_474 unithd 5520 1300160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_475 unithd 5520 1302880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_476 unithd 5520 1305600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_477 unithd 5520 1308320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_478 unithd 5520 1311040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_479 unithd 5520 1313760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_480 unithd 5520 1316480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_481 unithd 5520 1319200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_482 unithd 5520 1321920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_483 unithd 5520 1324640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_484 unithd 5520 1327360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_485 unithd 5520 1330080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_486 unithd 5520 1332800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_487 unithd 5520 1335520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_488 unithd 5520 1338240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_489 unithd 5520 1340960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_490 unithd 5520 1343680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_491 unithd 5520 1346400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_492 unithd 5520 1349120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_493 unithd 5520 1351840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_494 unithd 5520 1354560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_495 unithd 5520 1357280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_496 unithd 5520 1360000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_497 unithd 5520 1362720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_498 unithd 5520 1365440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_499 unithd 5520 1368160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_500 unithd 5520 1370880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_501 unithd 5520 1373600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_502 unithd 5520 1376320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_503 unithd 5520 1379040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_504 unithd 5520 1381760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_505 unithd 5520 1384480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_506 unithd 5520 1387200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_507 unithd 5520 1389920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_508 unithd 5520 1392640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_509 unithd 5520 1395360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_510 unithd 5520 1398080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_511 unithd 5520 1400800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_512 unithd 5520 1403520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_513 unithd 5520 1406240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_514 unithd 5520 1408960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_515 unithd 5520 1411680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_516 unithd 5520 1414400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_517 unithd 5520 1417120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_518 unithd 5520 1419840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_519 unithd 5520 1422560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_520 unithd 5520 1425280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_521 unithd 5520 1428000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_522 unithd 5520 1430720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_523 unithd 5520 1433440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_524 unithd 5520 1436160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_525 unithd 5520 1438880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_526 unithd 5520 1441600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_527 unithd 5520 1444320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_528 unithd 5520 1447040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_529 unithd 5520 1449760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_530 unithd 5520 1452480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_531 unithd 5520 1455200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_532 unithd 5520 1457920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_533 unithd 5520 1460640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_534 unithd 5520 1463360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_535 unithd 5520 1466080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_536 unithd 5520 1468800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_537 unithd 5520 1471520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_538 unithd 5520 1474240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_539 unithd 5520 1476960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_540 unithd 5520 1479680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_541 unithd 5520 1482400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_542 unithd 5520 1485120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_543 unithd 5520 1487840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_544 unithd 5520 1490560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_545 unithd 5520 1493280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_546 unithd 5520 1496000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_547 unithd 5520 1498720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_548 unithd 5520 1501440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_549 unithd 5520 1504160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_550 unithd 5520 1506880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_551 unithd 5520 1509600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_552 unithd 5520 1512320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_553 unithd 5520 1515040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_554 unithd 5520 1517760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_555 unithd 5520 1520480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_556 unithd 5520 1523200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_557 unithd 5520 1525920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_558 unithd 5520 1528640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_559 unithd 5520 1531360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_560 unithd 5520 1534080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_561 unithd 5520 1536800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_562 unithd 5520 1539520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_563 unithd 5520 1542240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_564 unithd 5520 1544960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_565 unithd 5520 1547680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_566 unithd 5520 1550400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_567 unithd 5520 1553120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_568 unithd 5520 1555840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_569 unithd 5520 1558560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_570 unithd 5520 1561280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_571 unithd 5520 1564000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_572 unithd 5520 1566720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_573 unithd 5520 1569440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_574 unithd 5520 1572160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_575 unithd 5520 1574880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_576 unithd 5520 1577600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_577 unithd 5520 1580320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_578 unithd 5520 1583040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_579 unithd 5520 1585760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_580 unithd 5520 1588480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_581 unithd 5520 1591200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_582 unithd 5520 1593920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_583 unithd 5520 1596640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_584 unithd 5520 1599360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_585 unithd 5520 1602080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_586 unithd 5520 1604800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_587 unithd 5520 1607520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_588 unithd 5520 1610240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_589 unithd 5520 1612960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_590 unithd 5520 1615680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_591 unithd 5520 1618400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_592 unithd 5520 1621120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_593 unithd 5520 1623840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_594 unithd 5520 1626560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_595 unithd 5520 1629280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_596 unithd 5520 1632000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_597 unithd 5520 1634720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_598 unithd 5520 1637440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_599 unithd 5520 1640160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_600 unithd 5520 1642880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_601 unithd 5520 1645600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_602 unithd 5520 1648320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_603 unithd 5520 1651040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_604 unithd 5520 1653760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_605 unithd 5520 1656480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_606 unithd 5520 1659200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_607 unithd 5520 1661920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_608 unithd 5520 1664640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_609 unithd 5520 1667360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_610 unithd 5520 1670080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_611 unithd 5520 1672800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_612 unithd 5520 1675520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_613 unithd 5520 1678240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_614 unithd 5520 1680960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_615 unithd 5520 1683680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_616 unithd 5520 1686400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_617 unithd 5520 1689120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_618 unithd 5520 1691840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_619 unithd 5520 1694560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_620 unithd 5520 1697280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_621 unithd 5520 1700000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_622 unithd 5520 1702720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_623 unithd 5520 1705440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_624 unithd 5520 1708160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_625 unithd 5520 1710880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_626 unithd 5520 1713600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_627 unithd 5520 1716320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_628 unithd 5520 1719040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_629 unithd 5520 1721760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_630 unithd 5520 1724480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_631 unithd 5520 1727200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_632 unithd 5520 1729920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_633 unithd 5520 1732640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_634 unithd 5520 1735360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_635 unithd 5520 1738080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_636 unithd 5520 1740800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_637 unithd 5520 1743520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_638 unithd 5520 1746240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_639 unithd 5520 1748960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_640 unithd 5520 1751680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_641 unithd 5520 1754400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_642 unithd 5520 1757120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_643 unithd 5520 1759840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_644 unithd 5520 1762560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_645 unithd 5520 1765280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_646 unithd 5520 1768000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_647 unithd 5520 1770720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_648 unithd 5520 1773440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_649 unithd 5520 1776160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_650 unithd 5520 1778880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_651 unithd 5520 1781600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_652 unithd 5520 1784320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_653 unithd 5520 1787040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_654 unithd 5520 1789760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_655 unithd 5520 1792480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_656 unithd 5520 1795200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_657 unithd 5520 1797920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_658 unithd 5520 1800640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_659 unithd 5520 1803360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_660 unithd 5520 1806080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_661 unithd 5520 1808800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_662 unithd 5520 1811520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_663 unithd 5520 1814240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_664 unithd 5520 1816960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_665 unithd 5520 1819680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_666 unithd 5520 1822400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_667 unithd 5520 1825120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_668 unithd 5520 1827840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_669 unithd 5520 1830560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_670 unithd 5520 1833280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_671 unithd 5520 1836000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_672 unithd 5520 1838720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_673 unithd 5520 1841440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_674 unithd 5520 1844160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_675 unithd 5520 1846880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_676 unithd 5520 1849600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_677 unithd 5520 1852320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_678 unithd 5520 1855040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_679 unithd 5520 1857760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_680 unithd 5520 1860480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_681 unithd 5520 1863200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_682 unithd 5520 1865920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_683 unithd 5520 1868640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_684 unithd 5520 1871360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_685 unithd 5520 1874080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_686 unithd 5520 1876800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_687 unithd 5520 1879520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_688 unithd 5520 1882240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_689 unithd 5520 1884960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_690 unithd 5520 1887680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_691 unithd 5520 1890400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_692 unithd 5520 1893120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_693 unithd 5520 1895840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_694 unithd 5520 1898560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_695 unithd 5520 1901280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_696 unithd 5520 1904000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_697 unithd 5520 1906720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_698 unithd 5520 1909440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_699 unithd 5520 1912160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_700 unithd 5520 1914880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_701 unithd 5520 1917600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_702 unithd 5520 1920320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_703 unithd 5520 1923040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_704 unithd 5520 1925760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_705 unithd 5520 1928480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_706 unithd 5520 1931200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_707 unithd 5520 1933920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_708 unithd 5520 1936640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_709 unithd 5520 1939360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_710 unithd 5520 1942080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_711 unithd 5520 1944800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_712 unithd 5520 1947520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_713 unithd 5520 1950240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_714 unithd 5520 1952960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_715 unithd 5520 1955680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_716 unithd 5520 1958400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_717 unithd 5520 1961120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_718 unithd 5520 1963840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_719 unithd 5520 1966560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_720 unithd 5520 1969280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_721 unithd 5520 1972000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_722 unithd 5520 1974720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_723 unithd 5520 1977440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_724 unithd 5520 1980160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_725 unithd 5520 1982880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_726 unithd 5520 1985600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_727 unithd 5520 1988320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_728 unithd 5520 1991040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_729 unithd 5520 1993760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_730 unithd 5520 1996480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_731 unithd 5520 1999200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_732 unithd 5520 2001920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_733 unithd 5520 2004640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_734 unithd 5520 2007360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_735 unithd 5520 2010080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_736 unithd 5520 2012800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_737 unithd 5520 2015520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_738 unithd 5520 2018240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_739 unithd 5520 2020960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_740 unithd 5520 2023680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_741 unithd 5520 2026400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_742 unithd 5520 2029120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_743 unithd 5520 2031840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_744 unithd 5520 2034560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_745 unithd 5520 2037280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_746 unithd 5520 2040000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_747 unithd 5520 2042720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_748 unithd 5520 2045440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_749 unithd 5520 2048160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_750 unithd 5520 2050880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_751 unithd 5520 2053600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_752 unithd 5520 2056320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_753 unithd 5520 2059040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_754 unithd 5520 2061760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_755 unithd 5520 2064480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_756 unithd 5520 2067200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_757 unithd 5520 2069920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_758 unithd 5520 2072640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_759 unithd 5520 2075360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_760 unithd 5520 2078080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_761 unithd 5520 2080800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_762 unithd 5520 2083520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_763 unithd 5520 2086240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_764 unithd 5520 2088960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_765 unithd 5520 2091680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_766 unithd 5520 2094400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_767 unithd 5520 2097120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_768 unithd 5520 2099840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_769 unithd 5520 2102560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_770 unithd 5520 2105280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_771 unithd 5520 2108000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_772 unithd 5520 2110720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_773 unithd 5520 2113440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_774 unithd 5520 2116160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_775 unithd 5520 2118880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_776 unithd 5520 2121600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_777 unithd 5520 2124320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_778 unithd 5520 2127040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_779 unithd 5520 2129760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_780 unithd 5520 2132480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_781 unithd 5520 2135200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_782 unithd 5520 2137920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_783 unithd 5520 2140640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_784 unithd 5520 2143360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_785 unithd 5520 2146080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_786 unithd 5520 2148800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_787 unithd 5520 2151520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_788 unithd 5520 2154240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_789 unithd 5520 2156960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_790 unithd 5520 2159680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_791 unithd 5520 2162400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_792 unithd 5520 2165120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_793 unithd 5520 2167840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_794 unithd 5520 2170560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_795 unithd 5520 2173280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_796 unithd 5520 2176000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_797 unithd 5520 2178720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_798 unithd 5520 2181440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_799 unithd 5520 2184160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_800 unithd 5520 2186880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_801 unithd 5520 2189600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_802 unithd 5520 2192320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_803 unithd 5520 2195040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_804 unithd 5520 2197760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_805 unithd 5520 2200480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_806 unithd 5520 2203200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_807 unithd 5520 2205920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_808 unithd 5520 2208640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_809 unithd 5520 2211360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_810 unithd 5520 2214080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_811 unithd 5520 2216800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_812 unithd 5520 2219520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_813 unithd 5520 2222240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_814 unithd 5520 2224960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_815 unithd 5520 2227680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_816 unithd 5520 2230400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_817 unithd 5520 2233120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_818 unithd 5520 2235840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_819 unithd 5520 2238560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_820 unithd 5520 2241280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_821 unithd 5520 2244000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_822 unithd 5520 2246720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_823 unithd 5520 2249440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_824 unithd 5520 2252160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_825 unithd 5520 2254880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_826 unithd 5520 2257600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_827 unithd 5520 2260320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_828 unithd 5520 2263040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_829 unithd 5520 2265760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_830 unithd 5520 2268480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_831 unithd 5520 2271200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_832 unithd 5520 2273920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_833 unithd 5520 2276640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_834 unithd 5520 2279360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_835 unithd 5520 2282080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_836 unithd 5520 2284800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_837 unithd 5520 2287520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_838 unithd 5520 2290240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_839 unithd 5520 2292960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_840 unithd 5520 2295680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_841 unithd 5520 2298400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_842 unithd 5520 2301120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_843 unithd 5520 2303840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_844 unithd 5520 2306560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_845 unithd 5520 2309280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_846 unithd 5520 2312000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_847 unithd 5520 2314720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_848 unithd 5520 2317440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_849 unithd 5520 2320160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_850 unithd 5520 2322880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_851 unithd 5520 2325600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_852 unithd 5520 2328320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_853 unithd 5520 2331040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_854 unithd 5520 2333760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_855 unithd 5520 2336480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_856 unithd 5520 2339200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_857 unithd 5520 2341920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_858 unithd 5520 2344640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_859 unithd 5520 2347360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_860 unithd 5520 2350080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_861 unithd 5520 2352800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_862 unithd 5520 2355520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_863 unithd 5520 2358240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_864 unithd 5520 2360960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_865 unithd 5520 2363680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_866 unithd 5520 2366400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_867 unithd 5520 2369120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_868 unithd 5520 2371840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_869 unithd 5520 2374560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_870 unithd 5520 2377280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_871 unithd 5520 2380000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_872 unithd 5520 2382720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_873 unithd 5520 2385440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_874 unithd 5520 2388160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_875 unithd 5520 2390880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_876 unithd 5520 2393600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_877 unithd 5520 2396320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_878 unithd 5520 2399040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_879 unithd 5520 2401760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_880 unithd 5520 2404480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_881 unithd 5520 2407200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_882 unithd 5520 2409920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_883 unithd 5520 2412640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_884 unithd 5520 2415360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_885 unithd 5520 2418080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_886 unithd 5520 2420800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_887 unithd 5520 2423520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_888 unithd 5520 2426240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_889 unithd 5520 2428960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_890 unithd 5520 2431680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_891 unithd 5520 2434400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_892 unithd 5520 2437120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_893 unithd 5520 2439840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_894 unithd 5520 2442560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_895 unithd 5520 2445280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_896 unithd 5520 2448000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_897 unithd 5520 2450720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_898 unithd 5520 2453440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_899 unithd 5520 2456160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_900 unithd 5520 2458880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_901 unithd 5520 2461600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_902 unithd 5520 2464320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_903 unithd 5520 2467040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_904 unithd 5520 2469760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_905 unithd 5520 2472480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_906 unithd 5520 2475200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_907 unithd 5520 2477920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_908 unithd 5520 2480640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_909 unithd 5520 2483360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_910 unithd 5520 2486080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_911 unithd 5520 2488800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_912 unithd 5520 2491520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_913 unithd 5520 2494240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_914 unithd 5520 2496960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_915 unithd 5520 2499680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_916 unithd 5520 2502400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_917 unithd 5520 2505120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_918 unithd 5520 2507840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_919 unithd 5520 2510560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_920 unithd 5520 2513280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_921 unithd 5520 2516000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_922 unithd 5520 2518720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_923 unithd 5520 2521440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_924 unithd 5520 2524160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_925 unithd 5520 2526880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_926 unithd 5520 2529600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_927 unithd 5520 2532320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_928 unithd 5520 2535040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_929 unithd 5520 2537760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_930 unithd 5520 2540480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_931 unithd 5520 2543200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_932 unithd 5520 2545920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_933 unithd 5520 2548640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_934 unithd 5520 2551360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_935 unithd 5520 2554080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_936 unithd 5520 2556800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_937 unithd 5520 2559520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_938 unithd 5520 2562240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_939 unithd 5520 2564960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_940 unithd 5520 2567680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_941 unithd 5520 2570400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_942 unithd 5520 2573120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_943 unithd 5520 2575840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_944 unithd 5520 2578560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_945 unithd 5520 2581280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_946 unithd 5520 2584000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_947 unithd 5520 2586720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_948 unithd 5520 2589440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_949 unithd 5520 2592160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_950 unithd 5520 2594880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_951 unithd 5520 2597600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_952 unithd 5520 2600320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_953 unithd 5520 2603040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_954 unithd 5520 2605760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_955 unithd 5520 2608480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_956 unithd 5520 2611200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_957 unithd 5520 2613920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_958 unithd 5520 2616640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_959 unithd 5520 2619360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_960 unithd 5520 2622080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_961 unithd 5520 2624800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_962 unithd 5520 2627520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_963 unithd 5520 2630240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_964 unithd 5520 2632960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_965 unithd 5520 2635680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_966 unithd 5520 2638400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_967 unithd 5520 2641120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_968 unithd 5520 2643840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_969 unithd 5520 2646560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_970 unithd 5520 2649280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_971 unithd 5520 2652000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_972 unithd 5520 2654720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_973 unithd 5520 2657440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_974 unithd 5520 2660160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_975 unithd 5520 2662880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_976 unithd 5520 2665600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_977 unithd 5520 2668320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_978 unithd 5520 2671040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_979 unithd 5520 2673760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_980 unithd 5520 2676480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_981 unithd 5520 2679200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_982 unithd 5520 2681920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_983 unithd 5520 2684640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_984 unithd 5520 2687360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_985 unithd 5520 2690080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_986 unithd 5520 2692800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_987 unithd 5520 2695520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_988 unithd 5520 2698240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_989 unithd 5520 2700960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_990 unithd 5520 2703680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_991 unithd 5520 2706400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_992 unithd 5520 2709120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_993 unithd 5520 2711840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_994 unithd 5520 2714560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_995 unithd 5520 2717280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_996 unithd 5520 2720000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_997 unithd 5520 2722720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_998 unithd 5520 2725440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_999 unithd 5520 2728160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1000 unithd 5520 2730880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1001 unithd 5520 2733600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1002 unithd 5520 2736320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1003 unithd 5520 2739040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1004 unithd 5520 2741760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1005 unithd 5520 2744480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1006 unithd 5520 2747200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1007 unithd 5520 2749920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1008 unithd 5520 2752640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1009 unithd 5520 2755360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1010 unithd 5520 2758080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1011 unithd 5520 2760800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1012 unithd 5520 2763520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1013 unithd 5520 2766240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1014 unithd 5520 2768960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1015 unithd 5520 2771680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1016 unithd 5520 2774400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1017 unithd 5520 2777120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1018 unithd 5520 2779840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1019 unithd 5520 2782560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1020 unithd 5520 2785280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1021 unithd 5520 2788000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1022 unithd 5520 2790720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1023 unithd 5520 2793440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1024 unithd 5520 2796160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1025 unithd 5520 2798880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1026 unithd 5520 2801600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1027 unithd 5520 2804320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1028 unithd 5520 2807040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1029 unithd 5520 2809760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1030 unithd 5520 2812480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1031 unithd 5520 2815200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1032 unithd 5520 2817920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1033 unithd 5520 2820640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1034 unithd 5520 2823360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1035 unithd 5520 2826080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1036 unithd 5520 2828800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1037 unithd 5520 2831520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1038 unithd 5520 2834240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1039 unithd 5520 2836960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1040 unithd 5520 2839680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1041 unithd 5520 2842400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1042 unithd 5520 2845120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1043 unithd 5520 2847840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1044 unithd 5520 2850560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1045 unithd 5520 2853280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1046 unithd 5520 2856000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1047 unithd 5520 2858720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1048 unithd 5520 2861440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1049 unithd 5520 2864160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1050 unithd 5520 2866880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1051 unithd 5520 2869600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1052 unithd 5520 2872320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1053 unithd 5520 2875040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1054 unithd 5520 2877760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1055 unithd 5520 2880480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1056 unithd 5520 2883200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1057 unithd 5520 2885920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1058 unithd 5520 2888640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1059 unithd 5520 2891360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1060 unithd 5520 2894080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1061 unithd 5520 2896800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1062 unithd 5520 2899520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1063 unithd 5520 2902240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1064 unithd 5520 2904960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1065 unithd 5520 2907680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1066 unithd 5520 2910400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1067 unithd 5520 2913120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1068 unithd 5520 2915840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1069 unithd 5520 2918560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1070 unithd 5520 2921280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1071 unithd 5520 2924000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1072 unithd 5520 2926720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1073 unithd 5520 2929440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1074 unithd 5520 2932160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1075 unithd 5520 2934880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1076 unithd 5520 2937600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1077 unithd 5520 2940320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1078 unithd 5520 2943040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1079 unithd 5520 2945760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1080 unithd 5520 2948480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1081 unithd 5520 2951200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1082 unithd 5520 2953920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1083 unithd 5520 2956640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1084 unithd 5520 2959360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1085 unithd 5520 2962080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1086 unithd 5520 2964800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1087 unithd 5520 2967520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1088 unithd 5520 2970240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1089 unithd 5520 2972960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1090 unithd 5520 2975680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1091 unithd 5520 2978400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1092 unithd 5520 2981120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1093 unithd 5520 2983840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1094 unithd 5520 2986560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1095 unithd 5520 2989280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1096 unithd 5520 2992000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1097 unithd 5520 2994720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1098 unithd 5520 2997440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1099 unithd 5520 3000160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1100 unithd 5520 3002880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1101 unithd 5520 3005600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1102 unithd 5520 3008320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1103 unithd 5520 3011040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1104 unithd 5520 3013760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1105 unithd 5520 3016480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1106 unithd 5520 3019200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1107 unithd 5520 3021920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1108 unithd 5520 3024640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1109 unithd 5520 3027360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1110 unithd 5520 3030080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1111 unithd 5520 3032800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1112 unithd 5520 3035520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1113 unithd 5520 3038240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1114 unithd 5520 3040960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1115 unithd 5520 3043680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1116 unithd 5520 3046400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1117 unithd 5520 3049120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1118 unithd 5520 3051840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1119 unithd 5520 3054560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1120 unithd 5520 3057280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1121 unithd 5520 3060000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1122 unithd 5520 3062720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1123 unithd 5520 3065440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1124 unithd 5520 3068160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1125 unithd 5520 3070880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1126 unithd 5520 3073600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1127 unithd 5520 3076320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1128 unithd 5520 3079040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1129 unithd 5520 3081760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1130 unithd 5520 3084480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1131 unithd 5520 3087200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1132 unithd 5520 3089920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1133 unithd 5520 3092640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1134 unithd 5520 3095360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1135 unithd 5520 3098080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1136 unithd 5520 3100800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1137 unithd 5520 3103520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1138 unithd 5520 3106240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1139 unithd 5520 3108960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1140 unithd 5520 3111680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1141 unithd 5520 3114400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1142 unithd 5520 3117120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1143 unithd 5520 3119840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1144 unithd 5520 3122560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1145 unithd 5520 3125280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1146 unithd 5520 3128000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1147 unithd 5520 3130720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1148 unithd 5520 3133440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1149 unithd 5520 3136160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1150 unithd 5520 3138880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1151 unithd 5520 3141600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1152 unithd 5520 3144320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1153 unithd 5520 3147040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1154 unithd 5520 3149760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1155 unithd 5520 3152480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1156 unithd 5520 3155200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1157 unithd 5520 3157920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1158 unithd 5520 3160640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1159 unithd 5520 3163360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1160 unithd 5520 3166080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1161 unithd 5520 3168800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1162 unithd 5520 3171520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1163 unithd 5520 3174240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1164 unithd 5520 3176960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1165 unithd 5520 3179680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1166 unithd 5520 3182400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1167 unithd 5520 3185120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1168 unithd 5520 3187840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1169 unithd 5520 3190560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1170 unithd 5520 3193280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1171 unithd 5520 3196000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1172 unithd 5520 3198720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1173 unithd 5520 3201440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1174 unithd 5520 3204160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1175 unithd 5520 3206880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1176 unithd 5520 3209600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1177 unithd 5520 3212320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1178 unithd 5520 3215040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1179 unithd 5520 3217760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1180 unithd 5520 3220480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1181 unithd 5520 3223200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1182 unithd 5520 3225920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1183 unithd 5520 3228640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1184 unithd 5520 3231360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1185 unithd 5520 3234080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1186 unithd 5520 3236800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1187 unithd 5520 3239520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1188 unithd 5520 3242240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1189 unithd 5520 3244960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1190 unithd 5520 3247680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1191 unithd 5520 3250400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1192 unithd 5520 3253120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1193 unithd 5520 3255840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1194 unithd 5520 3258560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1195 unithd 5520 3261280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1196 unithd 5520 3264000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1197 unithd 5520 3266720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1198 unithd 5520 3269440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1199 unithd 5520 3272160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1200 unithd 5520 3274880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1201 unithd 5520 3277600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1202 unithd 5520 3280320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1203 unithd 5520 3283040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1204 unithd 5520 3285760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1205 unithd 5520 3288480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1206 unithd 5520 3291200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1207 unithd 5520 3293920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1208 unithd 5520 3296640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1209 unithd 5520 3299360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1210 unithd 5520 3302080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1211 unithd 5520 3304800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1212 unithd 5520 3307520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1213 unithd 5520 3310240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1214 unithd 5520 3312960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1215 unithd 5520 3315680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1216 unithd 5520 3318400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1217 unithd 5520 3321120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1218 unithd 5520 3323840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1219 unithd 5520 3326560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1220 unithd 5520 3329280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1221 unithd 5520 3332000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1222 unithd 5520 3334720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1223 unithd 5520 3337440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1224 unithd 5520 3340160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1225 unithd 5520 3342880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1226 unithd 5520 3345600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1227 unithd 5520 3348320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1228 unithd 5520 3351040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1229 unithd 5520 3353760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1230 unithd 5520 3356480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1231 unithd 5520 3359200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1232 unithd 5520 3361920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1233 unithd 5520 3364640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1234 unithd 5520 3367360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1235 unithd 5520 3370080 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1236 unithd 5520 3372800 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1237 unithd 5520 3375520 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1238 unithd 5520 3378240 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1239 unithd 5520 3380960 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1240 unithd 5520 3383680 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1241 unithd 5520 3386400 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1242 unithd 5520 3389120 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1243 unithd 5520 3391840 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1244 unithd 5520 3394560 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1245 unithd 5520 3397280 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1246 unithd 5520 3400000 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1247 unithd 5520 3402720 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1248 unithd 5520 3405440 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1249 unithd 5520 3408160 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1250 unithd 5520 3410880 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1251 unithd 5520 3413600 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1252 unithd 5520 3416320 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1253 unithd 5520 3419040 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1254 unithd 5520 3421760 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1255 unithd 5520 3424480 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1256 unithd 5520 3427200 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1257 unithd 5520 3429920 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1258 unithd 5520 3432640 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1259 unithd 5520 3435360 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1260 unithd 5520 3438080 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1261 unithd 5520 3440800 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1262 unithd 5520 3443520 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1263 unithd 5520 3446240 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1264 unithd 5520 3448960 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1265 unithd 5520 3451680 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1266 unithd 5520 3454400 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1267 unithd 5520 3457120 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1268 unithd 5520 3459840 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1269 unithd 5520 3462560 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1270 unithd 5520 3465280 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1271 unithd 5520 3468000 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1272 unithd 5520 3470720 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1273 unithd 5520 3473440 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1274 unithd 5520 3476160 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1275 unithd 5520 3478880 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1276 unithd 5520 3481600 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1277 unithd 5520 3484320 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1278 unithd 5520 3487040 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1279 unithd 5520 3489760 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1280 unithd 5520 3492480 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1281 unithd 5520 3495200 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1282 unithd 5520 3497920 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1283 unithd 5520 3500640 N DO 6323 BY 1 STEP 460 0
;
ROW ROW_1284 unithd 5520 3503360 FS DO 6323 BY 1 STEP 460 0
;
ROW ROW_1285 unithd 5520 3506080 N DO 6323 BY 1 STEP 460 0
;
TRACKS X 230 DO 6348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER li1 ;
TRACKS X 170 DO 8588 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 10353 STEP 340 LAYER met1 ;
TRACKS X 230 DO 6348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 7652 STEP 460 LAYER met2 ;
TRACKS X 340 DO 4294 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 5176 STEP 680 LAYER met3 ;
TRACKS X 460 DO 3174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 3826 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 859 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 1035 STEP 3400 LAYER met5 ;
VIAS 3 ;
- via2_FR
+ RECT met2 ( -140 -185 ) ( 140 185 )
+ RECT via2 ( -100 -100 ) ( 100 100 )
+ RECT met3 ( -165 -165 ) ( 165 165 )
;
- via4_FR
+ RECT met4 ( -590 -590 ) ( 590 590 )
+ RECT via4 ( -400 -400 ) ( 400 400 )
+ RECT met5 ( -710 -710 ) ( 710 710 )
;
- via4_3000x3000
+ VIARULE M4M5_PR
+ CUTSIZE 800 800
+ LAYERS met4 via4 met5
+ CUTSPACING 800 800
+ ENCLOSURE 1100 300 1100 310
+ ROWCOL 2 1
;
END VIAS
COMPONENTS 4 ;
- obs_core_obs_2 obs_core_obs_2 + FIXED ( 0 0 ) N ;
- obs_core_obs_1 obs_core_obs_1 + FIXED ( 0 0 ) N ;
- obs_core_obs_0 obs_core_obs_0 + FIXED ( 0 0 ) N ;
- mprj pyfive_top + FIXED ( 650000 320880 ) N ;
END COMPONENTS
PINS 644 ;
- analog_io[0] + NET analog_io[0] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 29580 ) N ;
- analog_io[10] + NET analog_io[10] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2375580 ) N ;
- analog_io[11] + NET analog_io[11] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2610180 ) N ;
- analog_io[12] + NET analog_io[12] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2844780 ) N ;
- analog_io[13] + NET analog_io[13] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3079380 ) N ;
- analog_io[14] + NET analog_io[14] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3313980 ) N ;
- analog_io[15] + NET analog_io[15] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2879370 3521200 ) N ;
- analog_io[16] + NET analog_io[16] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2555070 3521200 ) N ;
- analog_io[17] + NET analog_io[17] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2230770 3521200 ) N ;
- analog_io[18] + NET analog_io[18] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 3521200 ) N ;
- analog_io[19] + NET analog_io[19] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1581710 3521200 ) N ;
- analog_io[1] + NET analog_io[1] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 264180 ) N ;
- analog_io[20] + NET analog_io[20] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 3521200 ) N ;
- analog_io[21] + NET analog_io[21] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 932650 3521200 ) N ;
- analog_io[22] + NET analog_io[22] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 608350 3521200 ) N ;
- analog_io[23] + NET analog_io[23] + DIRECTION INOUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 284050 3521200 ) N ;
- analog_io[24] + NET analog_io[24] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3483300 ) N ;
- analog_io[25] + NET analog_io[25] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3195660 ) N ;
- analog_io[26] + NET analog_io[26] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2908700 ) N ;
- analog_io[27] + NET analog_io[27] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2621060 ) N ;
- analog_io[28] + NET analog_io[28] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2334100 ) N ;
- analog_io[29] + NET analog_io[29] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2046460 ) N ;
- analog_io[2] + NET analog_io[2] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 498780 ) N ;
- analog_io[30] + NET analog_io[30] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1759500 ) N ;
- analog_io[3] + NET analog_io[3] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 733380 ) N ;
- analog_io[4] + NET analog_io[4] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 967980 ) N ;
- analog_io[5] + NET analog_io[5] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1202580 ) N ;
- analog_io[6] + NET analog_io[6] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1437180 ) N ;
- analog_io[7] + NET analog_io[7] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1671780 ) N ;
- analog_io[8] + NET analog_io[8] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1906380 ) N ;
- analog_io[9] + NET analog_io[9] + DIRECTION INOUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2140980 ) N ;
- io_in[0] + NET io_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 88060 ) N ;
- io_in[10] + NET io_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2434060 ) N ;
- io_in[11] + NET io_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2669340 ) N ;
- io_in[12] + NET io_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2903940 ) N ;
- io_in[13] + NET io_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3138540 ) N ;
- io_in[14] + NET io_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3373140 ) N ;
- io_in[15] + NET io_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2798410 3521200 ) N ;
- io_in[16] + NET io_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2474110 3521200 ) N ;
- io_in[17] + NET io_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149350 3521200 ) N ;
- io_in[18] + NET io_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1825050 3521200 ) N ;
- io_in[19] + NET io_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1500750 3521200 ) N ;
- io_in[1] + NET io_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 322660 ) N ;
- io_in[20] + NET io_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1175990 3521200 ) N ;
- io_in[21] + NET io_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 851690 3521200 ) N ;
- io_in[22] + NET io_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 527390 3521200 ) N ;
- io_in[23] + NET io_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 202630 3521200 ) N ;
- io_in[24] + NET io_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3411220 ) N ;
- io_in[25] + NET io_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3124260 ) N ;
- io_in[26] + NET io_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2836620 ) N ;
- io_in[27] + NET io_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2549660 ) N ;
- io_in[28] + NET io_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2262020 ) N ;
- io_in[29] + NET io_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1975060 ) N ;
- io_in[2] + NET io_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 557260 ) N ;
- io_in[30] + NET io_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1687420 ) N ;
- io_in[31] + NET io_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1471860 ) N ;
- io_in[32] + NET io_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1256300 ) N ;
- io_in[33] + NET io_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1040740 ) N ;
- io_in[34] + NET io_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 825180 ) N ;
- io_in[35] + NET io_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 610300 ) N ;
- io_in[36] + NET io_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 394740 ) N ;
- io_in[37] + NET io_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 179180 ) N ;
- io_in[3] + NET io_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 791860 ) N ;
- io_in[4] + NET io_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1026460 ) N ;
- io_in[5] + NET io_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1261060 ) N ;
- io_in[6] + NET io_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1495660 ) N ;
- io_in[7] + NET io_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1730260 ) N ;
- io_in[8] + NET io_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1964860 ) N ;
- io_in[9] + NET io_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2199460 ) N ;
- io_oeb[11] + NET io_oeb[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2786300 ) N ;
- io_oeb[12] + NET io_oeb[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3020900 ) N ;
- io_oeb[13] + NET io_oeb[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3255500 ) N ;
- io_oeb[14] + NET io_oeb[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3490100 ) N ;
- io_oeb[15] + NET io_oeb[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2636030 3521200 ) N ;
- io_oeb[16] + NET io_oeb[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2311730 3521200 ) N ;
- io_oeb[17] + NET io_oeb[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1987430 3521200 ) N ;
- io_oeb[18] + NET io_oeb[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662670 3521200 ) N ;
- io_oeb[19] + NET io_oeb[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1338370 3521200 ) N ;
- io_oeb[20] + NET io_oeb[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1014070 3521200 ) N ;
- io_oeb[21] + NET io_oeb[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 689310 3521200 ) N ;
- io_oeb[22] + NET io_oeb[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365010 3521200 ) N ;
- io_oeb[23] + NET io_oeb[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 40710 3521200 ) N ;
- io_oeb[24] + NET io_oeb[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3267740 ) N ;
- io_oeb[25] + NET io_oeb[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2980100 ) N ;
- io_oeb[26] + NET io_oeb[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2693140 ) N ;
- io_out[11] + NET io_out[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2727820 ) N ;
- io_out[12] + NET io_out[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2962420 ) N ;
- io_out[13] + NET io_out[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3197020 ) N ;
- io_out[14] + NET io_out[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 3431620 ) N ;
- io_out[15] + NET io_out[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2717450 3521200 ) N ;
- io_out[16] + NET io_out[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2392690 3521200 ) N ;
- io_out[17] + NET io_out[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2068390 3521200 ) N ;
- io_out[18] + NET io_out[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1744090 3521200 ) N ;
- io_out[19] + NET io_out[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1419330 3521200 ) N ;
- io_out[20] + NET io_out[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1095030 3521200 ) N ;
- io_out[21] + NET io_out[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 770730 3521200 ) N ;
- io_out[22] + NET io_out[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 445970 3521200 ) N ;
- io_out[23] + NET io_out[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 3521200 ) N ;
- io_out[24] + NET io_out[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3339820 ) N ;
- io_out[25] + NET io_out[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 3052180 ) N ;
- io_out[26] + NET io_out[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2765220 ) N ;
- la_data_in[0] + NET la_data_in[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 633190 -1200 ) N ;
- la_data_in[100] + NET la_data_in[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2417530 -1200 ) N ;
- la_data_in[101] + NET la_data_in[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2435010 -1200 ) N ;
- la_data_in[102] + NET la_data_in[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2452950 -1200 ) N ;
- la_data_in[103] + NET la_data_in[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2470890 -1200 ) N ;
- la_data_in[104] + NET la_data_in[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2488830 -1200 ) N ;
- la_data_in[105] + NET la_data_in[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2506310 -1200 ) N ;
- la_data_in[106] + NET la_data_in[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2524250 -1200 ) N ;
- la_data_in[107] + NET la_data_in[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2542190 -1200 ) N ;
- la_data_in[108] + NET la_data_in[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2560130 -1200 ) N ;
- la_data_in[109] + NET la_data_in[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2578070 -1200 ) N ;
- la_data_in[10] + NET la_data_in[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 811670 -1200 ) N ;
- la_data_in[110] + NET la_data_in[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2595550 -1200 ) N ;
- la_data_in[111] + NET la_data_in[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2613490 -1200 ) N ;
- la_data_in[112] + NET la_data_in[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2631430 -1200 ) N ;
- la_data_in[113] + NET la_data_in[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2649370 -1200 ) N ;
- la_data_in[114] + NET la_data_in[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2667310 -1200 ) N ;
- la_data_in[115] + NET la_data_in[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2684790 -1200 ) N ;
- la_data_in[116] + NET la_data_in[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2702730 -1200 ) N ;
- la_data_in[117] + NET la_data_in[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2720670 -1200 ) N ;
- la_data_in[118] + NET la_data_in[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2738610 -1200 ) N ;
- la_data_in[119] + NET la_data_in[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2756090 -1200 ) N ;
- la_data_in[11] + NET la_data_in[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 829610 -1200 ) N ;
- la_data_in[120] + NET la_data_in[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2774030 -1200 ) N ;
- la_data_in[121] + NET la_data_in[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2791970 -1200 ) N ;
- la_data_in[122] + NET la_data_in[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2809910 -1200 ) N ;
- la_data_in[123] + NET la_data_in[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2827850 -1200 ) N ;
- la_data_in[124] + NET la_data_in[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2845330 -1200 ) N ;
- la_data_in[125] + NET la_data_in[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2863270 -1200 ) N ;
- la_data_in[126] + NET la_data_in[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2881210 -1200 ) N ;
- la_data_in[127] + NET la_data_in[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2899150 -1200 ) N ;
- la_data_in[12] + NET la_data_in[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 847090 -1200 ) N ;
- la_data_in[13] + NET la_data_in[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 865030 -1200 ) N ;
- la_data_in[14] + NET la_data_in[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 882970 -1200 ) N ;
- la_data_in[15] + NET la_data_in[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 900910 -1200 ) N ;
- la_data_in[16] + NET la_data_in[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 918850 -1200 ) N ;
- la_data_in[17] + NET la_data_in[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 936330 -1200 ) N ;
- la_data_in[18] + NET la_data_in[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 954270 -1200 ) N ;
- la_data_in[19] + NET la_data_in[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 972210 -1200 ) N ;
- la_data_in[1] + NET la_data_in[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 651130 -1200 ) N ;
- la_data_in[20] + NET la_data_in[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 990150 -1200 ) N ;
- la_data_in[21] + NET la_data_in[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1007630 -1200 ) N ;
- la_data_in[22] + NET la_data_in[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1025570 -1200 ) N ;
- la_data_in[23] + NET la_data_in[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1043510 -1200 ) N ;
- la_data_in[24] + NET la_data_in[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1061450 -1200 ) N ;
- la_data_in[25] + NET la_data_in[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1079390 -1200 ) N ;
- la_data_in[26] + NET la_data_in[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1096870 -1200 ) N ;
- la_data_in[27] + NET la_data_in[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1114810 -1200 ) N ;
- la_data_in[28] + NET la_data_in[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1132750 -1200 ) N ;
- la_data_in[29] + NET la_data_in[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1150690 -1200 ) N ;
- la_data_in[2] + NET la_data_in[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 669070 -1200 ) N ;
- la_data_in[30] + NET la_data_in[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1168630 -1200 ) N ;
- la_data_in[31] + NET la_data_in[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1186110 -1200 ) N ;
- la_data_in[32] + NET la_data_in[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1204050 -1200 ) N ;
- la_data_in[33] + NET la_data_in[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1221990 -1200 ) N ;
- la_data_in[34] + NET la_data_in[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1239930 -1200 ) N ;
- la_data_in[35] + NET la_data_in[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1257410 -1200 ) N ;
- la_data_in[36] + NET la_data_in[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1275350 -1200 ) N ;
- la_data_in[37] + NET la_data_in[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1293290 -1200 ) N ;
- la_data_in[38] + NET la_data_in[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1311230 -1200 ) N ;
- la_data_in[39] + NET la_data_in[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1329170 -1200 ) N ;
- la_data_in[3] + NET la_data_in[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 686550 -1200 ) N ;
- la_data_in[40] + NET la_data_in[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1346650 -1200 ) N ;
- la_data_in[41] + NET la_data_in[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1364590 -1200 ) N ;
- la_data_in[42] + NET la_data_in[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1382530 -1200 ) N ;
- la_data_in[43] + NET la_data_in[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1400470 -1200 ) N ;
- la_data_in[44] + NET la_data_in[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1418410 -1200 ) N ;
- la_data_in[45] + NET la_data_in[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1435890 -1200 ) N ;
- la_data_in[46] + NET la_data_in[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1453830 -1200 ) N ;
- la_data_in[47] + NET la_data_in[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1471770 -1200 ) N ;
- la_data_in[48] + NET la_data_in[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1489710 -1200 ) N ;
- la_data_in[49] + NET la_data_in[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1507190 -1200 ) N ;
- la_data_in[4] + NET la_data_in[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 704490 -1200 ) N ;
- la_data_in[50] + NET la_data_in[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1525130 -1200 ) N ;
- la_data_in[51] + NET la_data_in[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1543070 -1200 ) N ;
- la_data_in[52] + NET la_data_in[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1561010 -1200 ) N ;
- la_data_in[53] + NET la_data_in[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1578950 -1200 ) N ;
- la_data_in[54] + NET la_data_in[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1596430 -1200 ) N ;
- la_data_in[55] + NET la_data_in[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1614370 -1200 ) N ;
- la_data_in[56] + NET la_data_in[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1632310 -1200 ) N ;
- la_data_in[57] + NET la_data_in[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1650250 -1200 ) N ;
- la_data_in[58] + NET la_data_in[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1668190 -1200 ) N ;
- la_data_in[59] + NET la_data_in[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1685670 -1200 ) N ;
- la_data_in[5] + NET la_data_in[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 722430 -1200 ) N ;
- la_data_in[60] + NET la_data_in[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1703610 -1200 ) N ;
- la_data_in[61] + NET la_data_in[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1721550 -1200 ) N ;
- la_data_in[62] + NET la_data_in[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1739490 -1200 ) N ;
- la_data_in[63] + NET la_data_in[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1756970 -1200 ) N ;
- la_data_in[64] + NET la_data_in[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1774910 -1200 ) N ;
- la_data_in[65] + NET la_data_in[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1792850 -1200 ) N ;
- la_data_in[66] + NET la_data_in[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1810790 -1200 ) N ;
- la_data_in[67] + NET la_data_in[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1828730 -1200 ) N ;
- la_data_in[68] + NET la_data_in[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1846210 -1200 ) N ;
- la_data_in[69] + NET la_data_in[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1864150 -1200 ) N ;
- la_data_in[6] + NET la_data_in[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 740370 -1200 ) N ;
- la_data_in[70] + NET la_data_in[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1882090 -1200 ) N ;
- la_data_in[71] + NET la_data_in[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1900030 -1200 ) N ;
- la_data_in[72] + NET la_data_in[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1917970 -1200 ) N ;
- la_data_in[73] + NET la_data_in[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1935450 -1200 ) N ;
- la_data_in[74] + NET la_data_in[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1953390 -1200 ) N ;
- la_data_in[75] + NET la_data_in[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1971330 -1200 ) N ;
- la_data_in[76] + NET la_data_in[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1989270 -1200 ) N ;
- la_data_in[77] + NET la_data_in[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2006750 -1200 ) N ;
- la_data_in[78] + NET la_data_in[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2024690 -1200 ) N ;
- la_data_in[79] + NET la_data_in[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2042630 -1200 ) N ;
- la_data_in[7] + NET la_data_in[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 757850 -1200 ) N ;
- la_data_in[80] + NET la_data_in[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2060570 -1200 ) N ;
- la_data_in[81] + NET la_data_in[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2078510 -1200 ) N ;
- la_data_in[82] + NET la_data_in[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2095990 -1200 ) N ;
- la_data_in[83] + NET la_data_in[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2113930 -1200 ) N ;
- la_data_in[84] + NET la_data_in[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2131870 -1200 ) N ;
- la_data_in[85] + NET la_data_in[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2149810 -1200 ) N ;
- la_data_in[86] + NET la_data_in[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2167750 -1200 ) N ;
- la_data_in[87] + NET la_data_in[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2185230 -1200 ) N ;
- la_data_in[88] + NET la_data_in[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2203170 -1200 ) N ;
- la_data_in[89] + NET la_data_in[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2221110 -1200 ) N ;
- la_data_in[8] + NET la_data_in[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 775790 -1200 ) N ;
- la_data_in[90] + NET la_data_in[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2239050 -1200 ) N ;
- la_data_in[91] + NET la_data_in[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2256530 -1200 ) N ;
- la_data_in[92] + NET la_data_in[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2274470 -1200 ) N ;
- la_data_in[93] + NET la_data_in[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2292410 -1200 ) N ;
- la_data_in[94] + NET la_data_in[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2310350 -1200 ) N ;
- la_data_in[95] + NET la_data_in[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2328290 -1200 ) N ;
- la_data_in[96] + NET la_data_in[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2345770 -1200 ) N ;
- la_data_in[97] + NET la_data_in[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2363710 -1200 ) N ;
- la_data_in[98] + NET la_data_in[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2381650 -1200 ) N ;
- la_data_in[99] + NET la_data_in[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2399590 -1200 ) N ;
- la_data_in[9] + NET la_data_in[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 793730 -1200 ) N ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 645150 -1200 ) N ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2429030 -1200 ) N ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2446970 -1200 ) N ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2464910 -1200 ) N ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2482850 -1200 ) N ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2500790 -1200 ) N ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2518270 -1200 ) N ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2536210 -1200 ) N ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2554150 -1200 ) N ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2572090 -1200 ) N ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2589570 -1200 ) N ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 823630 -1200 ) N ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2607510 -1200 ) N ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2625450 -1200 ) N ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2643390 -1200 ) N ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2661330 -1200 ) N ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2678810 -1200 ) N ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2696750 -1200 ) N ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2714690 -1200 ) N ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2732630 -1200 ) N ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2750570 -1200 ) N ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2768050 -1200 ) N ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 841110 -1200 ) N ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2785990 -1200 ) N ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2803930 -1200 ) N ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2821870 -1200 ) N ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2839350 -1200 ) N ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2857290 -1200 ) N ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2875230 -1200 ) N ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2893170 -1200 ) N ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2911110 -1200 ) N ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 859050 -1200 ) N ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 876990 -1200 ) N ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 894930 -1200 ) N ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 912870 -1200 ) N ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 930350 -1200 ) N ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 948290 -1200 ) N ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 966230 -1200 ) N ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 984170 -1200 ) N ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 663090 -1200 ) N ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1002110 -1200 ) N ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1019590 -1200 ) N ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1037530 -1200 ) N ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1055470 -1200 ) N ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1073410 -1200 ) N ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1090890 -1200 ) N ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1108830 -1200 ) N ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1126770 -1200 ) N ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1144710 -1200 ) N ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1162650 -1200 ) N ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 680570 -1200 ) N ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1180130 -1200 ) N ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1198070 -1200 ) N ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1216010 -1200 ) N ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1233950 -1200 ) N ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1251890 -1200 ) N ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1269370 -1200 ) N ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1287310 -1200 ) N ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1305250 -1200 ) N ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1323190 -1200 ) N ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1340670 -1200 ) N ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 698510 -1200 ) N ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1358610 -1200 ) N ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1376550 -1200 ) N ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1394490 -1200 ) N ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1412430 -1200 ) N ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1429910 -1200 ) N ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1447850 -1200 ) N ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1465790 -1200 ) N ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1483730 -1200 ) N ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1501670 -1200 ) N ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1519150 -1200 ) N ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 716450 -1200 ) N ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1537090 -1200 ) N ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1555030 -1200 ) N ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1572970 -1200 ) N ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1590450 -1200 ) N ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1608390 -1200 ) N ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1626330 -1200 ) N ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1644270 -1200 ) N ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1662210 -1200 ) N ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1679690 -1200 ) N ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1697630 -1200 ) N ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 734390 -1200 ) N ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1715570 -1200 ) N ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1733510 -1200 ) N ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1751450 -1200 ) N ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1768930 -1200 ) N ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1786870 -1200 ) N ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1804810 -1200 ) N ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1822750 -1200 ) N ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1840230 -1200 ) N ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1858170 -1200 ) N ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1876110 -1200 ) N ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 752330 -1200 ) N ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1894050 -1200 ) N ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1911990 -1200 ) N ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1929470 -1200 ) N ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1947410 -1200 ) N ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1965350 -1200 ) N ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1983290 -1200 ) N ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2001230 -1200 ) N ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2018710 -1200 ) N ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2036650 -1200 ) N ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2054590 -1200 ) N ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 769810 -1200 ) N ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2072530 -1200 ) N ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2090010 -1200 ) N ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2107950 -1200 ) N ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2125890 -1200 ) N ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2143830 -1200 ) N ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2161770 -1200 ) N ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2179250 -1200 ) N ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2197190 -1200 ) N ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2215130 -1200 ) N ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2233070 -1200 ) N ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 787750 -1200 ) N ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2251010 -1200 ) N ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2268490 -1200 ) N ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2286430 -1200 ) N ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2304370 -1200 ) N ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2322310 -1200 ) N ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2339790 -1200 ) N ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2357730 -1200 ) N ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2375670 -1200 ) N ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2393610 -1200 ) N ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2411550 -1200 ) N ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 805690 -1200 ) N ;
- io_oeb[0] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 205020 ) N ;
- io_oeb[10] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2551700 ) N ;
- io_oeb[1] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 439620 ) N ;
- io_oeb[27] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2405500 ) N ;
- io_oeb[28] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2118540 ) N ;
- io_oeb[29] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1830900 ) N ;
- io_oeb[2] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 674220 ) N ;
- io_oeb[30] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1543940 ) N ;
- io_oeb[31] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1328380 ) N ;
- io_oeb[32] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1112820 ) N ;
- io_oeb[33] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 897260 ) N ;
- io_oeb[34] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 681700 ) N ;
- io_oeb[35] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 466140 ) N ;
- io_oeb[36] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 250580 ) N ;
- io_oeb[37] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 35700 ) N ;
- io_oeb[3] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 909500 ) N ;
- io_oeb[4] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1144100 ) N ;
- io_oeb[5] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1378700 ) N ;
- io_oeb[6] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1613300 ) N ;
- io_oeb[7] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1847900 ) N ;
- io_oeb[8] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2082500 ) N ;
- io_oeb[9] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2317100 ) N ;
- io_out[0] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 146540 ) N ;
- io_out[10] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2493220 ) N ;
- io_out[1] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 381140 ) N ;
- io_out[27] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2477580 ) N ;
- io_out[28] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 2189940 ) N ;
- io_out[29] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1902980 ) N ;
- io_out[2] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 615740 ) N ;
- io_out[30] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1615340 ) N ;
- io_out[31] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1400460 ) N ;
- io_out[32] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 1184900 ) N ;
- io_out[33] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 969340 ) N ;
- io_out[34] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 753780 ) N ;
- io_out[35] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 538220 ) N ;
- io_out[36] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 322660 ) N ;
- io_out[37] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( -1200 107100 ) N ;
- io_out[3] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 850340 ) N ;
- io_out[4] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1084940 ) N ;
- io_out[5] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1319540 ) N ;
- io_out[6] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1554140 ) N ;
- io_out[7] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 1789420 ) N ;
- io_out[8] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2024020 ) N ;
- io_out[9] + NET one + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met3 ( -3600 -600 ) ( 3600 600 )
+ PLACED ( 2921200 2258620 ) N ;
- user_clock2 + NET user_clock2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2917090 -1200 ) N ;
- wb_clk_i + NET wb_clk_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2990 -1200 ) N ;
- wb_rst_i + NET wb_rst_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 8510 -1200 ) N ;
- wbs_ack_o + NET wbs_ack_o + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 14490 -1200 ) N ;
- wbs_adr_i[0] + NET wbs_adr_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 38410 -1200 ) N ;
- wbs_adr_i[10] + NET wbs_adr_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 240810 -1200 ) N ;
- wbs_adr_i[11] + NET wbs_adr_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 258290 -1200 ) N ;
- wbs_adr_i[12] + NET wbs_adr_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 276230 -1200 ) N ;
- wbs_adr_i[13] + NET wbs_adr_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 294170 -1200 ) N ;
- wbs_adr_i[14] + NET wbs_adr_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 312110 -1200 ) N ;
- wbs_adr_i[15] + NET wbs_adr_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 330050 -1200 ) N ;
- wbs_adr_i[16] + NET wbs_adr_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 347530 -1200 ) N ;
- wbs_adr_i[17] + NET wbs_adr_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 365470 -1200 ) N ;
- wbs_adr_i[18] + NET wbs_adr_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 383410 -1200 ) N ;
- wbs_adr_i[19] + NET wbs_adr_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 401350 -1200 ) N ;
- wbs_adr_i[1] + NET wbs_adr_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 62330 -1200 ) N ;
- wbs_adr_i[20] + NET wbs_adr_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 419290 -1200 ) N ;
- wbs_adr_i[21] + NET wbs_adr_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 436770 -1200 ) N ;
- wbs_adr_i[22] + NET wbs_adr_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 454710 -1200 ) N ;
- wbs_adr_i[23] + NET wbs_adr_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 472650 -1200 ) N ;
- wbs_adr_i[24] + NET wbs_adr_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 490590 -1200 ) N ;
- wbs_adr_i[25] + NET wbs_adr_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 508070 -1200 ) N ;
- wbs_adr_i[26] + NET wbs_adr_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 526010 -1200 ) N ;
- wbs_adr_i[27] + NET wbs_adr_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 543950 -1200 ) N ;
- wbs_adr_i[28] + NET wbs_adr_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 561890 -1200 ) N ;
- wbs_adr_i[29] + NET wbs_adr_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 579830 -1200 ) N ;
- wbs_adr_i[2] + NET wbs_adr_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 86250 -1200 ) N ;
- wbs_adr_i[30] + NET wbs_adr_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 597310 -1200 ) N ;
- wbs_adr_i[31] + NET wbs_adr_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 615250 -1200 ) N ;
- wbs_adr_i[3] + NET wbs_adr_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 109710 -1200 ) N ;
- wbs_adr_i[4] + NET wbs_adr_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 133630 -1200 ) N ;
- wbs_adr_i[5] + NET wbs_adr_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 151570 -1200 ) N ;
- wbs_adr_i[6] + NET wbs_adr_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 169510 -1200 ) N ;
- wbs_adr_i[7] + NET wbs_adr_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 186990 -1200 ) N ;
- wbs_adr_i[8] + NET wbs_adr_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 204930 -1200 ) N ;
- wbs_adr_i[9] + NET wbs_adr_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 222870 -1200 ) N ;
- wbs_cyc_i + NET wbs_cyc_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 20470 -1200 ) N ;
- wbs_dat_i[0] + NET wbs_dat_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 44390 -1200 ) N ;
- wbs_dat_i[10] + NET wbs_dat_i[10] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 246790 -1200 ) N ;
- wbs_dat_i[11] + NET wbs_dat_i[11] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 264270 -1200 ) N ;
- wbs_dat_i[12] + NET wbs_dat_i[12] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 282210 -1200 ) N ;
- wbs_dat_i[13] + NET wbs_dat_i[13] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 300150 -1200 ) N ;
- wbs_dat_i[14] + NET wbs_dat_i[14] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 318090 -1200 ) N ;
- wbs_dat_i[15] + NET wbs_dat_i[15] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 336030 -1200 ) N ;
- wbs_dat_i[16] + NET wbs_dat_i[16] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 353510 -1200 ) N ;
- wbs_dat_i[17] + NET wbs_dat_i[17] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 371450 -1200 ) N ;
- wbs_dat_i[18] + NET wbs_dat_i[18] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 389390 -1200 ) N ;
- wbs_dat_i[19] + NET wbs_dat_i[19] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 407330 -1200 ) N ;
- wbs_dat_i[1] + NET wbs_dat_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 68310 -1200 ) N ;
- wbs_dat_i[20] + NET wbs_dat_i[20] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 424810 -1200 ) N ;
- wbs_dat_i[21] + NET wbs_dat_i[21] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 442750 -1200 ) N ;
- wbs_dat_i[22] + NET wbs_dat_i[22] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 460690 -1200 ) N ;
- wbs_dat_i[23] + NET wbs_dat_i[23] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 478630 -1200 ) N ;
- wbs_dat_i[24] + NET wbs_dat_i[24] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 496570 -1200 ) N ;
- wbs_dat_i[25] + NET wbs_dat_i[25] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 514050 -1200 ) N ;
- wbs_dat_i[26] + NET wbs_dat_i[26] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 531990 -1200 ) N ;
- wbs_dat_i[27] + NET wbs_dat_i[27] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 549930 -1200 ) N ;
- wbs_dat_i[28] + NET wbs_dat_i[28] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 567870 -1200 ) N ;
- wbs_dat_i[29] + NET wbs_dat_i[29] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 585810 -1200 ) N ;
- wbs_dat_i[2] + NET wbs_dat_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 91770 -1200 ) N ;
- wbs_dat_i[30] + NET wbs_dat_i[30] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 603290 -1200 ) N ;
- wbs_dat_i[31] + NET wbs_dat_i[31] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 621230 -1200 ) N ;
- wbs_dat_i[3] + NET wbs_dat_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 115690 -1200 ) N ;
- wbs_dat_i[4] + NET wbs_dat_i[4] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 139610 -1200 ) N ;
- wbs_dat_i[5] + NET wbs_dat_i[5] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 157550 -1200 ) N ;
- wbs_dat_i[6] + NET wbs_dat_i[6] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 175030 -1200 ) N ;
- wbs_dat_i[7] + NET wbs_dat_i[7] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 192970 -1200 ) N ;
- wbs_dat_i[8] + NET wbs_dat_i[8] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 210910 -1200 ) N ;
- wbs_dat_i[9] + NET wbs_dat_i[9] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 228850 -1200 ) N ;
- wbs_dat_o[0] + NET wbs_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 50370 -1200 ) N ;
- wbs_dat_o[10] + NET wbs_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 252770 -1200 ) N ;
- wbs_dat_o[11] + NET wbs_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 270250 -1200 ) N ;
- wbs_dat_o[12] + NET wbs_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 288190 -1200 ) N ;
- wbs_dat_o[13] + NET wbs_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 306130 -1200 ) N ;
- wbs_dat_o[14] + NET wbs_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 324070 -1200 ) N ;
- wbs_dat_o[15] + NET wbs_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 341550 -1200 ) N ;
- wbs_dat_o[16] + NET wbs_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 359490 -1200 ) N ;
- wbs_dat_o[17] + NET wbs_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 377430 -1200 ) N ;
- wbs_dat_o[18] + NET wbs_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 395370 -1200 ) N ;
- wbs_dat_o[19] + NET wbs_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 413310 -1200 ) N ;
- wbs_dat_o[1] + NET wbs_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 74290 -1200 ) N ;
- wbs_dat_o[20] + NET wbs_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 430790 -1200 ) N ;
- wbs_dat_o[21] + NET wbs_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 448730 -1200 ) N ;
- wbs_dat_o[22] + NET wbs_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 466670 -1200 ) N ;
- wbs_dat_o[23] + NET wbs_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 484610 -1200 ) N ;
- wbs_dat_o[24] + NET wbs_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 502550 -1200 ) N ;
- wbs_dat_o[25] + NET wbs_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 520030 -1200 ) N ;
- wbs_dat_o[26] + NET wbs_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 537970 -1200 ) N ;
- wbs_dat_o[27] + NET wbs_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 555910 -1200 ) N ;
- wbs_dat_o[28] + NET wbs_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 573850 -1200 ) N ;
- wbs_dat_o[29] + NET wbs_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 591330 -1200 ) N ;
- wbs_dat_o[2] + NET wbs_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 97750 -1200 ) N ;
- wbs_dat_o[30] + NET wbs_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 609270 -1200 ) N ;
- wbs_dat_o[31] + NET wbs_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 627210 -1200 ) N ;
- wbs_dat_o[3] + NET wbs_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 121670 -1200 ) N ;
- wbs_dat_o[4] + NET wbs_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 145590 -1200 ) N ;
- wbs_dat_o[5] + NET wbs_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 163530 -1200 ) N ;
- wbs_dat_o[6] + NET wbs_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 181010 -1200 ) N ;
- wbs_dat_o[7] + NET wbs_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 198950 -1200 ) N ;
- wbs_dat_o[8] + NET wbs_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 216890 -1200 ) N ;
- wbs_dat_o[9] + NET wbs_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 234830 -1200 ) N ;
- wbs_sel_i[0] + NET wbs_sel_i[0] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 56350 -1200 ) N ;
- wbs_sel_i[1] + NET wbs_sel_i[1] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 80270 -1200 ) N ;
- wbs_sel_i[2] + NET wbs_sel_i[2] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 103730 -1200 ) N ;
- wbs_sel_i[3] + NET wbs_sel_i[3] + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 127650 -1200 ) N ;
- wbs_stb_i + NET wbs_stb_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 26450 -1200 ) N ;
- wbs_we_i + NET wbs_we_i + DIRECTION INPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 32430 -1200 ) N ;
- la_data_out[0] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 639170 -1200 ) N ;
- la_data_out[100] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2423050 -1200 ) N ;
- la_data_out[101] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2440990 -1200 ) N ;
- la_data_out[102] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2458930 -1200 ) N ;
- la_data_out[103] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2476870 -1200 ) N ;
- la_data_out[104] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2494810 -1200 ) N ;
- la_data_out[105] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2512290 -1200 ) N ;
- la_data_out[106] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2530230 -1200 ) N ;
- la_data_out[107] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2548170 -1200 ) N ;
- la_data_out[108] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2566110 -1200 ) N ;
- la_data_out[109] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2584050 -1200 ) N ;
- la_data_out[10] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 817650 -1200 ) N ;
- la_data_out[110] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2601530 -1200 ) N ;
- la_data_out[111] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2619470 -1200 ) N ;
- la_data_out[112] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2637410 -1200 ) N ;
- la_data_out[113] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2655350 -1200 ) N ;
- la_data_out[114] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2672830 -1200 ) N ;
- la_data_out[115] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2690770 -1200 ) N ;
- la_data_out[116] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2708710 -1200 ) N ;
- la_data_out[117] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2726650 -1200 ) N ;
- la_data_out[118] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2744590 -1200 ) N ;
- la_data_out[119] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2762070 -1200 ) N ;
- la_data_out[11] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 835590 -1200 ) N ;
- la_data_out[120] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2780010 -1200 ) N ;
- la_data_out[121] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2797950 -1200 ) N ;
- la_data_out[122] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2815890 -1200 ) N ;
- la_data_out[123] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2833830 -1200 ) N ;
- la_data_out[124] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2851310 -1200 ) N ;
- la_data_out[125] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2869250 -1200 ) N ;
- la_data_out[126] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2887190 -1200 ) N ;
- la_data_out[127] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2905130 -1200 ) N ;
- la_data_out[12] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 853070 -1200 ) N ;
- la_data_out[13] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 871010 -1200 ) N ;
- la_data_out[14] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 888950 -1200 ) N ;
- la_data_out[15] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 906890 -1200 ) N ;
- la_data_out[16] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 924370 -1200 ) N ;
- la_data_out[17] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 942310 -1200 ) N ;
- la_data_out[18] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 960250 -1200 ) N ;
- la_data_out[19] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 978190 -1200 ) N ;
- la_data_out[1] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 657110 -1200 ) N ;
- la_data_out[20] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 996130 -1200 ) N ;
- la_data_out[21] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1013610 -1200 ) N ;
- la_data_out[22] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1031550 -1200 ) N ;
- la_data_out[23] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1049490 -1200 ) N ;
- la_data_out[24] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1067430 -1200 ) N ;
- la_data_out[25] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1085370 -1200 ) N ;
- la_data_out[26] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1102850 -1200 ) N ;
- la_data_out[27] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1120790 -1200 ) N ;
- la_data_out[28] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1138730 -1200 ) N ;
- la_data_out[29] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1156670 -1200 ) N ;
- la_data_out[2] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 674590 -1200 ) N ;
- la_data_out[30] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1174150 -1200 ) N ;
- la_data_out[31] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1192090 -1200 ) N ;
- la_data_out[32] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1210030 -1200 ) N ;
- la_data_out[33] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1227970 -1200 ) N ;
- la_data_out[34] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1245910 -1200 ) N ;
- la_data_out[35] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1263390 -1200 ) N ;
- la_data_out[36] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1281330 -1200 ) N ;
- la_data_out[37] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1299270 -1200 ) N ;
- la_data_out[38] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1317210 -1200 ) N ;
- la_data_out[39] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1335150 -1200 ) N ;
- la_data_out[3] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 692530 -1200 ) N ;
- la_data_out[40] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1352630 -1200 ) N ;
- la_data_out[41] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1370570 -1200 ) N ;
- la_data_out[42] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1388510 -1200 ) N ;
- la_data_out[43] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1406450 -1200 ) N ;
- la_data_out[44] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1423930 -1200 ) N ;
- la_data_out[45] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1441870 -1200 ) N ;
- la_data_out[46] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1459810 -1200 ) N ;
- la_data_out[47] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1477750 -1200 ) N ;
- la_data_out[48] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1495690 -1200 ) N ;
- la_data_out[49] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1513170 -1200 ) N ;
- la_data_out[4] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 710470 -1200 ) N ;
- la_data_out[50] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1531110 -1200 ) N ;
- la_data_out[51] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1549050 -1200 ) N ;
- la_data_out[52] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1566990 -1200 ) N ;
- la_data_out[53] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1584930 -1200 ) N ;
- la_data_out[54] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1602410 -1200 ) N ;
- la_data_out[55] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1620350 -1200 ) N ;
- la_data_out[56] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1638290 -1200 ) N ;
- la_data_out[57] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1656230 -1200 ) N ;
- la_data_out[58] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1673710 -1200 ) N ;
- la_data_out[59] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1691650 -1200 ) N ;
- la_data_out[5] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 728410 -1200 ) N ;
- la_data_out[60] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1709590 -1200 ) N ;
- la_data_out[61] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1727530 -1200 ) N ;
- la_data_out[62] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1745470 -1200 ) N ;
- la_data_out[63] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1762950 -1200 ) N ;
- la_data_out[64] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1780890 -1200 ) N ;
- la_data_out[65] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1798830 -1200 ) N ;
- la_data_out[66] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1816770 -1200 ) N ;
- la_data_out[67] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1834710 -1200 ) N ;
- la_data_out[68] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1852190 -1200 ) N ;
- la_data_out[69] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1870130 -1200 ) N ;
- la_data_out[6] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 746350 -1200 ) N ;
- la_data_out[70] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1888070 -1200 ) N ;
- la_data_out[71] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1906010 -1200 ) N ;
- la_data_out[72] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1923490 -1200 ) N ;
- la_data_out[73] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1941430 -1200 ) N ;
- la_data_out[74] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1959370 -1200 ) N ;
- la_data_out[75] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1977310 -1200 ) N ;
- la_data_out[76] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 1995250 -1200 ) N ;
- la_data_out[77] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2012730 -1200 ) N ;
- la_data_out[78] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2030670 -1200 ) N ;
- la_data_out[79] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2048610 -1200 ) N ;
- la_data_out[7] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 763830 -1200 ) N ;
- la_data_out[80] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2066550 -1200 ) N ;
- la_data_out[81] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2084490 -1200 ) N ;
- la_data_out[82] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2101970 -1200 ) N ;
- la_data_out[83] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2119910 -1200 ) N ;
- la_data_out[84] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2137850 -1200 ) N ;
- la_data_out[85] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2155790 -1200 ) N ;
- la_data_out[86] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2173270 -1200 ) N ;
- la_data_out[87] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2191210 -1200 ) N ;
- la_data_out[88] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2209150 -1200 ) N ;
- la_data_out[89] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2227090 -1200 ) N ;
- la_data_out[8] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 781770 -1200 ) N ;
- la_data_out[90] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2245030 -1200 ) N ;
- la_data_out[91] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2262510 -1200 ) N ;
- la_data_out[92] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2280450 -1200 ) N ;
- la_data_out[93] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2298390 -1200 ) N ;
- la_data_out[94] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2316330 -1200 ) N ;
- la_data_out[95] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2334270 -1200 ) N ;
- la_data_out[96] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2351750 -1200 ) N ;
- la_data_out[97] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2369690 -1200 ) N ;
- la_data_out[98] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2387630 -1200 ) N ;
- la_data_out[99] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 2405570 -1200 ) N ;
- la_data_out[9] + NET zero + DIRECTION OUTPUT + USE SIGNAL
+ LAYER met2 ( -280 -3600 ) ( 280 3600 )
+ PLACED ( 799710 -1200 ) N ;
- vccd1 + NET vccd1 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1469790 -1500 ) ( 1469790 1500 )
+ FIXED ( 1459810 -3120 ) N + SPECIAL ;
- vssd1 + NET vssd1 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1474490 -1500 ) ( 1474490 1500 )
+ FIXED ( 1459810 -7820 ) N + SPECIAL ;
- vccd2 + NET vccd2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1479190 -1500 ) ( 1479190 1500 )
+ FIXED ( 1459810 -12520 ) N + SPECIAL ;
- vssd2 + NET vssd2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1483890 -1500 ) ( 1483890 1500 )
+ FIXED ( 1459810 -17220 ) N + SPECIAL ;
- vdda1 + NET vdda1 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1488590 -1500 ) ( 1488590 1500 )
+ FIXED ( 1459810 -21920 ) N + SPECIAL ;
- vssa1 + NET vssa1 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1493290 -1500 ) ( 1493290 1500 )
+ FIXED ( 1459810 -26620 ) N + SPECIAL ;
- vdda2 + NET vdda2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1497990 -1500 ) ( 1497990 1500 )
+ FIXED ( 1459810 -31320 ) N + SPECIAL ;
- vssa2 + NET vssa2 + DIRECTION INPUT + USE SIGNAL
+ LAYER met5 ( -1502690 -1500 ) ( 1502690 1500 )
+ FIXED ( 1459810 -36020 ) N + SPECIAL ;
END PINS
SPECIALNETS 8 ;
- vccd1 ( PIN vccd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2928100 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3522800 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3430880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3250880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 3070880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2890880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2710880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2530880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2350880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 2170880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1990880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1810880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1630880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1450880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1270880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 1090880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 910880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 730880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 550880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 370880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 190880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 10880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2928100 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2885520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2705520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2525520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2345520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2165520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1985520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1805520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1625520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1445520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1265520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1085520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 905520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 725520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 545520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 365520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 185520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 5520 -3120 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -8480 -3120 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -9980 3522800 ) ( 2929600 3522800 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3430880 ) ( 2934300 3430880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3250880 ) ( 2934300 3250880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3070880 ) ( 2934300 3070880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2890880 ) ( 2934300 2890880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2710880 ) ( 2934300 2710880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2530880 ) ( 2934300 2530880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2350880 ) ( 2934300 2350880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2170880 ) ( 2934300 2170880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1990880 ) ( 2934300 1990880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1810880 ) ( 2934300 1810880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1630880 ) ( 2934300 1630880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1450880 ) ( 2934300 1450880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1270880 ) ( 2934300 1270880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1090880 ) ( 2934300 1090880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 910880 ) ( 2934300 910880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 730880 ) ( 2934300 730880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 550880 ) ( 2934300 550880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 370880 ) ( 2934300 370880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 190880 ) ( 2934300 190880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 10880 ) ( 2934300 10880 )
NEW met5 3000 + SHAPE STRIPE ( -9980 -3120 ) ( 2929600 -3120 )
NEW met4 3000 + SHAPE STRIPE ( 2885520 -9320 ) ( 2885520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2705520 -9320 ) ( 2705520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2525520 -9320 ) ( 2525520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 1690880 ) ( 2345520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 1690880 ) ( 2165520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 1690880 ) ( 1985520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 1690880 ) ( 1805520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 1690880 ) ( 1625520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 1690880 ) ( 1445520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 1690880 ) ( 1265520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 1690880 ) ( 1085520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 905520 1690880 ) ( 905520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 725520 1690880 ) ( 725520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 545520 -9320 ) ( 545520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 365520 -9320 ) ( 365520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 185520 -9320 ) ( 185520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 5520 -9320 ) ( 5520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2928100 -4620 ) ( 2928100 3524300 )
NEW met4 3000 + SHAPE STRIPE ( -8480 -4620 ) ( -8480 3524300 )
NEW met4 3000 + SHAPE STRIPE ( 2345520 -9320 ) ( 2345520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 2165520 -9320 ) ( 2165520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1985520 -9320 ) ( 1985520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1805520 -9320 ) ( 1805520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1625520 -9320 ) ( 1625520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1445520 -9320 ) ( 1445520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1265520 -9320 ) ( 1265520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1085520 -9320 ) ( 1085520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 905520 -9320 ) ( 905520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 725520 -9320 ) ( 725520 310880 )
+ USE POWER ;
- vssd1 ( PIN vssd1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2932800 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3527500 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3340880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 3160880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2980880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2800880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2620880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2440880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2260880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 2080880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1900880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1720880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1540880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1360880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1180880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 1000880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 820880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 640880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 460880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 280880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 100880 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2932800 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2795520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2615520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2435520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2255520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2075520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1895520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1715520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1535520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1355520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 1175520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 995520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 815520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 635520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 455520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 275520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 95520 -7820 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -13180 -7820 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -14680 3527500 ) ( 2934300 3527500 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3340880 ) ( 2934300 3340880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 3160880 ) ( 2934300 3160880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2980880 ) ( 2934300 2980880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2800880 ) ( 2934300 2800880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2620880 ) ( 2934300 2620880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2440880 ) ( 2934300 2440880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2260880 ) ( 2934300 2260880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 2080880 ) ( 2934300 2080880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1900880 ) ( 2934300 1900880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1720880 ) ( 2934300 1720880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1540880 ) ( 2934300 1540880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1360880 ) ( 2934300 1360880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1180880 ) ( 2934300 1180880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 1000880 ) ( 2934300 1000880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 820880 ) ( 2934300 820880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 640880 ) ( 2934300 640880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 460880 ) ( 2934300 460880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 280880 ) ( 2934300 280880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 100880 ) ( 2934300 100880 )
NEW met5 3000 + SHAPE STRIPE ( -14680 -7820 ) ( 2934300 -7820 )
NEW met4 3000 + SHAPE STRIPE ( 2932800 -9320 ) ( 2932800 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2795520 -9320 ) ( 2795520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2615520 -9320 ) ( 2615520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2435520 -9320 ) ( 2435520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 1690880 ) ( 2255520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 1690880 ) ( 2075520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 1690880 ) ( 1895520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 1690880 ) ( 1715520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 1690880 ) ( 1535520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 1690880 ) ( 1355520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 1690880 ) ( 1175520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 995520 1690880 ) ( 995520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 815520 1690880 ) ( 815520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 635520 -9320 ) ( 635520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 455520 -9320 ) ( 455520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 275520 -9320 ) ( 275520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 95520 -9320 ) ( 95520 3529000 )
NEW met4 3000 + SHAPE STRIPE ( -13180 -9320 ) ( -13180 3529000 )
NEW met4 3000 + SHAPE STRIPE ( 2255520 -9320 ) ( 2255520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 2075520 -9320 ) ( 2075520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1895520 -9320 ) ( 1895520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1715520 -9320 ) ( 1715520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1535520 -9320 ) ( 1535520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1355520 -9320 ) ( 1355520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 1175520 -9320 ) ( 1175520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 995520 -9320 ) ( 995520 310880 )
NEW met4 3000 + SHAPE STRIPE ( 815520 -9320 ) ( 815520 310880 )
+ USE GROUND ;
- vccd2 ( PIN vccd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2937500 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 3532200 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2937500 -12520 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -17880 -12520 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -19380 3532200 ) ( 2939000 3532200 )
NEW met5 3000 + SHAPE STRIPE ( -19380 -12520 ) ( 2939000 -12520 )
NEW met4 3000 + SHAPE STRIPE ( 2937500 -14020 ) ( 2937500 3533700 )
NEW met4 3000 + SHAPE STRIPE ( -17880 -14020 ) ( -17880 3533700 )
+ USE POWER ;
- vssd2 ( PIN vssd2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2942200 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 3536900 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2942200 -17220 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -22580 -17220 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -24080 3536900 ) ( 2943700 3536900 )
NEW met5 3000 + SHAPE STRIPE ( -24080 -17220 ) ( 2943700 -17220 )
NEW met4 3000 + SHAPE STRIPE ( 2942200 -18720 ) ( 2942200 3538400 )
NEW met4 3000 + SHAPE STRIPE ( -22580 -18720 ) ( -22580 3538400 )
+ USE GROUND ;
- vdda1 ( PIN vdda1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2946900 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 3541600 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2946900 -21920 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -27280 -21920 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -28780 3541600 ) ( 2948400 3541600 )
NEW met5 3000 + SHAPE STRIPE ( -28780 -21920 ) ( 2948400 -21920 )
NEW met4 3000 + SHAPE STRIPE ( 2946900 -23420 ) ( 2946900 3543100 )
NEW met4 3000 + SHAPE STRIPE ( -27280 -23420 ) ( -27280 3543100 )
+ USE POWER ;
- vssa1 ( PIN vssa1 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2951600 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 3546300 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2951600 -26620 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -31980 -26620 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -33480 3546300 ) ( 2953100 3546300 )
NEW met5 3000 + SHAPE STRIPE ( -33480 -26620 ) ( 2953100 -26620 )
NEW met4 3000 + SHAPE STRIPE ( 2951600 -28120 ) ( 2951600 3547800 )
NEW met4 3000 + SHAPE STRIPE ( -31980 -28120 ) ( -31980 3547800 )
+ USE GROUND ;
- vdda2 ( PIN vdda2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2956300 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 3551000 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2956300 -31320 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -36680 -31320 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -38180 3551000 ) ( 2957800 3551000 )
NEW met5 3000 + SHAPE STRIPE ( -38180 -31320 ) ( 2957800 -31320 )
NEW met4 3000 + SHAPE STRIPE ( 2956300 -32820 ) ( 2956300 3552500 )
NEW met4 3000 + SHAPE STRIPE ( -36680 -32820 ) ( -36680 3552500 )
+ USE POWER ;
- vssa2 ( PIN vssa2 )
+ ROUTED met4 0 + SHAPE STRIPE ( 2961000 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 3555700 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( 2961000 -36020 ) via4_3000x3000
NEW met4 0 + SHAPE STRIPE ( -41380 -36020 ) via4_3000x3000
NEW met5 3000 + SHAPE STRIPE ( -42880 3555700 ) ( 2962500 3555700 )
NEW met5 3000 + SHAPE STRIPE ( -42880 -36020 ) ( 2962500 -36020 )
NEW met4 3000 + SHAPE STRIPE ( 2961000 -37520 ) ( 2961000 3557200 )
NEW met4 3000 + SHAPE STRIPE ( -41380 -37520 ) ( -41380 3557200 )
+ USE GROUND ;
END SPECIALNETS
NETS 466 ;
- analog_io[0] ( PIN analog_io[0] )
+ USE SIGNAL ;
- analog_io[10] ( PIN analog_io[10] )
+ USE SIGNAL ;
- analog_io[11] ( PIN analog_io[11] )
+ USE SIGNAL ;
- analog_io[12] ( PIN analog_io[12] )
+ USE SIGNAL ;
- analog_io[13] ( PIN analog_io[13] )
+ USE SIGNAL ;
- analog_io[14] ( PIN analog_io[14] )
+ USE SIGNAL ;
- analog_io[15] ( PIN analog_io[15] )
+ USE SIGNAL ;
- analog_io[16] ( PIN analog_io[16] )
+ USE SIGNAL ;
- analog_io[17] ( PIN analog_io[17] )
+ USE SIGNAL ;
- analog_io[18] ( PIN analog_io[18] )
+ USE SIGNAL ;
- analog_io[19] ( PIN analog_io[19] )
+ USE SIGNAL ;
- analog_io[1] ( PIN analog_io[1] )
+ USE SIGNAL ;
- analog_io[20] ( PIN analog_io[20] )
+ USE SIGNAL ;
- analog_io[21] ( PIN analog_io[21] )
+ USE SIGNAL ;
- analog_io[22] ( PIN analog_io[22] )
+ USE SIGNAL ;
- analog_io[23] ( PIN analog_io[23] )
+ USE SIGNAL ;
- analog_io[24] ( PIN analog_io[24] )
+ USE SIGNAL ;
- analog_io[25] ( PIN analog_io[25] )
+ USE SIGNAL ;
- analog_io[26] ( PIN analog_io[26] )
+ USE SIGNAL ;
- analog_io[27] ( PIN analog_io[27] )
+ USE SIGNAL ;
- analog_io[28] ( PIN analog_io[28] )
+ USE SIGNAL ;
- analog_io[29] ( PIN analog_io[29] )
+ USE SIGNAL ;
- analog_io[2] ( PIN analog_io[2] )
+ USE SIGNAL ;
- analog_io[30] ( PIN analog_io[30] )
+ USE SIGNAL ;
- analog_io[3] ( PIN analog_io[3] )
+ USE SIGNAL ;
- analog_io[4] ( PIN analog_io[4] )
+ USE SIGNAL ;
- analog_io[5] ( PIN analog_io[5] )
+ USE SIGNAL ;
- analog_io[6] ( PIN analog_io[6] )
+ USE SIGNAL ;
- analog_io[7] ( PIN analog_io[7] )
+ USE SIGNAL ;
- analog_io[8] ( PIN analog_io[8] )
+ USE SIGNAL ;
- analog_io[9] ( PIN analog_io[9] )
+ USE SIGNAL ;
- io_in[0] ( PIN io_in[0] )
+ USE SIGNAL ;
- io_in[10] ( PIN io_in[10] )
+ USE SIGNAL ;
- io_in[11] ( PIN io_in[11] ) ( mprj io_in[0] )
+ ROUTED met2 ( 2408790 334900 ) ( 2408790 337790 )
NEW met1 ( 2408790 337790 ) ( 2418450 337790 )
NEW met2 ( 2900990 2663730 ) ( 2900990 2669340 )
NEW met3 ( 2900990 2669340 ) ( 2917780 2669340 0 )
NEW met3 ( 2397980 334900 0 ) ( 2408790 334900 )
NEW met2 ( 2418450 337790 ) ( 2418450 2663730 )
NEW met1 ( 2418450 2663730 ) ( 2900990 2663730 )
NEW met2 ( 2408790 334900 ) via2_FR
NEW met1 ( 2408790 337790 ) M1M2_PR
NEW met1 ( 2418450 337790 ) M1M2_PR
NEW met1 ( 2900990 2663730 ) M1M2_PR
NEW met2 ( 2900990 2669340 ) via2_FR
NEW met1 ( 2418450 2663730 ) M1M2_PR
+ USE SIGNAL ;
- io_in[12] ( PIN io_in[12] ) ( mprj io_in[1] )
+ ROUTED met2 ( 2413390 419900 ) ( 2413390 420070 )
NEW met1 ( 2413390 420070 ) ( 2425350 420070 )
NEW met2 ( 2900990 2898330 ) ( 2900990 2903940 )
NEW met3 ( 2900990 2903940 ) ( 2917780 2903940 0 )
NEW met3 ( 2397980 419900 0 ) ( 2413390 419900 )
NEW met1 ( 2425350 2898330 ) ( 2900990 2898330 )
NEW met2 ( 2425350 420070 ) ( 2425350 2898330 )
NEW met2 ( 2413390 419900 ) via2_FR
NEW met1 ( 2413390 420070 ) M1M2_PR
NEW met1 ( 2425350 420070 ) M1M2_PR
NEW met1 ( 2900990 2898330 ) M1M2_PR
NEW met2 ( 2900990 2903940 ) via2_FR
NEW met1 ( 2425350 2898330 ) M1M2_PR
+ USE SIGNAL ;
- io_in[13] ( PIN io_in[13] ) ( mprj io_in[2] )
+ ROUTED met2 ( 2413390 504900 ) ( 2413390 510510 )
NEW met1 ( 2413390 510510 ) ( 2432250 510510 )
NEW met2 ( 2432250 510510 ) ( 2432250 3132930 )
NEW met2 ( 2900990 3132930 ) ( 2900990 3138540 )
NEW met3 ( 2900990 3138540 ) ( 2917780 3138540 0 )
NEW met3 ( 2397980 504900 0 ) ( 2413390 504900 )
NEW met1 ( 2432250 3132930 ) ( 2900990 3132930 )
NEW met2 ( 2413390 504900 ) via2_FR
NEW met1 ( 2413390 510510 ) M1M2_PR
NEW met1 ( 2432250 510510 ) M1M2_PR
NEW met1 ( 2432250 3132930 ) M1M2_PR
NEW met1 ( 2900990 3132930 ) M1M2_PR
NEW met2 ( 2900990 3138540 ) via2_FR
+ USE SIGNAL ;
- io_in[14] ( PIN io_in[14] ) ( mprj io_in[3] )
+ ROUTED met2 ( 2410630 589900 ) ( 2410630 591090 )
NEW met1 ( 2410630 591090 ) ( 2439150 591090 )
NEW met2 ( 2900990 3367530 ) ( 2900990 3373140 )
NEW met3 ( 2900990 3373140 ) ( 2917780 3373140 0 )
NEW met2 ( 2439150 591090 ) ( 2439150 3367530 )
NEW met3 ( 2397980 589900 0 ) ( 2410630 589900 )
NEW met1 ( 2439150 3367530 ) ( 2900990 3367530 )
NEW met2 ( 2410630 589900 ) via2_FR
NEW met1 ( 2410630 591090 ) M1M2_PR
NEW met1 ( 2439150 591090 ) M1M2_PR
NEW met1 ( 2439150 3367530 ) M1M2_PR
NEW met1 ( 2900990 3367530 ) M1M2_PR
NEW met2 ( 2900990 3373140 ) via2_FR
+ USE SIGNAL ;
- io_in[15] ( PIN io_in[15] ) ( mprj io_in[4] )
+ ROUTED met2 ( 2414770 674900 ) ( 2414770 675070 )
NEW met1 ( 2414770 675070 ) ( 2446050 675070 )
NEW met2 ( 2446050 675070 ) ( 2446050 3501490 )
NEW met2 ( 2798410 3501490 ) ( 2798410 3517980 0 )
NEW met3 ( 2397980 674900 0 ) ( 2414770 674900 )
NEW met1 ( 2446050 3501490 ) ( 2798410 3501490 )
NEW met2 ( 2414770 674900 ) via2_FR
NEW met1 ( 2414770 675070 ) M1M2_PR
NEW met1 ( 2446050 675070 ) M1M2_PR
NEW met1 ( 2446050 3501490 ) M1M2_PR
NEW met1 ( 2798410 3501490 ) M1M2_PR
+ USE SIGNAL ;
- io_in[16] ( PIN io_in[16] ) ( mprj io_in[5] )
+ ROUTED met2 ( 2413850 759900 ) ( 2413850 765850 )
NEW met3 ( 2397980 759900 0 ) ( 2413850 759900 )
NEW met1 ( 2413850 765850 ) ( 2452950 765850 )
NEW met1 ( 2452950 3498430 ) ( 2474110 3498430 )
NEW met2 ( 2452950 765850 ) ( 2452950 3498430 )
NEW met2 ( 2474110 3498430 ) ( 2474110 3517980 0 )
NEW met2 ( 2413850 759900 ) via2_FR
NEW met1 ( 2413850 765850 ) M1M2_PR
NEW met1 ( 2452950 765850 ) M1M2_PR
NEW met1 ( 2452950 3498430 ) M1M2_PR
NEW met1 ( 2474110 3498430 ) M1M2_PR
+ USE SIGNAL ;
- io_in[17] ( PIN io_in[17] ) ( mprj io_in[6] )
+ ROUTED met1 ( 2149350 3498430 ) ( 2152570 3498430 )
NEW met2 ( 2152570 1682830 ) ( 2152570 3498430 )
NEW met2 ( 2149350 3498430 ) ( 2149350 3517980 0 )
NEW met3 ( 2397980 844900 0 ) ( 2412010 844900 )
NEW met1 ( 2152570 1682830 ) ( 2412010 1682830 )
NEW met2 ( 2412010 844900 ) ( 2412010 1682830 )
NEW met1 ( 2149350 3498430 ) M1M2_PR
NEW met1 ( 2152570 3498430 ) M1M2_PR
NEW met1 ( 2152570 1682830 ) M1M2_PR
NEW met2 ( 2412010 844900 ) via2_FR
NEW met1 ( 2412010 1682830 ) M1M2_PR
+ USE SIGNAL ;
- io_in[18] ( PIN io_in[18] ) ( mprj io_in[7] )
+ ROUTED met1 ( 1825050 3498430 ) ( 1828270 3498430 )
NEW met2 ( 1825050 3498430 ) ( 1825050 3517980 0 )
NEW met2 ( 1828270 1682490 ) ( 1828270 3498430 )
NEW met3 ( 2397980 929900 0 ) ( 2409250 929900 )
NEW met2 ( 2409250 929900 ) ( 2409250 1682490 )
NEW met1 ( 1828270 1682490 ) ( 2409250 1682490 )
NEW met1 ( 1825050 3498430 ) M1M2_PR
NEW met1 ( 1828270 3498430 ) M1M2_PR
NEW met1 ( 1828270 1682490 ) M1M2_PR
NEW met2 ( 2409250 929900 ) via2_FR
NEW met1 ( 2409250 1682490 ) M1M2_PR
+ USE SIGNAL ;
- io_in[19] ( PIN io_in[19] ) ( mprj io_in[8] )
+ ROUTED met1 ( 1500750 3498430 ) ( 1503970 3498430 )
NEW met2 ( 1500750 3498430 ) ( 1500750 3517980 0 )
NEW met3 ( 2397980 1014900 0 ) ( 2409710 1014900 )
NEW met2 ( 2409710 1014900 ) ( 2409710 1682150 )
NEW met2 ( 1503970 1682150 ) ( 1503970 3498430 )
NEW met1 ( 1503970 1682150 ) ( 2409710 1682150 )
NEW met1 ( 1500750 3498430 ) M1M2_PR
NEW met1 ( 1503970 3498430 ) M1M2_PR
NEW met2 ( 2409710 1014900 ) via2_FR
NEW met1 ( 2409710 1682150 ) M1M2_PR
NEW met1 ( 1503970 1682150 ) M1M2_PR
+ USE SIGNAL ;
- io_in[1] ( PIN io_in[1] )
+ USE SIGNAL ;
- io_in[20] ( PIN io_in[20] ) ( mprj io_in[9] )
+ ROUTED met1 ( 1175990 3498430 ) ( 1179670 3498430 )
NEW met2 ( 1175990 3498430 ) ( 1175990 3517980 0 )
NEW met3 ( 2397980 1099900 0 ) ( 2410170 1099900 )
NEW met2 ( 2410170 1099900 ) ( 2410170 1681810 )
NEW met2 ( 1179670 1681810 ) ( 1179670 3498430 )
NEW met1 ( 1179670 1681810 ) ( 2410170 1681810 )
NEW met1 ( 1175990 3498430 ) M1M2_PR
NEW met1 ( 1179670 3498430 ) M1M2_PR
NEW met2 ( 2410170 1099900 ) via2_FR
NEW met1 ( 2410170 1681810 ) M1M2_PR
NEW met1 ( 1179670 1681810 ) M1M2_PR
+ USE SIGNAL ;
- io_in[21] ( PIN io_in[21] ) ( mprj io_in[10] )
+ ROUTED met1 ( 851690 3498430 ) ( 855370 3498430 )
NEW met2 ( 851690 3498430 ) ( 851690 3517980 0 )
NEW met2 ( 855370 1681130 ) ( 855370 3498430 )
NEW met3 ( 2397980 1184900 0 ) ( 2411090 1184900 )
NEW met2 ( 2411090 1184900 ) ( 2411090 1681130 )
NEW met1 ( 855370 1681130 ) ( 2411090 1681130 )
NEW met1 ( 851690 3498430 ) M1M2_PR
NEW met1 ( 855370 3498430 ) M1M2_PR
NEW met1 ( 855370 1681130 ) M1M2_PR
NEW met2 ( 2411090 1184900 ) via2_FR
NEW met1 ( 2411090 1681130 ) M1M2_PR
+ USE SIGNAL ;
- io_in[22] ( PIN io_in[22] ) ( mprj io_in[11] )
+ ROUTED met1 ( 527390 3498430 ) ( 531070 3498430 )
NEW met2 ( 527390 3498430 ) ( 527390 3517980 0 )
NEW met3 ( 2397060 1272620 ) ( 2397750 1272620 )
NEW met3 ( 2397060 1269900 0 ) ( 2397060 1272620 )
NEW met2 ( 2397750 1272620 ) ( 2397750 1680450 )
NEW met2 ( 531070 1680450 ) ( 531070 3498430 )
NEW met1 ( 531070 1680450 ) ( 2397750 1680450 )
NEW met1 ( 527390 3498430 ) M1M2_PR
NEW met1 ( 531070 3498430 ) M1M2_PR
NEW met2 ( 2397750 1272620 ) via2_FR
NEW met1 ( 2397750 1680450 ) M1M2_PR
NEW met1 ( 531070 1680450 ) M1M2_PR
+ USE SIGNAL ;
- io_in[23] ( PIN io_in[23] ) ( mprj io_in[12] )
+ ROUTED met1 ( 202630 3502510 ) ( 206770 3502510 )
NEW met2 ( 202630 3502510 ) ( 202630 3517980 0 )
NEW met3 ( 2397060 1354900 0 ) ( 2397060 1357620 )
NEW met3 ( 2397060 1357620 ) ( 2398210 1357620 )
NEW met2 ( 206770 1680110 ) ( 206770 3502510 )
NEW met2 ( 2398210 1357620 ) ( 2398210 1680110 )
NEW met1 ( 206770 1680110 ) ( 2398210 1680110 )
NEW met1 ( 202630 3502510 ) M1M2_PR
NEW met1 ( 206770 3502510 ) M1M2_PR
NEW met2 ( 2398210 1357620 ) via2_FR
NEW met1 ( 206770 1680110 ) M1M2_PR
NEW met1 ( 2398210 1680110 ) M1M2_PR
+ USE SIGNAL ;
- io_in[24] ( PIN io_in[24] ) ( mprj io_in[13] )
+ ROUTED met3 ( 2300 3411220 0 ) ( 17710 3411220 )
NEW met2 ( 17710 3408670 ) ( 17710 3411220 )
NEW met3 ( 2396830 1441940 ) ( 2397060 1441940 )
NEW met3 ( 2397060 1439900 0 ) ( 2397060 1441940 )
NEW met2 ( 2395910 1487500 ) ( 2396830 1487500 )
NEW met2 ( 2396830 1441940 ) ( 2396830 1487500 )
NEW met2 ( 2395910 1487500 ) ( 2395910 3408670 )
NEW met1 ( 17710 3408670 ) ( 2395910 3408670 )
NEW met2 ( 17710 3411220 ) via2_FR
NEW met1 ( 17710 3408670 ) M1M2_PR
NEW met1 ( 2395910 3408670 ) M1M2_PR
NEW met2 ( 2396830 1441940 ) via2_FR
+ USE SIGNAL ;
- io_in[25] ( PIN io_in[25] ) ( mprj io_in[14] )
+ ROUTED met3 ( 2300 3124260 0 ) ( 17250 3124260 )
NEW met2 ( 17250 3118990 ) ( 17250 3124260 )
NEW met3 ( 2396830 1527620 ) ( 2397060 1527620 )
NEW met3 ( 2397060 1524900 0 ) ( 2397060 1527620 )
NEW met2 ( 2396830 1527620 ) ( 2396830 3118990 )
NEW met1 ( 17250 3118990 ) ( 2396830 3118990 )
NEW met2 ( 17250 3124260 ) via2_FR
NEW met1 ( 17250 3118990 ) M1M2_PR
NEW met2 ( 2396830 1527620 ) via2_FR
NEW met1 ( 2396830 3118990 ) M1M2_PR
+ USE SIGNAL ;
- io_in[26] ( PIN io_in[26] ) ( mprj io_in[15] )
+ ROUTED met3 ( 2300 2836620 0 ) ( 17250 2836620 )
NEW met2 ( 17250 2836110 ) ( 17250 2836620 )
NEW met1 ( 2397290 1641690 ) ( 2398670 1641690 )
NEW met2 ( 2398670 1609900 ) ( 2398670 1641690 )
NEW met3 ( 2397980 1609900 0 ) ( 2398670 1609900 )
NEW met2 ( 2397290 1641690 ) ( 2397290 2836110 )
NEW met1 ( 17250 2836110 ) ( 2397290 2836110 )
NEW met2 ( 17250 2836620 ) via2_FR
NEW met1 ( 17250 2836110 ) M1M2_PR
NEW met1 ( 2397290 1641690 ) M1M2_PR
NEW met1 ( 2398670 1641690 ) M1M2_PR
NEW met2 ( 2398670 1609900 ) via2_FR
NEW met1 ( 2397290 2836110 ) M1M2_PR
+ USE SIGNAL ;
- io_in[27] ( PIN io_in[27] )
+ USE SIGNAL ;
- io_in[28] ( PIN io_in[28] )
+ USE SIGNAL ;
- io_in[29] ( PIN io_in[29] )
+ USE SIGNAL ;
- io_in[2] ( PIN io_in[2] )
+ USE SIGNAL ;
- io_in[30] ( PIN io_in[30] )
+ USE SIGNAL ;
- io_in[31] ( PIN io_in[31] )
+ USE SIGNAL ;
- io_in[32] ( PIN io_in[32] )
+ USE SIGNAL ;
- io_in[33] ( PIN io_in[33] )
+ USE SIGNAL ;
- io_in[34] ( PIN io_in[34] )
+ USE SIGNAL ;
- io_in[35] ( PIN io_in[35] )
+ USE SIGNAL ;
- io_in[36] ( PIN io_in[36] )
+ USE SIGNAL ;
- io_in[37] ( PIN io_in[37] )
+ USE SIGNAL ;
- io_in[3] ( PIN io_in[3] )
+ USE SIGNAL ;
- io_in[4] ( PIN io_in[4] )
+ USE SIGNAL ;
- io_in[5] ( PIN io_in[5] )
+ USE SIGNAL ;
- io_in[6] ( PIN io_in[6] )
+ USE SIGNAL ;
- io_in[7] ( PIN io_in[7] )
+ USE SIGNAL ;
- io_in[8] ( PIN io_in[8] )
+ USE SIGNAL ;
- io_in[9] ( PIN io_in[9] )
+ USE SIGNAL ;
- io_oeb[11] ( PIN io_oeb[11] ) ( mprj io_oeb[0] )
+ ROUTED met2 ( 2414770 362780 ) ( 2414770 365670 )
NEW met2 ( 2900990 2781030 ) ( 2900990 2786300 )
NEW met3 ( 2900990 2786300 ) ( 2917780 2786300 0 )
NEW met3 ( 2397980 362780 0 ) ( 2414770 362780 )
NEW met1 ( 2414770 365670 ) ( 2459850 365670 )
NEW met2 ( 2459850 365670 ) ( 2459850 2781030 )
NEW met1 ( 2459850 2781030 ) ( 2900990 2781030 )
NEW met2 ( 2414770 362780 ) via2_FR
NEW met1 ( 2414770 365670 ) M1M2_PR
NEW met1 ( 2900990 2781030 ) M1M2_PR
NEW met2 ( 2900990 2786300 ) via2_FR
NEW met1 ( 2459850 365670 ) M1M2_PR
NEW met1 ( 2459850 2781030 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[12] ( PIN io_oeb[12] ) ( mprj io_oeb[1] )
+ ROUTED met2 ( 2900990 3015630 ) ( 2900990 3020900 )
NEW met3 ( 2900990 3020900 ) ( 2917780 3020900 0 )
NEW met2 ( 2414770 447780 ) ( 2414770 448290 )
NEW met1 ( 2466750 3015630 ) ( 2900990 3015630 )
NEW met3 ( 2397980 447780 0 ) ( 2414770 447780 )
NEW met1 ( 2414770 448290 ) ( 2466750 448290 )
NEW met2 ( 2466750 448290 ) ( 2466750 3015630 )
NEW met1 ( 2900990 3015630 ) M1M2_PR
NEW met2 ( 2900990 3020900 ) via2_FR
NEW met2 ( 2414770 447780 ) via2_FR
NEW met1 ( 2414770 448290 ) M1M2_PR
NEW met1 ( 2466750 3015630 ) M1M2_PR
NEW met1 ( 2466750 448290 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[13] ( PIN io_oeb[13] ) ( mprj io_oeb[2] )
+ ROUTED met2 ( 2413850 532780 ) ( 2413850 538050 )
NEW met2 ( 2900990 3250230 ) ( 2900990 3255500 )
NEW met3 ( 2900990 3255500 ) ( 2917780 3255500 0 )
NEW met3 ( 2397980 532780 0 ) ( 2413850 532780 )
NEW met1 ( 2413850 538050 ) ( 2473650 538050 )
NEW met2 ( 2473650 538050 ) ( 2473650 3250230 )
NEW met1 ( 2473650 3250230 ) ( 2900990 3250230 )
NEW met2 ( 2413850 532780 ) via2_FR
NEW met1 ( 2413850 538050 ) M1M2_PR
NEW met1 ( 2900990 3250230 ) M1M2_PR
NEW met2 ( 2900990 3255500 ) via2_FR
NEW met1 ( 2473650 538050 ) M1M2_PR
NEW met1 ( 2473650 3250230 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[14] ( PIN io_oeb[14] ) ( mprj io_oeb[3] )
+ ROUTED met2 ( 2900990 3484830 ) ( 2900990 3490100 )
NEW met3 ( 2900990 3490100 ) ( 2917780 3490100 0 )
NEW met2 ( 2414770 617780 ) ( 2414770 620670 )
NEW met1 ( 2480550 3484830 ) ( 2900990 3484830 )
NEW met3 ( 2397980 617780 0 ) ( 2414770 617780 )
NEW met1 ( 2414770 620670 ) ( 2480550 620670 )
NEW met2 ( 2480550 620670 ) ( 2480550 3484830 )
NEW met1 ( 2900990 3484830 ) M1M2_PR
NEW met2 ( 2900990 3490100 ) via2_FR
NEW met2 ( 2414770 617780 ) via2_FR
NEW met1 ( 2414770 620670 ) M1M2_PR
NEW met1 ( 2480550 3484830 ) M1M2_PR
NEW met1 ( 2480550 620670 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[15] ( PIN io_oeb[15] ) ( mprj io_oeb[4] )
+ ROUTED met2 ( 2414770 702780 ) ( 2414770 703630 )
NEW met2 ( 2636030 3502170 ) ( 2636030 3517980 0 )
NEW met3 ( 2397980 702780 0 ) ( 2414770 702780 )
NEW met1 ( 2414770 703630 ) ( 2487450 703630 )
NEW met1 ( 2487450 3502170 ) ( 2636030 3502170 )
NEW met2 ( 2487450 703630 ) ( 2487450 3502170 )
NEW met2 ( 2414770 702780 ) via2_FR
NEW met1 ( 2414770 703630 ) M1M2_PR
NEW met1 ( 2636030 3502170 ) M1M2_PR
NEW met1 ( 2487450 703630 ) M1M2_PR
NEW met1 ( 2487450 3502170 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[16] ( PIN io_oeb[16] ) ( mprj io_oeb[5] )
+ ROUTED met1 ( 2311730 3498430 ) ( 2318170 3498430 )
NEW met2 ( 2318170 1687930 ) ( 2318170 3498430 )
NEW met2 ( 2311730 3498430 ) ( 2311730 3517980 0 )
NEW met3 ( 2397980 787780 0 ) ( 2408790 787780 )
NEW met1 ( 2318170 1687930 ) ( 2408790 1687930 )
NEW met2 ( 2408790 787780 ) ( 2408790 1687930 )
NEW met1 ( 2311730 3498430 ) M1M2_PR
NEW met1 ( 2318170 3498430 ) M1M2_PR
NEW met2 ( 2408790 787780 ) via2_FR
NEW met1 ( 2318170 1687930 ) M1M2_PR
NEW met1 ( 2408790 1687930 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[17] ( PIN io_oeb[17] ) ( mprj io_oeb[6] )
+ ROUTED met1 ( 1987430 3499790 ) ( 1993870 3499790 )
NEW met3 ( 2397980 872780 0 ) ( 2402350 872780 )
NEW met2 ( 1987430 3499790 ) ( 1987430 3517980 0 )
NEW met2 ( 2402350 872780 ) ( 2402350 1687590 )
NEW met2 ( 1993870 1687590 ) ( 1993870 3499790 )
NEW met1 ( 1993870 1687590 ) ( 2402350 1687590 )
NEW met2 ( 2402350 872780 ) via2_FR
NEW met1 ( 1987430 3499790 ) M1M2_PR
NEW met1 ( 1993870 3499790 ) M1M2_PR
NEW met1 ( 2402350 1687590 ) M1M2_PR
NEW met1 ( 1993870 1687590 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[18] ( PIN io_oeb[18] ) ( mprj io_oeb[7] )
+ ROUTED met3 ( 2397980 957780 0 ) ( 2402810 957780 )
NEW met2 ( 2402810 957780 ) ( 2402810 1687250 )
NEW met2 ( 1662670 1687250 ) ( 1662670 3517980 0 )
NEW met1 ( 1662670 1687250 ) ( 2402810 1687250 )
NEW met2 ( 2402810 957780 ) via2_FR
NEW met1 ( 2402810 1687250 ) M1M2_PR
NEW met1 ( 1662670 1687250 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[19] ( PIN io_oeb[19] ) ( mprj io_oeb[8] )
+ ROUTED met2 ( 1338370 3503530 ) ( 1338370 3517980 0 )
NEW met3 ( 2397980 1042780 0 ) ( 2401430 1042780 )
NEW met1 ( 1338370 3503530 ) ( 2401430 3503530 )
NEW met2 ( 2401430 1042780 ) ( 2401430 3503530 )
NEW met1 ( 2401430 3503530 ) M1M2_PR
NEW met1 ( 1338370 3503530 ) M1M2_PR
NEW met2 ( 2401430 1042780 ) via2_FR
+ USE SIGNAL ;
- io_oeb[20] ( PIN io_oeb[20] ) ( mprj io_oeb[9] )
+ ROUTED met2 ( 1014070 3503190 ) ( 1014070 3517980 0 )
NEW met2 ( 2390850 1631660 ) ( 2391310 1631660 )
NEW met1 ( 2389930 2670190 ) ( 2391310 2670190 )
NEW met1 ( 2389930 2766410 ) ( 2391310 2766410 )
NEW met1 ( 2392690 2862630 ) ( 2392690 2862970 )
NEW met1 ( 2391310 2862970 ) ( 2392690 2862970 )
NEW met2 ( 2391310 1206660 ) ( 2392690 1206660 )
NEW met1 ( 2389010 1738930 ) ( 2391310 1738930 )
NEW met2 ( 2389010 1738930 ) ( 2389010 1786700 )
NEW met3 ( 2389010 1786700 ) ( 2391310 1786700 )
NEW met1 ( 2389010 1835490 ) ( 2391310 1835490 )
NEW met2 ( 2389010 1835490 ) ( 2389010 1883260 )
NEW met3 ( 2389010 1883260 ) ( 2391310 1883260 )
NEW met2 ( 2391310 1786700 ) ( 2391310 1835490 )
NEW met1 ( 2389010 1932050 ) ( 2391310 1932050 )
NEW met2 ( 2389010 1932050 ) ( 2389010 1979820 )
NEW met3 ( 2389010 1979820 ) ( 2391310 1979820 )
NEW met2 ( 2391310 1883260 ) ( 2391310 1932050 )
NEW met1 ( 2389930 2028610 ) ( 2391310 2028610 )
NEW met2 ( 2389930 2028610 ) ( 2389930 2076890 )
NEW met1 ( 2389930 2076890 ) ( 2391310 2076890 )
NEW met2 ( 2391310 1979820 ) ( 2391310 2028610 )
NEW met3 ( 2389930 2125340 ) ( 2391310 2125340 )
NEW met2 ( 2389930 2125340 ) ( 2389930 2173450 )
NEW met1 ( 2389930 2173450 ) ( 2391310 2173450 )
NEW met2 ( 2391310 2076890 ) ( 2391310 2125340 )
NEW met3 ( 2389930 2221900 ) ( 2391310 2221900 )
NEW met2 ( 2389930 2221900 ) ( 2389930 2270010 )
NEW met1 ( 2389930 2270010 ) ( 2391310 2270010 )
NEW met2 ( 2391310 2173450 ) ( 2391310 2221900 )
NEW met3 ( 2389930 2318460 ) ( 2391310 2318460 )
NEW met2 ( 2389930 2318460 ) ( 2389930 2366570 )
NEW met1 ( 2389930 2366570 ) ( 2391310 2366570 )
NEW met2 ( 2391310 2270010 ) ( 2391310 2318460 )
NEW met3 ( 2389930 2415020 ) ( 2391310 2415020 )
NEW met2 ( 2389930 2415020 ) ( 2389930 2463130 )
NEW met1 ( 2389930 2463130 ) ( 2391310 2463130 )
NEW met2 ( 2391310 2366570 ) ( 2391310 2415020 )
NEW met1 ( 2389930 2511750 ) ( 2391310 2511750 )
NEW met2 ( 2389930 2511750 ) ( 2389930 2559860 )
NEW met3 ( 2389930 2559860 ) ( 2391310 2559860 )
NEW met2 ( 2391310 2463130 ) ( 2391310 2511750 )
NEW met1 ( 2389930 2608310 ) ( 2391310 2608310 )
NEW met2 ( 2389930 2608310 ) ( 2389930 2670190 )
NEW met2 ( 2391310 2559860 ) ( 2391310 2608310 )
NEW met1 ( 2389930 2705210 ) ( 2391310 2705210 )
NEW met2 ( 2389930 2705210 ) ( 2389930 2766410 )
NEW met2 ( 2391310 2670190 ) ( 2391310 2705210 )
NEW met1 ( 2391310 2801430 ) ( 2391310 2802110 )
NEW met1 ( 2391310 2802110 ) ( 2392690 2802110 )
NEW met2 ( 2391310 2766410 ) ( 2391310 2801430 )
NEW met2 ( 2392690 2802110 ) ( 2392690 2862630 )
NEW met2 ( 2390390 3139220 ) ( 2390850 3139220 )
NEW met2 ( 2390390 3104540 ) ( 2390390 3139220 )
NEW met2 ( 2390390 3104540 ) ( 2391310 3104540 )
NEW met1 ( 2391310 3188010 ) ( 2391310 3188690 )
NEW met2 ( 2377510 3478540 ) ( 2377510 3503190 )
NEW met3 ( 2377510 3478540 ) ( 2391540 3478540 )
NEW met3 ( 2391540 3477860 ) ( 2391540 3478540 )
NEW met3 ( 2391310 3477860 ) ( 2391540 3477860 )
NEW met1 ( 1014070 3503190 ) ( 2377510 3503190 )
NEW met2 ( 2392690 1143420 ) ( 2393150 1143420 )
NEW met2 ( 2393150 1143250 ) ( 2393150 1143420 )
NEW met1 ( 2393150 1143250 ) ( 2395450 1143250 )
NEW met2 ( 2395450 1130500 ) ( 2395450 1143250 )
NEW met3 ( 2395220 1130500 ) ( 2395450 1130500 )
NEW met3 ( 2395220 1127780 0 ) ( 2395220 1130500 )
NEW met2 ( 2392690 1143420 ) ( 2392690 1206660 )
NEW met2 ( 2390850 3056260 ) ( 2391310 3056260 )
NEW met2 ( 2391310 3056260 ) ( 2391310 3104540 )
NEW met1 ( 2390850 3139730 ) ( 2391310 3139730 )
NEW met2 ( 2391310 3139730 ) ( 2391310 3152990 )
NEW met1 ( 2390850 3152990 ) ( 2391310 3152990 )
NEW met1 ( 2390850 3152990 ) ( 2390850 3153330 )
NEW met2 ( 2390850 3153330 ) ( 2390850 3187500 )
NEW met2 ( 2390850 3187500 ) ( 2391310 3187500 )
NEW met2 ( 2390850 3139220 ) ( 2390850 3139730 )
NEW met2 ( 2391310 3187500 ) ( 2391310 3188010 )
NEW met1 ( 2389470 3236290 ) ( 2391310 3236290 )
NEW met2 ( 2389470 3236290 ) ( 2389470 3284230 )
NEW met1 ( 2389470 3284230 ) ( 2391310 3284230 )
NEW met2 ( 2391310 3188690 ) ( 2391310 3236290 )
NEW met1 ( 2389470 3332850 ) ( 2391310 3332850 )
NEW met2 ( 2389470 3332850 ) ( 2389470 3380790 )
NEW met1 ( 2389470 3380790 ) ( 2391310 3380790 )
NEW met2 ( 2391310 3284230 ) ( 2391310 3332850 )
NEW met1 ( 2389930 3429410 ) ( 2391310 3429410 )
NEW met2 ( 2389930 3429410 ) ( 2389930 3477350 )
NEW met1 ( 2389930 3477350 ) ( 2391310 3477350 )
NEW met2 ( 2391310 3380790 ) ( 2391310 3429410 )
NEW met2 ( 2391310 3477350 ) ( 2391310 3477860 )
NEW met2 ( 2391310 1206660 ) ( 2391310 1631660 )
NEW met2 ( 2389010 1658860 ) ( 2390850 1658860 )
NEW met2 ( 2390850 1631660 ) ( 2390850 1658860 )
NEW met1 ( 2390390 2938790 ) ( 2390850 2938790 )
NEW met2 ( 2390850 2911420 ) ( 2390850 2938790 )
NEW met2 ( 2390850 2911420 ) ( 2391310 2911420 )
NEW met2 ( 2391310 2862970 ) ( 2391310 2911420 )
NEW met3 ( 2390620 3035860 ) ( 2390850 3035860 )
NEW met3 ( 2390620 3035180 ) ( 2390620 3035860 )
NEW met3 ( 2389930 3035180 ) ( 2390620 3035180 )
NEW met2 ( 2389930 2988430 ) ( 2389930 3035180 )
NEW met1 ( 2389930 2988430 ) ( 2390390 2988430 )
NEW met1 ( 2390390 2987750 ) ( 2390390 2988430 )
NEW met1 ( 2390390 2987750 ) ( 2390850 2987750 )
NEW met2 ( 2390850 3035860 ) ( 2390850 3056260 )
NEW met1 ( 2389010 1683850 ) ( 2389010 1684190 )
NEW met1 ( 2389010 1684190 ) ( 2391310 1684190 )
NEW met2 ( 2389010 1658860 ) ( 2389010 1683850 )
NEW met2 ( 2391310 1684190 ) ( 2391310 1738930 )
NEW met1 ( 2390390 2939470 ) ( 2390850 2939470 )
NEW met2 ( 2390390 2938790 ) ( 2390390 2939470 )
NEW met2 ( 2390850 2939470 ) ( 2390850 2987750 )
NEW met1 ( 1014070 3503190 ) M1M2_PR
NEW met1 ( 2389930 2670190 ) M1M2_PR
NEW met1 ( 2391310 2670190 ) M1M2_PR
NEW met1 ( 2389930 2766410 ) M1M2_PR
NEW met1 ( 2391310 2766410 ) M1M2_PR
NEW met1 ( 2392690 2862630 ) M1M2_PR
NEW met1 ( 2391310 2862970 ) M1M2_PR
NEW met1 ( 2391310 1738930 ) M1M2_PR
NEW met1 ( 2389010 1738930 ) M1M2_PR
NEW met2 ( 2389010 1786700 ) via2_FR
NEW met2 ( 2391310 1786700 ) via2_FR
NEW met1 ( 2391310 1835490 ) M1M2_PR
NEW met1 ( 2389010 1835490 ) M1M2_PR
NEW met2 ( 2389010 1883260 ) via2_FR
NEW met2 ( 2391310 1883260 ) via2_FR
NEW met1 ( 2391310 1932050 ) M1M2_PR
NEW met1 ( 2389010 1932050 ) M1M2_PR
NEW met2 ( 2389010 1979820 ) via2_FR
NEW met2 ( 2391310 1979820 ) via2_FR
NEW met1 ( 2391310 2028610 ) M1M2_PR
NEW met1 ( 2389930 2028610 ) M1M2_PR
NEW met1 ( 2389930 2076890 ) M1M2_PR
NEW met1 ( 2391310 2076890 ) M1M2_PR
NEW met2 ( 2391310 2125340 ) via2_FR
NEW met2 ( 2389930 2125340 ) via2_FR
NEW met1 ( 2389930 2173450 ) M1M2_PR
NEW met1 ( 2391310 2173450 ) M1M2_PR
NEW met2 ( 2391310 2221900 ) via2_FR
NEW met2 ( 2389930 2221900 ) via2_FR
NEW met1 ( 2389930 2270010 ) M1M2_PR
NEW met1 ( 2391310 2270010 ) M1M2_PR
NEW met2 ( 2391310 2318460 ) via2_FR
NEW met2 ( 2389930 2318460 ) via2_FR
NEW met1 ( 2389930 2366570 ) M1M2_PR
NEW met1 ( 2391310 2366570 ) M1M2_PR
NEW met2 ( 2391310 2415020 ) via2_FR
NEW met2 ( 2389930 2415020 ) via2_FR
NEW met1 ( 2389930 2463130 ) M1M2_PR
NEW met1 ( 2391310 2463130 ) M1M2_PR
NEW met1 ( 2391310 2511750 ) M1M2_PR
NEW met1 ( 2389930 2511750 ) M1M2_PR
NEW met2 ( 2389930 2559860 ) via2_FR
NEW met2 ( 2391310 2559860 ) via2_FR
NEW met1 ( 2389930 2608310 ) M1M2_PR
NEW met1 ( 2391310 2608310 ) M1M2_PR
NEW met1 ( 2389930 2705210 ) M1M2_PR
NEW met1 ( 2391310 2705210 ) M1M2_PR
NEW met1 ( 2391310 2801430 ) M1M2_PR
NEW met1 ( 2392690 2802110 ) M1M2_PR
NEW met1 ( 2391310 3188010 ) M1M2_PR
NEW met1 ( 2391310 3188690 ) M1M2_PR
NEW met1 ( 2377510 3503190 ) M1M2_PR
NEW met2 ( 2377510 3478540 ) via2_FR
NEW met2 ( 2391310 3477860 ) via2_FR
NEW met1 ( 2393150 1143250 ) M1M2_PR
NEW met1 ( 2395450 1143250 ) M1M2_PR
NEW met2 ( 2395450 1130500 ) via2_FR
NEW met1 ( 2390850 3139730 ) M1M2_PR
NEW met1 ( 2391310 3139730 ) M1M2_PR
NEW met1 ( 2391310 3152990 ) M1M2_PR
NEW met1 ( 2390850 3153330 ) M1M2_PR
NEW met1 ( 2391310 3236290 ) M1M2_PR
NEW met1 ( 2389470 3236290 ) M1M2_PR
NEW met1 ( 2389470 3284230 ) M1M2_PR
NEW met1 ( 2391310 3284230 ) M1M2_PR
NEW met1 ( 2391310 3332850 ) M1M2_PR
NEW met1 ( 2389470 3332850 ) M1M2_PR
NEW met1 ( 2389470 3380790 ) M1M2_PR
NEW met1 ( 2391310 3380790 ) M1M2_PR
NEW met1 ( 2391310 3429410 ) M1M2_PR
NEW met1 ( 2389930 3429410 ) M1M2_PR
NEW met1 ( 2389930 3477350 ) M1M2_PR
NEW met1 ( 2391310 3477350 ) M1M2_PR
NEW met1 ( 2390390 2938790 ) M1M2_PR
NEW met1 ( 2390850 2938790 ) M1M2_PR
NEW met2 ( 2390850 3035860 ) via2_FR
NEW met2 ( 2389930 3035180 ) via2_FR
NEW met1 ( 2389930 2988430 ) M1M2_PR
NEW met1 ( 2390850 2987750 ) M1M2_PR
NEW met1 ( 2389010 1683850 ) M1M2_PR
NEW met1 ( 2391310 1684190 ) M1M2_PR
NEW met1 ( 2390390 2939470 ) M1M2_PR
NEW met1 ( 2390850 2939470 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[21] ( PIN io_oeb[21] ) ( mprj io_oeb[10] )
+ ROUTED met2 ( 689310 3502850 ) ( 689310 3517980 0 )
NEW met1 ( 689310 3502850 ) ( 2391770 3502850 )
NEW met1 ( 2391770 3188010 ) ( 2391770 3189370 )
NEW met1 ( 2391770 3284570 ) ( 2391770 3285930 )
NEW met2 ( 2391770 3189370 ) ( 2391770 3284570 )
NEW met1 ( 2391770 3381130 ) ( 2391770 3382490 )
NEW met2 ( 2391770 3285930 ) ( 2391770 3381130 )
NEW met2 ( 2391770 3382490 ) ( 2391770 3502850 )
NEW met2 ( 2391770 1233860 ) ( 2393150 1233860 )
NEW met2 ( 2393150 1233690 ) ( 2393150 1233860 )
NEW met1 ( 2393150 1233690 ) ( 2395450 1233690 )
NEW met2 ( 2395450 1215500 ) ( 2395450 1233690 )
NEW met3 ( 2395220 1215500 ) ( 2395450 1215500 )
NEW met3 ( 2395220 1212780 0 ) ( 2395220 1215500 )
NEW met2 ( 2391770 1233860 ) ( 2391770 3188010 )
NEW met1 ( 689310 3502850 ) M1M2_PR
NEW met1 ( 2391770 3502850 ) M1M2_PR
NEW met1 ( 2391770 3188010 ) M1M2_PR
NEW met1 ( 2391770 3189370 ) M1M2_PR
NEW met1 ( 2391770 3284570 ) M1M2_PR
NEW met1 ( 2391770 3285930 ) M1M2_PR
NEW met1 ( 2391770 3381130 ) M1M2_PR
NEW met1 ( 2391770 3382490 ) M1M2_PR
NEW met1 ( 2393150 1233690 ) M1M2_PR
NEW met1 ( 2395450 1233690 ) M1M2_PR
NEW met2 ( 2395450 1215500 ) via2_FR
+ USE SIGNAL ;
- io_oeb[22] ( PIN io_oeb[22] ) ( mprj io_oeb[11] )
+ ROUTED met2 ( 365010 3502170 ) ( 365010 3517980 0 )
NEW met2 ( 2392690 1328380 ) ( 2393150 1328380 )
NEW met1 ( 2393150 1300670 ) ( 2395450 1300670 )
NEW met2 ( 2395450 1300500 ) ( 2395450 1300670 )
NEW met3 ( 2395220 1300500 ) ( 2395450 1300500 )
NEW met3 ( 2395220 1297780 0 ) ( 2395220 1300500 )
NEW met2 ( 2393150 1300670 ) ( 2393150 1328380 )
NEW met1 ( 365010 3502170 ) ( 2392230 3502170 )
NEW met2 ( 2392230 1375980 ) ( 2392690 1375980 )
NEW met2 ( 2392690 1328380 ) ( 2392690 1375980 )
NEW met2 ( 2392230 1375980 ) ( 2392230 3502170 )
NEW met1 ( 365010 3502170 ) M1M2_PR
NEW met1 ( 2392230 3502170 ) M1M2_PR
NEW met1 ( 2393150 1300670 ) M1M2_PR
NEW met1 ( 2395450 1300670 ) M1M2_PR
NEW met2 ( 2395450 1300500 ) via2_FR
+ USE SIGNAL ;
- io_oeb[23] ( PIN io_oeb[23] ) ( mprj io_oeb[12] )
+ ROUTED met2 ( 2387630 3498430 ) ( 2387630 3501490 )
NEW met1 ( 2387630 3498430 ) ( 2393150 3498430 )
NEW met2 ( 40710 3501490 ) ( 40710 3517980 0 )
NEW met1 ( 2393150 1385670 ) ( 2395450 1385670 )
NEW met2 ( 2395450 1385500 ) ( 2395450 1385670 )
NEW met3 ( 2395220 1385500 ) ( 2395450 1385500 )
NEW met3 ( 2395220 1382780 0 ) ( 2395220 1385500 )
NEW met1 ( 40710 3501490 ) ( 2387630 3501490 )
NEW met1 ( 2393150 1496510 ) ( 2393150 1497190 )
NEW met1 ( 2393150 1400970 ) ( 2393150 1401650 )
NEW met1 ( 2393150 1401650 ) ( 2393610 1401650 )
NEW met2 ( 2393610 1401650 ) ( 2393610 1425620 )
NEW met2 ( 2393150 1425620 ) ( 2393610 1425620 )
NEW met2 ( 2393150 1385670 ) ( 2393150 1400970 )
NEW met2 ( 2393150 1425620 ) ( 2393150 1496510 )
NEW met1 ( 2392690 1639990 ) ( 2393150 1639990 )
NEW met1 ( 2392690 1639990 ) ( 2392690 1641690 )
NEW met1 ( 2392690 1641690 ) ( 2393150 1641690 )
NEW met2 ( 2393150 1497190 ) ( 2393150 1639990 )
NEW met2 ( 2393150 1641690 ) ( 2393150 3498430 )
NEW met1 ( 40710 3501490 ) M1M2_PR
NEW met1 ( 2387630 3501490 ) M1M2_PR
NEW met1 ( 2387630 3498430 ) M1M2_PR
NEW met1 ( 2393150 3498430 ) M1M2_PR
NEW met1 ( 2393150 1385670 ) M1M2_PR
NEW met1 ( 2395450 1385670 ) M1M2_PR
NEW met2 ( 2395450 1385500 ) via2_FR
NEW met1 ( 2393150 1496510 ) M1M2_PR
NEW met1 ( 2393150 1497190 ) M1M2_PR
NEW met1 ( 2393150 1400970 ) M1M2_PR
NEW met1 ( 2393610 1401650 ) M1M2_PR
NEW met1 ( 2393150 1639990 ) M1M2_PR
NEW met1 ( 2393150 1641690 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[24] ( PIN io_oeb[24] ) ( mprj io_oeb[13] )
+ ROUTED met3 ( 2300 3267740 0 ) ( 15410 3267740 )
NEW met2 ( 15410 3263830 ) ( 15410 3267740 )
NEW met1 ( 15410 3263830 ) ( 2393610 3263830 )
NEW met1 ( 2393610 1496850 ) ( 2397290 1496850 )
NEW met2 ( 2397290 1470500 ) ( 2397290 1496850 )
NEW met3 ( 2397060 1470500 ) ( 2397290 1470500 )
NEW met3 ( 2397060 1467780 0 ) ( 2397060 1470500 )
NEW met2 ( 2393610 1496850 ) ( 2393610 3263830 )
NEW met2 ( 15410 3267740 ) via2_FR
NEW met1 ( 15410 3263830 ) M1M2_PR
NEW met1 ( 2393610 3263830 ) M1M2_PR
NEW met1 ( 2393610 1496850 ) M1M2_PR
NEW met1 ( 2397290 1496850 ) M1M2_PR
NEW met2 ( 2397290 1470500 ) via2_FR
+ USE SIGNAL ;
- io_oeb[25] ( PIN io_oeb[25] ) ( mprj io_oeb[14] )
+ ROUTED met3 ( 2300 2980100 0 ) ( 16330 2980100 )
NEW met2 ( 16330 2974150 ) ( 16330 2980100 )
NEW met1 ( 2394070 1555670 ) ( 2397290 1555670 )
NEW met2 ( 2397290 1555500 ) ( 2397290 1555670 )
NEW met3 ( 2397060 1555500 ) ( 2397290 1555500 )
NEW met3 ( 2397060 1552780 0 ) ( 2397060 1555500 )
NEW met2 ( 2394070 1555670 ) ( 2394070 2974150 )
NEW met1 ( 16330 2974150 ) ( 2394070 2974150 )
NEW met2 ( 16330 2980100 ) via2_FR
NEW met1 ( 16330 2974150 ) M1M2_PR
NEW met1 ( 2394070 1555670 ) M1M2_PR
NEW met1 ( 2397290 1555670 ) M1M2_PR
NEW met2 ( 2397290 1555500 ) via2_FR
NEW met1 ( 2394070 2974150 ) M1M2_PR
+ USE SIGNAL ;
- io_oeb[26] ( PIN io_oeb[26] ) ( mprj io_oeb[15] )
+ ROUTED met3 ( 2300 2693140 0 ) ( 17250 2693140 )
NEW met2 ( 17250 2691270 ) ( 17250 2693140 )
NEW met1 ( 17250 2691270 ) ( 2390390 2691270 )
NEW met1 ( 2388550 1671610 ) ( 2399130 1671610 )
NEW met2 ( 2399130 1637780 ) ( 2399130 1671610 )
NEW met3 ( 2397980 1637780 0 ) ( 2399130 1637780 )
NEW met1 ( 2388550 1683850 ) ( 2388550 1684530 )
NEW met1 ( 2388550 1684530 ) ( 2389470 1684530 )
NEW met2 ( 2389470 1684530 ) ( 2389470 1690820 )
NEW met2 ( 2389470 1690820 ) ( 2390390 1690820 )
NEW met2 ( 2388550 1671610 ) ( 2388550 1683850 )
NEW met2 ( 2390390 1690820 ) ( 2390390 2691270 )
NEW met2 ( 17250 2693140 ) via2_FR
NEW met1 ( 17250 2691270 ) M1M2_PR
NEW met1 ( 2390390 2691270 ) M1M2_PR
NEW met1 ( 2388550 1671610 ) M1M2_PR
NEW met1 ( 2399130 1671610 ) M1M2_PR
NEW met2 ( 2399130 1637780 ) via2_FR
NEW met1 ( 2388550 1683850 ) M1M2_PR
NEW met1 ( 2389470 1684530 ) M1M2_PR
+ USE SIGNAL ;
- io_out[11] ( PIN io_out[11] ) ( mprj io_out[0] )
+ ROUTED met2 ( 2411090 391340 ) ( 2411090 393210 )
NEW met2 ( 2900990 2725610 ) ( 2900990 2727820 )
NEW met3 ( 2900990 2727820 ) ( 2917780 2727820 0 )
NEW met3 ( 2397980 391340 0 ) ( 2411090 391340 )
NEW met1 ( 2411090 393210 ) ( 2494350 393210 )
NEW met1 ( 2494350 2725610 ) ( 2900990 2725610 )
NEW met2 ( 2494350 393210 ) ( 2494350 2725610 )
NEW met2 ( 2411090 391340 ) via2_FR
NEW met1 ( 2411090 393210 ) M1M2_PR
NEW met1 ( 2900990 2725610 ) M1M2_PR
NEW met2 ( 2900990 2727820 ) via2_FR
NEW met1 ( 2494350 393210 ) M1M2_PR
NEW met1 ( 2494350 2725610 ) M1M2_PR
+ USE SIGNAL ;
- io_out[12] ( PIN io_out[12] ) ( mprj io_out[1] )
+ ROUTED met2 ( 2414310 476340 ) ( 2414310 482970 )
NEW met2 ( 2501250 482970 ) ( 2501250 2960210 )
NEW met2 ( 2900990 2960210 ) ( 2900990 2962420 )
NEW met3 ( 2900990 2962420 ) ( 2917780 2962420 0 )
NEW met3 ( 2397980 476340 0 ) ( 2414310 476340 )
NEW met1 ( 2414310 482970 ) ( 2501250 482970 )
NEW met1 ( 2501250 2960210 ) ( 2900990 2960210 )
NEW met2 ( 2414310 476340 ) via2_FR
NEW met1 ( 2414310 482970 ) M1M2_PR
NEW met1 ( 2501250 482970 ) M1M2_PR
NEW met1 ( 2501250 2960210 ) M1M2_PR
NEW met1 ( 2900990 2960210 ) M1M2_PR
NEW met2 ( 2900990 2962420 ) via2_FR
+ USE SIGNAL ;
- io_out[13] ( PIN io_out[13] ) ( mprj io_out[2] )
+ ROUTED met2 ( 2900990 3194810 ) ( 2900990 3197020 )
NEW met3 ( 2900990 3197020 ) ( 2917780 3197020 0 )
NEW met2 ( 2414770 561340 ) ( 2414770 565590 )
NEW met2 ( 2508150 565590 ) ( 2508150 3194810 )
NEW met1 ( 2508150 3194810 ) ( 2900990 3194810 )
NEW met3 ( 2397980 561340 0 ) ( 2414770 561340 )
NEW met1 ( 2414770 565590 ) ( 2508150 565590 )
NEW met1 ( 2508150 3194810 ) M1M2_PR
NEW met1 ( 2900990 3194810 ) M1M2_PR
NEW met2 ( 2900990 3197020 ) via2_FR
NEW met2 ( 2414770 561340 ) via2_FR
NEW met1 ( 2414770 565590 ) M1M2_PR
NEW met1 ( 2508150 565590 ) M1M2_PR
+ USE SIGNAL ;
- io_out[14] ( PIN io_out[14] ) ( mprj io_out[3] )
+ ROUTED met2 ( 2414770 646340 ) ( 2414770 648550 )
NEW met2 ( 2515050 648550 ) ( 2515050 3429410 )
NEW met2 ( 2900990 3429410 ) ( 2900990 3431620 )
NEW met3 ( 2900990 3431620 ) ( 2917780 3431620 0 )
NEW met3 ( 2397980 646340 0 ) ( 2414770 646340 )
NEW met1 ( 2414770 648550 ) ( 2515050 648550 )
NEW met1 ( 2515050 3429410 ) ( 2900990 3429410 )
NEW met2 ( 2414770 646340 ) via2_FR
NEW met1 ( 2414770 648550 ) M1M2_PR
NEW met1 ( 2515050 648550 ) M1M2_PR
NEW met1 ( 2515050 3429410 ) M1M2_PR
NEW met1 ( 2900990 3429410 ) M1M2_PR
NEW met2 ( 2900990 3431620 ) via2_FR
+ USE SIGNAL ;
- io_out[15] ( PIN io_out[15] ) ( mprj io_out[4] )
+ ROUTED met2 ( 2414770 731170 ) ( 2414770 731340 )
NEW met2 ( 2521950 731170 ) ( 2521950 3501830 )
NEW met2 ( 2717450 3501830 ) ( 2717450 3517980 0 )
NEW met1 ( 2521950 3501830 ) ( 2717450 3501830 )
NEW met3 ( 2397980 731340 0 ) ( 2414770 731340 )
NEW met1 ( 2414770 731170 ) ( 2521950 731170 )
NEW met1 ( 2521950 3501830 ) M1M2_PR
NEW met1 ( 2717450 3501830 ) M1M2_PR
NEW met2 ( 2414770 731340 ) via2_FR
NEW met1 ( 2414770 731170 ) M1M2_PR
NEW met1 ( 2521950 731170 ) M1M2_PR
+ USE SIGNAL ;
- io_out[16] ( PIN io_out[16] ) ( mprj io_out[5] )
+ ROUTED met2 ( 2392690 3498940 ) ( 2394530 3498940 )
NEW met2 ( 2394530 818380 ) ( 2394990 818380 )
NEW met3 ( 2394990 818380 ) ( 2395220 818380 )
NEW met3 ( 2395220 816340 0 ) ( 2395220 818380 )
NEW met2 ( 2392690 3498940 ) ( 2392690 3517980 0 )
NEW met2 ( 2394530 818380 ) ( 2394530 3498940 )
NEW met2 ( 2394990 818380 ) via2_FR
+ USE SIGNAL ;
- io_out[17] ( PIN io_out[17] ) ( mprj io_out[6] )
+ ROUTED met1 ( 2389930 3270630 ) ( 2390850 3270630 )
NEW met1 ( 2389930 3367530 ) ( 2390850 3367530 )
NEW met2 ( 2068390 3504210 ) ( 2068390 3517980 0 )
NEW met1 ( 2389470 2670530 ) ( 2390850 2670530 )
NEW met2 ( 2392230 931260 ) ( 2392690 931260 )
NEW met2 ( 2392690 903380 ) ( 2392690 931260 )
NEW met2 ( 2392690 903380 ) ( 2393150 903380 )
NEW met2 ( 2393150 902870 ) ( 2393150 903380 )
NEW met1 ( 2393150 902870 ) ( 2394990 902870 )
NEW met2 ( 2394990 902700 ) ( 2394990 902870 )
NEW met3 ( 2394990 902700 ) ( 2395220 902700 )
NEW met3 ( 2395220 901340 0 ) ( 2395220 902700 )
NEW met2 ( 2390390 1317500 ) ( 2390850 1317500 )
NEW met2 ( 2391310 990420 ) ( 2392230 990420 )
NEW met2 ( 2392230 931260 ) ( 2392230 990420 )
NEW met2 ( 2391770 1076780 ) ( 2392230 1076780 )
NEW met2 ( 2389930 1205980 ) ( 2390390 1205980 )
NEW met2 ( 2389930 1159740 ) ( 2389930 1205980 )
NEW met2 ( 2389930 1159740 ) ( 2390850 1159740 )
NEW met2 ( 2389470 1256300 ) ( 2389930 1256300 )
NEW met2 ( 2389470 1256300 ) ( 2389470 1280100 )
NEW met2 ( 2389470 1280100 ) ( 2390390 1280100 )
NEW met2 ( 2390390 1280100 ) ( 2390390 1317500 )
NEW met2 ( 2390390 1473220 ) ( 2390850 1473220 )
NEW met2 ( 2390390 1449420 ) ( 2390390 1473220 )
NEW met2 ( 2390390 1449420 ) ( 2390850 1449420 )
NEW met1 ( 2389470 2752810 ) ( 2390850 2752810 )
NEW met2 ( 2389470 2670530 ) ( 2389470 2752810 )
NEW met2 ( 2389010 2849540 ) ( 2389470 2849540 )
NEW met2 ( 2389010 2849370 ) ( 2389010 2849540 )
NEW met1 ( 2388090 2849370 ) ( 2389010 2849370 )
NEW met2 ( 2388090 2802110 ) ( 2388090 2849370 )
NEW met1 ( 2388090 2802110 ) ( 2390390 2802110 )
NEW met1 ( 2390390 2801430 ) ( 2390390 2802110 )
NEW met2 ( 2389470 3042660 ) ( 2389930 3042660 )
NEW met2 ( 2389930 3222180 ) ( 2390850 3222180 )
NEW met2 ( 2390850 3222180 ) ( 2390850 3270630 )
NEW met2 ( 2389930 3332340 ) ( 2390850 3332340 )
NEW met2 ( 2389930 3270630 ) ( 2389930 3332340 )
NEW met2 ( 2390850 3332340 ) ( 2390850 3367530 )
NEW met2 ( 2389930 3428900 ) ( 2390850 3428900 )
NEW met2 ( 2389930 3367530 ) ( 2389930 3428900 )
NEW met2 ( 2390390 3497580 ) ( 2390390 3504210 )
NEW met2 ( 2390390 3497580 ) ( 2390850 3497580 )
NEW met1 ( 2068390 3504210 ) ( 2390390 3504210 )
NEW met2 ( 2391310 1038700 ) ( 2391770 1038700 )
NEW met2 ( 2391310 990420 ) ( 2391310 1038700 )
NEW met2 ( 2391770 1038700 ) ( 2391770 1076780 )
NEW met2 ( 2390850 1135260 ) ( 2393150 1135260 )
NEW met2 ( 2393150 1111460 ) ( 2393150 1135260 )
NEW met2 ( 2392230 1111460 ) ( 2393150 1111460 )
NEW met2 ( 2390850 1135260 ) ( 2390850 1159740 )
NEW met2 ( 2392230 1076780 ) ( 2392230 1111460 )
NEW met2 ( 2389470 1231820 ) ( 2389930 1231820 )
NEW met2 ( 2389470 1220940 ) ( 2389470 1231820 )
NEW met2 ( 2389470 1220940 ) ( 2390390 1220940 )
NEW met2 ( 2389930 1231820 ) ( 2389930 1256300 )
NEW met2 ( 2390390 1205980 ) ( 2390390 1220940 )
NEW met2 ( 2389930 1448740 ) ( 2390850 1448740 )
NEW met2 ( 2390850 1448740 ) ( 2390850 1449420 )
NEW met2 ( 2389930 1510620 ) ( 2390850 1510620 )
NEW met2 ( 2390850 1473220 ) ( 2390850 1510620 )
NEW met3 ( 2389470 2801260 ) ( 2390390 2801260 )
NEW met2 ( 2389470 2753490 ) ( 2389470 2801260 )
NEW met1 ( 2389470 2753490 ) ( 2390850 2753490 )
NEW met2 ( 2390390 2801260 ) ( 2390390 2801430 )
NEW met2 ( 2390850 2752810 ) ( 2390850 2753490 )
NEW met1 ( 2388550 2850050 ) ( 2389470 2850050 )
NEW met2 ( 2389470 2849540 ) ( 2389470 2850050 )
NEW met2 ( 2389470 3056260 ) ( 2389930 3056260 )
NEW met2 ( 2389470 3042660 ) ( 2389470 3056260 )
NEW met2 ( 2389930 3056260 ) ( 2389930 3222180 )
NEW met2 ( 2390850 3428900 ) ( 2390850 3497580 )
NEW met2 ( 2389010 1393660 ) ( 2389930 1393660 )
NEW met2 ( 2389010 1358300 ) ( 2389010 1393660 )
NEW met2 ( 2389010 1358300 ) ( 2390850 1358300 )
NEW met2 ( 2389930 1393660 ) ( 2389930 1448740 )
NEW met2 ( 2390850 1317500 ) ( 2390850 1358300 )
NEW met2 ( 2389470 1558900 ) ( 2389930 1558900 )
NEW met2 ( 2389470 1558900 ) ( 2389470 1586780 )
NEW met2 ( 2389470 1586780 ) ( 2389930 1586780 )
NEW met2 ( 2389930 1510620 ) ( 2389930 1558900 )
NEW met2 ( 2388550 1641860 ) ( 2389930 1641860 )
NEW met2 ( 2388550 1641860 ) ( 2388550 1670930 )
NEW met1 ( 2388550 1670930 ) ( 2390850 1670930 )
NEW met2 ( 2390850 1670930 ) ( 2390850 2670530 )
NEW met3 ( 2388550 2939300 ) ( 2389930 2939300 )
NEW met2 ( 2388550 2850050 ) ( 2388550 2939300 )
NEW met1 ( 2388550 2987750 ) ( 2389930 2987750 )
NEW met2 ( 2388550 2987750 ) ( 2388550 3035860 )
NEW met3 ( 2388550 3035860 ) ( 2389930 3035860 )
NEW met2 ( 2389930 2939300 ) ( 2389930 2987750 )
NEW met2 ( 2389930 3035860 ) ( 2389930 3042660 )
NEW met2 ( 2389930 1586780 ) ( 2389930 1641860 )
NEW met1 ( 2068390 3504210 ) M1M2_PR
NEW met1 ( 2390850 3270630 ) M1M2_PR
NEW met1 ( 2389930 3270630 ) M1M2_PR
NEW met1 ( 2390850 3367530 ) M1M2_PR
NEW met1 ( 2389930 3367530 ) M1M2_PR
NEW met1 ( 2389470 2670530 ) M1M2_PR
NEW met1 ( 2390850 2670530 ) M1M2_PR
NEW met1 ( 2393150 902870 ) M1M2_PR
NEW met1 ( 2394990 902870 ) M1M2_PR
NEW met2 ( 2394990 902700 ) via2_FR
NEW met1 ( 2389470 2752810 ) M1M2_PR
NEW met1 ( 2390850 2752810 ) M1M2_PR
NEW met1 ( 2389010 2849370 ) M1M2_PR
NEW met1 ( 2388090 2849370 ) M1M2_PR
NEW met1 ( 2388090 2802110 ) M1M2_PR
NEW met1 ( 2390390 2801430 ) M1M2_PR
NEW met1 ( 2390390 3504210 ) M1M2_PR
NEW met2 ( 2390390 2801260 ) via2_FR
NEW met2 ( 2389470 2801260 ) via2_FR
NEW met1 ( 2389470 2753490 ) M1M2_PR
NEW met1 ( 2390850 2753490 ) M1M2_PR
NEW met1 ( 2389470 2850050 ) M1M2_PR
NEW met1 ( 2388550 2850050 ) M1M2_PR
NEW met1 ( 2388550 1670930 ) M1M2_PR
NEW met1 ( 2390850 1670930 ) M1M2_PR
NEW met2 ( 2388550 2939300 ) via2_FR
NEW met2 ( 2389930 2939300 ) via2_FR
NEW met1 ( 2389930 2987750 ) M1M2_PR
NEW met1 ( 2388550 2987750 ) M1M2_PR
NEW met2 ( 2388550 3035860 ) via2_FR
NEW met2 ( 2389930 3035860 ) via2_FR
+ USE SIGNAL ;
- io_out[18] ( PIN io_out[18] ) ( mprj io_out[7] )
+ ROUTED met2 ( 1744090 3503870 ) ( 1744090 3517980 0 )
NEW met1 ( 1744090 3503870 ) ( 2394990 3503870 )
NEW met3 ( 2394990 987700 ) ( 2395220 987700 )
NEW met3 ( 2395220 986340 0 ) ( 2395220 987700 )
NEW met2 ( 2394990 987700 ) ( 2394990 3503870 )
NEW met1 ( 1744090 3503870 ) M1M2_PR
NEW met1 ( 2394990 3503870 ) M1M2_PR
NEW met2 ( 2394990 987700 ) via2_FR
+ USE SIGNAL ;
- io_out[19] ( PIN io_out[19] ) ( mprj io_out[8] )
+ ROUTED met1 ( 1420250 2980950 ) ( 1421170 2980950 )
NEW met2 ( 1420250 2980950 ) ( 1420250 3029060 )
NEW met2 ( 1420250 3029060 ) ( 1421170 3029060 )
NEW met1 ( 1420250 3077510 ) ( 1421170 3077510 )
NEW met2 ( 1420250 3077510 ) ( 1420250 3125620 )
NEW met2 ( 1420250 3125620 ) ( 1421170 3125620 )
NEW met1 ( 1420250 3174070 ) ( 1421170 3174070 )
NEW met2 ( 1420250 3174070 ) ( 1420250 3222180 )
NEW met2 ( 1420250 3222180 ) ( 1421170 3222180 )
NEW met1 ( 1420250 3270630 ) ( 1421170 3270630 )
NEW met2 ( 1420250 3270630 ) ( 1420250 3318740 )
NEW met2 ( 1420250 3318740 ) ( 1421170 3318740 )
NEW met1 ( 1420250 3367530 ) ( 1421170 3367530 )
NEW met2 ( 1420710 2980780 ) ( 1421170 2980780 )
NEW met2 ( 1421170 2980780 ) ( 1421170 2980950 )
NEW met2 ( 1421170 3029060 ) ( 1421170 3077510 )
NEW met2 ( 1421170 3125620 ) ( 1421170 3174070 )
NEW met2 ( 1421170 3222180 ) ( 1421170 3270630 )
NEW met2 ( 1421170 3318740 ) ( 1421170 3367530 )
NEW met3 ( 2397980 1071340 0 ) ( 2403270 1071340 )
NEW met2 ( 1419790 2187220 ) ( 1420710 2187220 )
NEW met2 ( 1420250 2283780 ) ( 1421170 2283780 )
NEW met2 ( 1420250 2380340 ) ( 1421170 2380340 )
NEW met2 ( 1420710 2476900 ) ( 1421170 2476900 )
NEW met2 ( 1420710 2573460 ) ( 1421170 2573460 )
NEW met2 ( 1420710 2670020 ) ( 1421170 2670020 )
NEW met2 ( 1419790 2766580 ) ( 1420250 2766580 )
NEW met2 ( 1419790 2912100 ) ( 1420250 2912100 )
NEW met2 ( 1420250 2912100 ) ( 1420250 2959700 )
NEW met2 ( 1420250 2959700 ) ( 1420710 2959700 )
NEW met2 ( 1420710 2959700 ) ( 1420710 2980780 )
NEW met1 ( 1419790 3443010 ) ( 1420710 3443010 )
NEW met2 ( 2403270 1071340 ) ( 2403270 1686910 )
NEW met1 ( 1420250 1945650 ) ( 1420250 1945990 )
NEW met1 ( 1420250 1945650 ) ( 1421170 1945650 )
NEW met1 ( 1420250 2076890 ) ( 1421170 2076890 )
NEW met1 ( 1420710 2173450 ) ( 1421170 2173450 )
NEW met2 ( 1421170 2139110 ) ( 1421170 2173450 )
NEW met1 ( 1420710 2139110 ) ( 1421170 2139110 )
NEW met1 ( 1420710 2138770 ) ( 1420710 2139110 )
NEW met2 ( 1420710 2125340 ) ( 1420710 2138770 )
NEW met2 ( 1420710 2125340 ) ( 1421170 2125340 )
NEW met2 ( 1420710 2173450 ) ( 1420710 2187220 )
NEW met1 ( 1419330 2222070 ) ( 1419790 2222070 )
NEW met2 ( 1419330 2222070 ) ( 1419330 2270010 )
NEW met1 ( 1419330 2270010 ) ( 1420250 2270010 )
NEW met2 ( 1419790 2187220 ) ( 1419790 2222070 )
NEW met2 ( 1420250 2270010 ) ( 1420250 2283780 )
NEW met1 ( 1420250 2366570 ) ( 1420710 2366570 )
NEW met2 ( 1420710 2318460 ) ( 1420710 2366570 )
NEW met2 ( 1420710 2318460 ) ( 1421170 2318460 )
NEW met2 ( 1420250 2366570 ) ( 1420250 2380340 )
NEW met2 ( 1421170 2283780 ) ( 1421170 2318460 )
NEW met1 ( 1419790 2463130 ) ( 1420710 2463130 )
NEW met2 ( 1419790 2415020 ) ( 1419790 2463130 )
NEW met3 ( 1419790 2415020 ) ( 1421170 2415020 )
NEW met2 ( 1420710 2463130 ) ( 1420710 2476900 )
NEW met2 ( 1421170 2380340 ) ( 1421170 2415020 )
NEW met3 ( 1420710 2559860 ) ( 1422090 2559860 )
NEW met2 ( 1422090 2511750 ) ( 1422090 2559860 )
NEW met1 ( 1421170 2511750 ) ( 1422090 2511750 )
NEW met2 ( 1420710 2559860 ) ( 1420710 2573460 )
NEW met2 ( 1421170 2476900 ) ( 1421170 2511750 )
NEW met3 ( 1420710 2656420 ) ( 1422090 2656420 )
NEW met2 ( 1422090 2608310 ) ( 1422090 2656420 )
NEW met1 ( 1421170 2608310 ) ( 1422090 2608310 )
NEW met2 ( 1420710 2656420 ) ( 1420710 2670020 )
NEW met2 ( 1421170 2573460 ) ( 1421170 2608310 )
NEW met1 ( 1420250 2752810 ) ( 1420710 2752810 )
NEW met2 ( 1420710 2704870 ) ( 1420710 2752810 )
NEW met1 ( 1420710 2704870 ) ( 1421170 2704870 )
NEW met2 ( 1420250 2752810 ) ( 1420250 2766580 )
NEW met2 ( 1421170 2670020 ) ( 1421170 2704870 )
NEW met1 ( 1419330 2814690 ) ( 1419330 2815370 )
NEW met1 ( 1419330 2814690 ) ( 1419790 2814690 )
NEW met2 ( 1419790 2766580 ) ( 1419790 2814690 )
NEW met1 ( 1419330 3381130 ) ( 1420250 3381130 )
NEW met2 ( 1419330 3381130 ) ( 1419330 3429070 )
NEW met1 ( 1419330 3429070 ) ( 1420710 3429070 )
NEW met2 ( 1420250 3367530 ) ( 1420250 3381130 )
NEW met2 ( 1420710 3429070 ) ( 1420710 3443010 )
NEW met1 ( 1419330 3477690 ) ( 1419790 3477690 )
NEW met2 ( 1419330 3477690 ) ( 1419330 3517980 0 )
NEW met2 ( 1419790 3443010 ) ( 1419790 3477690 )
NEW met1 ( 1420250 1897370 ) ( 1420250 1897710 )
NEW met1 ( 1420250 1897710 ) ( 1421170 1897710 )
NEW met2 ( 1421170 1897710 ) ( 1421170 1945650 )
NEW met1 ( 1419790 1980330 ) ( 1420250 1980330 )
NEW met2 ( 1420250 1945990 ) ( 1420250 1980330 )
NEW met2 ( 1419790 2077060 ) ( 1420250 2077060 )
NEW met2 ( 1419790 2077060 ) ( 1419790 2077230 )
NEW met1 ( 1419330 2077230 ) ( 1419790 2077230 )
NEW met2 ( 1419330 2077230 ) ( 1419330 2125170 )
NEW met1 ( 1419330 2125170 ) ( 1421170 2125170 )
NEW met2 ( 1420250 2076890 ) ( 1420250 2077060 )
NEW met2 ( 1421170 2125170 ) ( 1421170 2125340 )
NEW met1 ( 1418410 2849710 ) ( 1419330 2849710 )
NEW met2 ( 1418410 2849710 ) ( 1418410 2897990 )
NEW met1 ( 1418410 2897990 ) ( 1419790 2897990 )
NEW met2 ( 1419330 2815370 ) ( 1419330 2849710 )
NEW met2 ( 1419790 2897990 ) ( 1419790 2912100 )
NEW met1 ( 1419330 1876630 ) ( 1420250 1876630 )
NEW met2 ( 1419330 1828690 ) ( 1419330 1876630 )
NEW met1 ( 1419330 1828690 ) ( 1420710 1828690 )
NEW met2 ( 1420250 1876630 ) ( 1420250 1897370 )
NEW met1 ( 1419790 2021810 ) ( 1420250 2021810 )
NEW met2 ( 1420250 2021810 ) ( 1420250 2069750 )
NEW met1 ( 1420250 2069750 ) ( 1421170 2069750 )
NEW met2 ( 1419790 1980330 ) ( 1419790 2021810 )
NEW met2 ( 1421170 2069750 ) ( 1421170 2076890 )
NEW met1 ( 1426230 1686910 ) ( 2403270 1686910 )
NEW met1 ( 1421170 1773270 ) ( 1426230 1773270 )
NEW met2 ( 1426230 1686910 ) ( 1426230 1773270 )
NEW met1 ( 1420710 1800810 ) ( 1420710 1801150 )
NEW met1 ( 1420710 1800810 ) ( 1421170 1800810 )
NEW met2 ( 1420710 1801150 ) ( 1420710 1828690 )
NEW met2 ( 1421170 1773270 ) ( 1421170 1800810 )
NEW met1 ( 1421170 2980950 ) M1M2_PR
NEW met1 ( 1420250 2980950 ) M1M2_PR
NEW met1 ( 1421170 3077510 ) M1M2_PR
NEW met1 ( 1420250 3077510 ) M1M2_PR
NEW met1 ( 1421170 3174070 ) M1M2_PR
NEW met1 ( 1420250 3174070 ) M1M2_PR
NEW met1 ( 1421170 3270630 ) M1M2_PR
NEW met1 ( 1420250 3270630 ) M1M2_PR
NEW met1 ( 1421170 3367530 ) M1M2_PR
NEW met1 ( 1420250 3367530 ) M1M2_PR
NEW met2 ( 2403270 1071340 ) via2_FR
NEW met1 ( 1419790 3443010 ) M1M2_PR
NEW met1 ( 1420710 3443010 ) M1M2_PR
NEW met1 ( 2403270 1686910 ) M1M2_PR
NEW met1 ( 1420250 1945990 ) M1M2_PR
NEW met1 ( 1421170 1945650 ) M1M2_PR
NEW met1 ( 1420250 2076890 ) M1M2_PR
NEW met1 ( 1421170 2076890 ) M1M2_PR
NEW met1 ( 1420710 2173450 ) M1M2_PR
NEW met1 ( 1421170 2173450 ) M1M2_PR
NEW met1 ( 1421170 2139110 ) M1M2_PR
NEW met1 ( 1420710 2138770 ) M1M2_PR
NEW met1 ( 1419790 2222070 ) M1M2_PR
NEW met1 ( 1419330 2222070 ) M1M2_PR
NEW met1 ( 1419330 2270010 ) M1M2_PR
NEW met1 ( 1420250 2270010 ) M1M2_PR
NEW met1 ( 1420250 2366570 ) M1M2_PR
NEW met1 ( 1420710 2366570 ) M1M2_PR
NEW met1 ( 1420710 2463130 ) M1M2_PR
NEW met1 ( 1419790 2463130 ) M1M2_PR
NEW met2 ( 1419790 2415020 ) via2_FR
NEW met2 ( 1421170 2415020 ) via2_FR
NEW met2 ( 1420710 2559860 ) via2_FR
NEW met2 ( 1422090 2559860 ) via2_FR
NEW met1 ( 1422090 2511750 ) M1M2_PR
NEW met1 ( 1421170 2511750 ) M1M2_PR
NEW met2 ( 1420710 2656420 ) via2_FR
NEW met2 ( 1422090 2656420 ) via2_FR
NEW met1 ( 1422090 2608310 ) M1M2_PR
NEW met1 ( 1421170 2608310 ) M1M2_PR
NEW met1 ( 1420250 2752810 ) M1M2_PR
NEW met1 ( 1420710 2752810 ) M1M2_PR
NEW met1 ( 1420710 2704870 ) M1M2_PR
NEW met1 ( 1421170 2704870 ) M1M2_PR
NEW met1 ( 1419330 2815370 ) M1M2_PR
NEW met1 ( 1419790 2814690 ) M1M2_PR
NEW met1 ( 1420250 3381130 ) M1M2_PR
NEW met1 ( 1419330 3381130 ) M1M2_PR
NEW met1 ( 1419330 3429070 ) M1M2_PR
NEW met1 ( 1420710 3429070 ) M1M2_PR
NEW met1 ( 1419790 3477690 ) M1M2_PR
NEW met1 ( 1419330 3477690 ) M1M2_PR
NEW met1 ( 1420250 1897370 ) M1M2_PR
NEW met1 ( 1421170 1897710 ) M1M2_PR
NEW met1 ( 1420250 1980330 ) M1M2_PR
NEW met1 ( 1419790 1980330 ) M1M2_PR
NEW met1 ( 1419790 2077230 ) M1M2_PR
NEW met1 ( 1419330 2077230 ) M1M2_PR
NEW met1 ( 1419330 2125170 ) M1M2_PR
NEW met1 ( 1421170 2125170 ) M1M2_PR
NEW met1 ( 1419330 2849710 ) M1M2_PR
NEW met1 ( 1418410 2849710 ) M1M2_PR
NEW met1 ( 1418410 2897990 ) M1M2_PR
NEW met1 ( 1419790 2897990 ) M1M2_PR
NEW met1 ( 1420250 1876630 ) M1M2_PR
NEW met1 ( 1419330 1876630 ) M1M2_PR
NEW met1 ( 1419330 1828690 ) M1M2_PR
NEW met1 ( 1420710 1828690 ) M1M2_PR
NEW met1 ( 1419790 2021810 ) M1M2_PR
NEW met1 ( 1420250 2021810 ) M1M2_PR
NEW met1 ( 1420250 2069750 ) M1M2_PR
NEW met1 ( 1421170 2069750 ) M1M2_PR
NEW met1 ( 1426230 1686910 ) M1M2_PR
NEW met1 ( 1421170 1773270 ) M1M2_PR
NEW met1 ( 1426230 1773270 ) M1M2_PR
NEW met1 ( 1420710 1801150 ) M1M2_PR
NEW met1 ( 1421170 1800810 ) M1M2_PR
NEW met2 ( 1421170 2125170 ) RECT ( -70 -315 70 0 )
+ USE SIGNAL ;
- io_out[20] ( PIN io_out[20] ) ( mprj io_out[9] )
+ ROUTED met1 ( 1095950 2304690 ) ( 1096870 2304690 )
NEW met1 ( 1095950 2401250 ) ( 1096870 2401250 )
NEW met2 ( 1096410 2304180 ) ( 1096870 2304180 )
NEW met2 ( 1096870 2304180 ) ( 1096870 2304690 )
NEW met2 ( 1095950 2353140 ) ( 1096410 2353140 )
NEW met2 ( 1096410 2353140 ) ( 1096410 2400740 )
NEW met2 ( 1096410 2400740 ) ( 1096870 2400740 )
NEW met2 ( 1095950 2304690 ) ( 1095950 2353140 )
NEW met2 ( 1096870 2400740 ) ( 1096870 2401250 )
NEW met3 ( 2397980 1156340 0 ) ( 2410630 1156340 )
NEW met2 ( 2410630 1156340 ) ( 2410630 1681470 )
NEW met1 ( 1095950 3298170 ) ( 1096870 3298170 )
NEW met2 ( 1095950 2429300 ) ( 1096410 2429300 )
NEW met2 ( 1096410 2429300 ) ( 1096410 2476900 )
NEW met2 ( 1096410 2476900 ) ( 1096870 2476900 )
NEW met2 ( 1095950 2401250 ) ( 1095950 2429300 )
NEW met2 ( 1095030 2573460 ) ( 1095490 2573460 )
NEW met1 ( 1095030 2911930 ) ( 1095950 2911930 )
NEW met2 ( 1095490 3298340 ) ( 1095950 3298340 )
NEW met2 ( 1095950 3298170 ) ( 1095950 3298340 )
NEW met2 ( 1095030 3394900 ) ( 1095490 3394900 )
NEW met1 ( 1094570 1681470 ) ( 2410630 1681470 )
NEW met1 ( 1094570 2041870 ) ( 1094570 2042550 )
NEW met1 ( 1094570 2041870 ) ( 1095030 2041870 )
NEW met1 ( 1095030 2149310 ) ( 1095950 2149310 )
NEW met3 ( 1094570 2559860 ) ( 1095490 2559860 )
NEW met2 ( 1094570 2511750 ) ( 1094570 2559860 )
NEW met1 ( 1094570 2511750 ) ( 1096870 2511750 )
NEW met2 ( 1095490 2559860 ) ( 1095490 2573460 )
NEW met2 ( 1096870 2476900 ) ( 1096870 2511750 )
NEW met1 ( 1094570 2621570 ) ( 1094570 2622250 )
NEW met1 ( 1094570 2621570 ) ( 1095030 2621570 )
NEW met2 ( 1095030 2573460 ) ( 1095030 2621570 )
NEW met1 ( 1094570 2718130 ) ( 1094570 2718810 )
NEW met1 ( 1094570 2718130 ) ( 1095030 2718130 )
NEW met1 ( 1094570 2814690 ) ( 1094570 2815370 )
NEW met1 ( 1094570 2814690 ) ( 1095030 2814690 )
NEW met1 ( 1096410 3042830 ) ( 1097330 3042830 )
NEW met2 ( 1097330 3007810 ) ( 1097330 3042830 )
NEW met1 ( 1096410 3007810 ) ( 1097330 3007810 )
NEW met1 ( 1095490 3201270 ) ( 1095490 3201950 )
NEW met1 ( 1095490 3201950 ) ( 1096410 3201950 )
NEW met3 ( 1093650 2077060 ) ( 1094570 2077060 )
NEW met2 ( 1093650 2077060 ) ( 1093650 2125170 )
NEW met1 ( 1093650 2125170 ) ( 1095030 2125170 )
NEW met2 ( 1094570 2042550 ) ( 1094570 2077060 )
NEW met2 ( 1095030 2125170 ) ( 1095030 2149310 )
NEW met3 ( 1095950 2173620 ) ( 1097330 2173620 )
NEW met2 ( 1097330 2173620 ) ( 1097330 2221730 )
NEW met1 ( 1096410 2221730 ) ( 1097330 2221730 )
NEW met2 ( 1095950 2149310 ) ( 1095950 2173620 )
NEW met2 ( 1096410 2221730 ) ( 1096410 2304180 )
NEW met1 ( 1094570 2656590 ) ( 1095030 2656590 )
NEW met2 ( 1095030 2656590 ) ( 1095030 2670190 )
NEW met1 ( 1095030 2670190 ) ( 1095030 2670530 )
NEW met1 ( 1094570 2670530 ) ( 1095030 2670530 )
NEW met2 ( 1094570 2670530 ) ( 1094570 2704700 )
NEW met2 ( 1094570 2704700 ) ( 1095030 2704700 )
NEW met2 ( 1094570 2622250 ) ( 1094570 2656590 )
NEW met2 ( 1095030 2704700 ) ( 1095030 2718130 )
NEW met1 ( 1094570 2753150 ) ( 1095030 2753150 )
NEW met2 ( 1095030 2753150 ) ( 1095030 2766750 )
NEW met1 ( 1095030 2766750 ) ( 1095030 2767090 )
NEW met1 ( 1094570 2767090 ) ( 1095030 2767090 )
NEW met2 ( 1094570 2767090 ) ( 1094570 2801260 )
NEW met2 ( 1094570 2801260 ) ( 1095030 2801260 )
NEW met2 ( 1094570 2718810 ) ( 1094570 2753150 )
NEW met2 ( 1095030 2801260 ) ( 1095030 2814690 )
NEW met1 ( 1093650 2849710 ) ( 1094570 2849710 )
NEW met2 ( 1093650 2849710 ) ( 1093650 2897990 )
NEW met1 ( 1093650 2897990 ) ( 1095030 2897990 )
NEW met2 ( 1094570 2815370 ) ( 1094570 2849710 )
NEW met2 ( 1095030 2897990 ) ( 1095030 2911930 )
NEW met1 ( 1095950 2946610 ) ( 1097330 2946610 )
NEW met2 ( 1097330 2946610 ) ( 1097330 2994550 )
NEW met1 ( 1096410 2994550 ) ( 1097330 2994550 )
NEW met2 ( 1095950 2911930 ) ( 1095950 2946610 )
NEW met2 ( 1096410 2994550 ) ( 1096410 3007810 )
NEW met1 ( 1096410 3043510 ) ( 1096870 3043510 )
NEW met2 ( 1096870 3043510 ) ( 1096870 3056430 )
NEW met1 ( 1096870 3056430 ) ( 1096870 3057110 )
NEW met2 ( 1096410 3042830 ) ( 1096410 3043510 )
NEW met1 ( 1095490 3187670 ) ( 1095950 3187670 )
NEW met2 ( 1095950 3152820 ) ( 1095950 3187670 )
NEW met2 ( 1095950 3152820 ) ( 1096870 3152820 )
NEW met2 ( 1095490 3187670 ) ( 1095490 3201270 )
NEW met1 ( 1095490 3236290 ) ( 1096410 3236290 )
NEW met2 ( 1095490 3236290 ) ( 1095490 3284230 )
NEW met1 ( 1095490 3284230 ) ( 1096870 3284230 )
NEW met2 ( 1096410 3201950 ) ( 1096410 3236290 )
NEW met2 ( 1096870 3284230 ) ( 1096870 3298170 )
NEW met1 ( 1094110 3380790 ) ( 1095030 3380790 )
NEW met2 ( 1094110 3332850 ) ( 1094110 3380790 )
NEW met1 ( 1094110 3332850 ) ( 1095490 3332850 )
NEW met2 ( 1095030 3380790 ) ( 1095030 3394900 )
NEW met2 ( 1095490 3298340 ) ( 1095490 3332850 )
NEW met1 ( 1095490 3443010 ) ( 1095490 3443350 )
NEW met1 ( 1095490 3443350 ) ( 1095950 3443350 )
NEW met2 ( 1095490 3394900 ) ( 1095490 3443010 )
NEW met1 ( 1096870 3084310 ) ( 1097790 3084310 )
NEW met2 ( 1097790 3084310 ) ( 1097790 3132590 )
NEW met1 ( 1096870 3132590 ) ( 1097790 3132590 )
NEW met2 ( 1096870 3057110 ) ( 1096870 3084310 )
NEW met2 ( 1096870 3132590 ) ( 1096870 3152820 )
NEW met1 ( 1095030 3470890 ) ( 1095950 3470890 )
NEW met2 ( 1095030 3470890 ) ( 1095030 3517980 0 )
NEW met2 ( 1095950 3443350 ) ( 1095950 3470890 )
NEW met2 ( 1094110 1683850 ) ( 1094570 1683850 )
NEW met2 ( 1094570 1681470 ) ( 1094570 1683850 )
NEW met2 ( 1094110 1973700 ) ( 1094570 1973700 )
NEW met3 ( 1093190 1973700 ) ( 1094110 1973700 )
NEW met2 ( 1093190 1973700 ) ( 1093190 2021470 )
NEW met1 ( 1093190 2021470 ) ( 1095030 2021470 )
NEW met2 ( 1095030 2021470 ) ( 1095030 2041870 )
NEW met1 ( 1093650 1725330 ) ( 1094110 1725330 )
NEW met2 ( 1093650 1725330 ) ( 1093650 1752870 )
NEW met1 ( 1093650 1752870 ) ( 1094570 1752870 )
NEW met2 ( 1094110 1683850 ) ( 1094110 1725330 )
NEW met1 ( 1095030 1869830 ) ( 1096410 1869830 )
NEW met2 ( 1096410 1845690 ) ( 1096410 1869830 )
NEW met1 ( 1095030 1845690 ) ( 1096410 1845690 )
NEW met1 ( 1093650 1966390 ) ( 1094570 1966390 )
NEW met2 ( 1093650 1918450 ) ( 1093650 1966390 )
NEW met1 ( 1093650 1918450 ) ( 1095030 1918450 )
NEW met2 ( 1094570 1966390 ) ( 1094570 1973700 )
NEW met1 ( 1093650 1773610 ) ( 1094570 1773610 )
NEW met2 ( 1093650 1773610 ) ( 1093650 1821550 )
NEW met1 ( 1093650 1821550 ) ( 1095030 1821550 )
NEW met2 ( 1094570 1752870 ) ( 1094570 1773610 )
NEW met2 ( 1095030 1821550 ) ( 1095030 1845690 )
NEW met1 ( 1095030 1883430 ) ( 1095030 1884110 )
NEW met2 ( 1095030 1869830 ) ( 1095030 1883430 )
NEW met2 ( 1095030 1884110 ) ( 1095030 1918450 )
NEW met1 ( 1096870 2304690 ) M1M2_PR
NEW met1 ( 1095950 2304690 ) M1M2_PR
NEW met1 ( 1096870 2401250 ) M1M2_PR
NEW met1 ( 1095950 2401250 ) M1M2_PR
NEW met2 ( 2410630 1156340 ) via2_FR
NEW met1 ( 2410630 1681470 ) M1M2_PR
NEW met1 ( 1095950 3298170 ) M1M2_PR
NEW met1 ( 1096870 3298170 ) M1M2_PR
NEW met1 ( 1094570 1681470 ) M1M2_PR
NEW met1 ( 1095030 2911930 ) M1M2_PR
NEW met1 ( 1095950 2911930 ) M1M2_PR
NEW met1 ( 1094570 2042550 ) M1M2_PR
NEW met1 ( 1095030 2041870 ) M1M2_PR
NEW met1 ( 1095030 2149310 ) M1M2_PR
NEW met1 ( 1095950 2149310 ) M1M2_PR
NEW met2 ( 1095490 2559860 ) via2_FR
NEW met2 ( 1094570 2559860 ) via2_FR
NEW met1 ( 1094570 2511750 ) M1M2_PR
NEW met1 ( 1096870 2511750 ) M1M2_PR
NEW met1 ( 1094570 2622250 ) M1M2_PR
NEW met1 ( 1095030 2621570 ) M1M2_PR
NEW met1 ( 1094570 2718810 ) M1M2_PR
NEW met1 ( 1095030 2718130 ) M1M2_PR
NEW met1 ( 1094570 2815370 ) M1M2_PR
NEW met1 ( 1095030 2814690 ) M1M2_PR
NEW met1 ( 1096410 3042830 ) M1M2_PR
NEW met1 ( 1097330 3042830 ) M1M2_PR
NEW met1 ( 1097330 3007810 ) M1M2_PR
NEW met1 ( 1096410 3007810 ) M1M2_PR
NEW met1 ( 1095490 3201270 ) M1M2_PR
NEW met1 ( 1096410 3201950 ) M1M2_PR
NEW met2 ( 1094570 2077060 ) via2_FR
NEW met2 ( 1093650 2077060 ) via2_FR
NEW met1 ( 1093650 2125170 ) M1M2_PR
NEW met1 ( 1095030 2125170 ) M1M2_PR
NEW met2 ( 1095950 2173620 ) via2_FR
NEW met2 ( 1097330 2173620 ) via2_FR
NEW met1 ( 1097330 2221730 ) M1M2_PR
NEW met1 ( 1096410 2221730 ) M1M2_PR
NEW met1 ( 1094570 2656590 ) M1M2_PR
NEW met1 ( 1095030 2656590 ) M1M2_PR
NEW met1 ( 1095030 2670190 ) M1M2_PR
NEW met1 ( 1094570 2670530 ) M1M2_PR
NEW met1 ( 1094570 2753150 ) M1M2_PR
NEW met1 ( 1095030 2753150 ) M1M2_PR
NEW met1 ( 1095030 2766750 ) M1M2_PR
NEW met1 ( 1094570 2767090 ) M1M2_PR
NEW met1 ( 1094570 2849710 ) M1M2_PR
NEW met1 ( 1093650 2849710 ) M1M2_PR
NEW met1 ( 1093650 2897990 ) M1M2_PR
NEW met1 ( 1095030 2897990 ) M1M2_PR
NEW met1 ( 1095950 2946610 ) M1M2_PR
NEW met1 ( 1097330 2946610 ) M1M2_PR
NEW met1 ( 1097330 2994550 ) M1M2_PR
NEW met1 ( 1096410 2994550 ) M1M2_PR
NEW met1 ( 1096410 3043510 ) M1M2_PR
NEW met1 ( 1096870 3043510 ) M1M2_PR
NEW met1 ( 1096870 3056430 ) M1M2_PR
NEW met1 ( 1096870 3057110 ) M1M2_PR
NEW met1 ( 1095490 3187670 ) M1M2_PR
NEW met1 ( 1095950 3187670 ) M1M2_PR
NEW met1 ( 1096410 3236290 ) M1M2_PR
NEW met1 ( 1095490 3236290 ) M1M2_PR
NEW met1 ( 1095490 3284230 ) M1M2_PR
NEW met1 ( 1096870 3284230 ) M1M2_PR
NEW met1 ( 1095030 3380790 ) M1M2_PR
NEW met1 ( 1094110 3380790 ) M1M2_PR
NEW met1 ( 1094110 3332850 ) M1M2_PR
NEW met1 ( 1095490 3332850 ) M1M2_PR
NEW met1 ( 1095490 3443010 ) M1M2_PR
NEW met1 ( 1095950 3443350 ) M1M2_PR
NEW met1 ( 1096870 3084310 ) M1M2_PR
NEW met1 ( 1097790 3084310 ) M1M2_PR
NEW met1 ( 1097790 3132590 ) M1M2_PR
NEW met1 ( 1096870 3132590 ) M1M2_PR
NEW met1 ( 1095950 3470890 ) M1M2_PR
NEW met1 ( 1095030 3470890 ) M1M2_PR
NEW met2 ( 1094110 1973700 ) via2_FR
NEW met2 ( 1093190 1973700 ) via2_FR
NEW met1 ( 1093190 2021470 ) M1M2_PR
NEW met1 ( 1095030 2021470 ) M1M2_PR
NEW met1 ( 1094110 1725330 ) M1M2_PR
NEW met1 ( 1093650 1725330 ) M1M2_PR
NEW met1 ( 1093650 1752870 ) M1M2_PR
NEW met1 ( 1094570 1752870 ) M1M2_PR
NEW met1 ( 1095030 1869830 ) M1M2_PR
NEW met1 ( 1096410 1869830 ) M1M2_PR
NEW met1 ( 1096410 1845690 ) M1M2_PR
NEW met1 ( 1095030 1845690 ) M1M2_PR
NEW met1 ( 1094570 1966390 ) M1M2_PR
NEW met1 ( 1093650 1966390 ) M1M2_PR
NEW met1 ( 1093650 1918450 ) M1M2_PR
NEW met1 ( 1095030 1918450 ) M1M2_PR
NEW met1 ( 1094570 1773610 ) M1M2_PR
NEW met1 ( 1093650 1773610 ) M1M2_PR
NEW met1 ( 1093650 1821550 ) M1M2_PR
NEW met1 ( 1095030 1821550 ) M1M2_PR
NEW met1 ( 1095030 1883430 ) M1M2_PR
NEW met1 ( 1095030 1884110 ) M1M2_PR
+ USE SIGNAL ;
- io_out[21] ( PIN io_out[21] ) ( mprj io_out[10] )
+ ROUTED met1 ( 771650 2980950 ) ( 772570 2980950 )
NEW met2 ( 771650 2980950 ) ( 771650 3029060 )
NEW met2 ( 771650 3029060 ) ( 772570 3029060 )
NEW met1 ( 771650 3077510 ) ( 772570 3077510 )
NEW met2 ( 771650 3077510 ) ( 771650 3125620 )
NEW met2 ( 771650 3125620 ) ( 772570 3125620 )
NEW met1 ( 771650 3174070 ) ( 772570 3174070 )
NEW met2 ( 771650 3174070 ) ( 771650 3222180 )
NEW met2 ( 771650 3222180 ) ( 772570 3222180 )
NEW met1 ( 771650 3270630 ) ( 772570 3270630 )
NEW met2 ( 771650 3270630 ) ( 771650 3318740 )
NEW met2 ( 771650 3318740 ) ( 772570 3318740 )
NEW met1 ( 771650 3367530 ) ( 772570 3367530 )
NEW met2 ( 772110 2980780 ) ( 772570 2980780 )
NEW met2 ( 772570 2980780 ) ( 772570 2980950 )
NEW met2 ( 772570 3029060 ) ( 772570 3077510 )
NEW met2 ( 772570 3125620 ) ( 772570 3174070 )
NEW met2 ( 772570 3222180 ) ( 772570 3270630 )
NEW met2 ( 772570 3318740 ) ( 772570 3367530 )
NEW met3 ( 2397980 1241340 0 ) ( 2411550 1241340 )
NEW met2 ( 771190 2187220 ) ( 772110 2187220 )
NEW met2 ( 771650 2283780 ) ( 772570 2283780 )
NEW met1 ( 771650 2332230 ) ( 772570 2332230 )
NEW met2 ( 771650 2332230 ) ( 771650 2380340 )
NEW met2 ( 771650 2380340 ) ( 772570 2380340 )
NEW met2 ( 772570 2283780 ) ( 772570 2332230 )
NEW met2 ( 772110 2476900 ) ( 772570 2476900 )
NEW met2 ( 772110 2573460 ) ( 772570 2573460 )
NEW met2 ( 772110 2670020 ) ( 772570 2670020 )
NEW met2 ( 771190 2766580 ) ( 771650 2766580 )
NEW met2 ( 771650 2718980 ) ( 771650 2766580 )
NEW met2 ( 771650 2718980 ) ( 772570 2718980 )
NEW met2 ( 772570 2670020 ) ( 772570 2718980 )
NEW met2 ( 771190 2912100 ) ( 771650 2912100 )
NEW met2 ( 771650 2912100 ) ( 771650 2959700 )
NEW met2 ( 771650 2959700 ) ( 772110 2959700 )
NEW met2 ( 772110 2959700 ) ( 772110 2980780 )
NEW met1 ( 771190 3443010 ) ( 772110 3443010 )
NEW met2 ( 2411550 1241340 ) ( 2411550 1680790 )
NEW met1 ( 772570 1680790 ) ( 2411550 1680790 )
NEW met1 ( 771650 1848750 ) ( 771650 1849430 )
NEW met1 ( 771650 1848750 ) ( 772110 1848750 )
NEW met1 ( 771650 1945650 ) ( 771650 1945990 )
NEW met1 ( 771650 1945650 ) ( 772570 1945650 )
NEW met1 ( 771650 2076890 ) ( 772570 2076890 )
NEW met1 ( 772110 2173450 ) ( 772570 2173450 )
NEW met2 ( 772570 2139110 ) ( 772570 2173450 )
NEW met1 ( 772570 2138770 ) ( 772570 2139110 )
NEW met1 ( 772110 2138770 ) ( 772570 2138770 )
NEW met2 ( 772110 2125340 ) ( 772110 2138770 )
NEW met2 ( 772110 2125340 ) ( 772570 2125340 )
NEW met2 ( 772110 2173450 ) ( 772110 2187220 )
NEW met1 ( 770730 2222070 ) ( 771190 2222070 )
NEW met2 ( 770730 2222070 ) ( 770730 2270010 )
NEW met1 ( 770730 2270010 ) ( 771650 2270010 )
NEW met2 ( 771190 2187220 ) ( 771190 2222070 )
NEW met2 ( 771650 2270010 ) ( 771650 2283780 )
NEW met1 ( 771650 2463130 ) ( 772110 2463130 )
NEW met2 ( 771650 2429130 ) ( 771650 2463130 )
NEW met1 ( 771650 2429130 ) ( 772110 2429130 )
NEW met1 ( 772110 2428790 ) ( 772110 2429130 )
NEW met2 ( 772110 2415020 ) ( 772110 2428790 )
NEW met2 ( 772110 2415020 ) ( 772570 2415020 )
NEW met2 ( 772110 2463130 ) ( 772110 2476900 )
NEW met2 ( 772570 2380340 ) ( 772570 2415020 )
NEW met3 ( 770730 2559860 ) ( 772110 2559860 )
NEW met2 ( 770730 2511750 ) ( 770730 2559860 )
NEW met1 ( 770730 2511750 ) ( 772570 2511750 )
NEW met2 ( 772110 2559860 ) ( 772110 2573460 )
NEW met2 ( 772570 2476900 ) ( 772570 2511750 )
NEW met3 ( 770730 2656420 ) ( 772110 2656420 )
NEW met2 ( 770730 2608310 ) ( 770730 2656420 )
NEW met1 ( 770730 2608310 ) ( 772570 2608310 )
NEW met2 ( 772110 2656420 ) ( 772110 2670020 )
NEW met2 ( 772570 2573460 ) ( 772570 2608310 )
NEW met1 ( 770730 2814690 ) ( 770730 2815370 )
NEW met1 ( 770730 2814690 ) ( 771190 2814690 )
NEW met2 ( 771190 2766580 ) ( 771190 2814690 )
NEW met1 ( 770730 3381130 ) ( 771650 3381130 )
NEW met2 ( 770730 3381130 ) ( 770730 3429070 )
NEW met1 ( 770730 3429070 ) ( 772110 3429070 )
NEW met2 ( 771650 3367530 ) ( 771650 3381130 )
NEW met2 ( 772110 3429070 ) ( 772110 3443010 )
NEW met1 ( 770730 3477690 ) ( 771190 3477690 )
NEW met2 ( 770730 3477690 ) ( 770730 3517980 0 )
NEW met2 ( 771190 3443010 ) ( 771190 3477690 )
NEW met1 ( 771190 1883770 ) ( 771650 1883770 )
NEW met2 ( 771190 1883770 ) ( 771190 1931710 )
NEW met1 ( 771190 1931710 ) ( 772570 1931710 )
NEW met2 ( 771650 1849430 ) ( 771650 1883770 )
NEW met2 ( 772570 1931710 ) ( 772570 1945650 )
NEW met1 ( 771190 1980330 ) ( 771650 1980330 )
NEW met2 ( 771650 1945990 ) ( 771650 1980330 )
NEW met2 ( 771190 2077060 ) ( 771650 2077060 )
NEW met2 ( 771190 2077060 ) ( 771190 2077230 )
NEW met1 ( 770730 2077230 ) ( 771190 2077230 )
NEW met2 ( 770730 2077230 ) ( 770730 2125170 )
NEW met1 ( 770730 2125170 ) ( 772570 2125170 )
NEW met2 ( 771650 2076890 ) ( 771650 2077060 )
NEW met2 ( 772570 2125170 ) ( 772570 2125340 )
NEW met1 ( 769810 2849710 ) ( 770730 2849710 )
NEW met2 ( 769810 2849710 ) ( 769810 2897990 )
NEW met1 ( 769810 2897990 ) ( 771190 2897990 )
NEW met2 ( 770730 2815370 ) ( 770730 2849710 )
NEW met2 ( 771190 2897990 ) ( 771190 2912100 )
NEW met1 ( 770730 1732130 ) ( 771190 1732130 )
NEW met2 ( 770730 1732130 ) ( 770730 1779730 )
NEW met1 ( 770730 1779730 ) ( 772110 1779730 )
NEW met1 ( 771190 2021810 ) ( 771650 2021810 )
NEW met2 ( 771650 2021810 ) ( 771650 2069750 )
NEW met1 ( 771650 2069750 ) ( 772570 2069750 )
NEW met2 ( 771190 1980330 ) ( 771190 2021810 )
NEW met2 ( 772570 2069750 ) ( 772570 2076890 )
NEW met1 ( 770270 1731450 ) ( 771190 1731450 )
NEW met2 ( 770270 1683850 ) ( 770270 1731450 )
NEW met1 ( 770270 1683850 ) ( 772570 1683850 )
NEW met2 ( 771190 1731450 ) ( 771190 1732130 )
NEW met2 ( 772570 1680790 ) ( 772570 1683850 )
NEW met1 ( 771190 1780410 ) ( 772110 1780410 )
NEW met2 ( 771190 1780410 ) ( 771190 1804210 )
NEW met1 ( 771190 1804210 ) ( 772110 1804210 )
NEW met2 ( 772110 1779730 ) ( 772110 1780410 )
NEW met2 ( 772110 1804210 ) ( 772110 1848750 )
NEW met1 ( 772570 2980950 ) M1M2_PR
NEW met1 ( 771650 2980950 ) M1M2_PR
NEW met1 ( 772570 3077510 ) M1M2_PR
NEW met1 ( 771650 3077510 ) M1M2_PR
NEW met1 ( 772570 3174070 ) M1M2_PR
NEW met1 ( 771650 3174070 ) M1M2_PR
NEW met1 ( 772570 3270630 ) M1M2_PR
NEW met1 ( 771650 3270630 ) M1M2_PR
NEW met1 ( 772570 3367530 ) M1M2_PR
NEW met1 ( 771650 3367530 ) M1M2_PR
NEW met2 ( 2411550 1241340 ) via2_FR
NEW met1 ( 772570 1680790 ) M1M2_PR
NEW met1 ( 772570 2332230 ) M1M2_PR
NEW met1 ( 771650 2332230 ) M1M2_PR
NEW met1 ( 771190 3443010 ) M1M2_PR
NEW met1 ( 772110 3443010 ) M1M2_PR
NEW met1 ( 2411550 1680790 ) M1M2_PR
NEW met1 ( 771650 1849430 ) M1M2_PR
NEW met1 ( 772110 1848750 ) M1M2_PR
NEW met1 ( 771650 1945990 ) M1M2_PR
NEW met1 ( 772570 1945650 ) M1M2_PR
NEW met1 ( 771650 2076890 ) M1M2_PR
NEW met1 ( 772570 2076890 ) M1M2_PR
NEW met1 ( 772110 2173450 ) M1M2_PR
NEW met1 ( 772570 2173450 ) M1M2_PR
NEW met1 ( 772570 2139110 ) M1M2_PR
NEW met1 ( 772110 2138770 ) M1M2_PR
NEW met1 ( 771190 2222070 ) M1M2_PR
NEW met1 ( 770730 2222070 ) M1M2_PR
NEW met1 ( 770730 2270010 ) M1M2_PR
NEW met1 ( 771650 2270010 ) M1M2_PR
NEW met1 ( 772110 2463130 ) M1M2_PR
NEW met1 ( 771650 2463130 ) M1M2_PR
NEW met1 ( 771650 2429130 ) M1M2_PR
NEW met1 ( 772110 2428790 ) M1M2_PR
NEW met2 ( 772110 2559860 ) via2_FR
NEW met2 ( 770730 2559860 ) via2_FR
NEW met1 ( 770730 2511750 ) M1M2_PR
NEW met1 ( 772570 2511750 ) M1M2_PR
NEW met2 ( 772110 2656420 ) via2_FR
NEW met2 ( 770730 2656420 ) via2_FR
NEW met1 ( 770730 2608310 ) M1M2_PR
NEW met1 ( 772570 2608310 ) M1M2_PR
NEW met1 ( 770730 2815370 ) M1M2_PR
NEW met1 ( 771190 2814690 ) M1M2_PR
NEW met1 ( 771650 3381130 ) M1M2_PR
NEW met1 ( 770730 3381130 ) M1M2_PR
NEW met1 ( 770730 3429070 ) M1M2_PR
NEW met1 ( 772110 3429070 ) M1M2_PR
NEW met1 ( 771190 3477690 ) M1M2_PR
NEW met1 ( 770730 3477690 ) M1M2_PR
NEW met1 ( 771650 1883770 ) M1M2_PR
NEW met1 ( 771190 1883770 ) M1M2_PR
NEW met1 ( 771190 1931710 ) M1M2_PR
NEW met1 ( 772570 1931710 ) M1M2_PR
NEW met1 ( 771650 1980330 ) M1M2_PR
NEW met1 ( 771190 1980330 ) M1M2_PR
NEW met1 ( 771190 2077230 ) M1M2_PR
NEW met1 ( 770730 2077230 ) M1M2_PR
NEW met1 ( 770730 2125170 ) M1M2_PR
NEW met1 ( 772570 2125170 ) M1M2_PR
NEW met1 ( 770730 2849710 ) M1M2_PR
NEW met1 ( 769810 2849710 ) M1M2_PR
NEW met1 ( 769810 2897990 ) M1M2_PR
NEW met1 ( 771190 2897990 ) M1M2_PR
NEW met1 ( 771190 1732130 ) M1M2_PR
NEW met1 ( 770730 1732130 ) M1M2_PR
NEW met1 ( 770730 1779730 ) M1M2_PR
NEW met1 ( 772110 1779730 ) M1M2_PR
NEW met1 ( 771190 2021810 ) M1M2_PR
NEW met1 ( 771650 2021810 ) M1M2_PR
NEW met1 ( 771650 2069750 ) M1M2_PR
NEW met1 ( 772570 2069750 ) M1M2_PR
NEW met1 ( 771190 1731450 ) M1M2_PR
NEW met1 ( 770270 1731450 ) M1M2_PR
NEW met1 ( 770270 1683850 ) M1M2_PR
NEW met1 ( 772570 1683850 ) M1M2_PR
NEW met1 ( 772110 1780410 ) M1M2_PR
NEW met1 ( 771190 1780410 ) M1M2_PR
NEW met1 ( 771190 1804210 ) M1M2_PR
NEW met1 ( 772110 1804210 ) M1M2_PR
NEW met2 ( 772570 2125170 ) RECT ( -70 -315 70 0 )
+ USE SIGNAL ;
- io_out[22] ( PIN io_out[22] ) ( mprj io_out[11] )
+ ROUTED met2 ( 2390850 3498090 ) ( 2390850 3502510 )
NEW met1 ( 2390850 3498090 ) ( 2392690 3498090 )
NEW met2 ( 445970 3502510 ) ( 445970 3517980 0 )
NEW met1 ( 2394070 1329230 ) ( 2395450 1329230 )
NEW met2 ( 2395450 1329060 ) ( 2395450 1329230 )
NEW met3 ( 2395220 1329060 ) ( 2395450 1329060 )
NEW met3 ( 2395220 1326340 0 ) ( 2395220 1329060 )
NEW met1 ( 2389470 2669850 ) ( 2392230 2669850 )
NEW met1 ( 2392230 2669850 ) ( 2392230 2670190 )
NEW met1 ( 2392230 2670190 ) ( 2392690 2670190 )
NEW met1 ( 2392690 3152990 ) ( 2394070 3152990 )
NEW met2 ( 2392690 3152990 ) ( 2392690 3498090 )
NEW met1 ( 445970 3502510 ) ( 2390850 3502510 )
NEW met2 ( 2393610 1376660 ) ( 2394070 1376660 )
NEW met2 ( 2394070 1329230 ) ( 2394070 1376660 )
NEW met1 ( 2392690 1738930 ) ( 2392690 1739270 )
NEW met1 ( 2389470 1739270 ) ( 2392690 1739270 )
NEW met2 ( 2389470 1739270 ) ( 2389470 1786870 )
NEW met1 ( 2389470 1786870 ) ( 2392690 1786870 )
NEW met1 ( 2392690 1835490 ) ( 2392690 1835830 )
NEW met1 ( 2389470 1835830 ) ( 2392690 1835830 )
NEW met2 ( 2389470 1835830 ) ( 2389470 1883430 )
NEW met1 ( 2389470 1883430 ) ( 2392690 1883430 )
NEW met2 ( 2392690 1786870 ) ( 2392690 1835490 )
NEW met1 ( 2392690 1932050 ) ( 2392690 1932390 )
NEW met1 ( 2389470 1932390 ) ( 2392690 1932390 )
NEW met2 ( 2389470 1932390 ) ( 2389470 1979990 )
NEW met1 ( 2389470 1979990 ) ( 2392690 1979990 )
NEW met2 ( 2392690 1883430 ) ( 2392690 1932050 )
NEW met1 ( 2392690 2028610 ) ( 2392690 2028950 )
NEW met1 ( 2389470 2028950 ) ( 2392690 2028950 )
NEW met2 ( 2389470 2028950 ) ( 2389470 2076550 )
NEW met1 ( 2389470 2076550 ) ( 2391770 2076550 )
NEW met1 ( 2391770 2076550 ) ( 2391770 2076890 )
NEW met1 ( 2391770 2076890 ) ( 2392690 2076890 )
NEW met2 ( 2392690 1979990 ) ( 2392690 2028610 )
NEW met3 ( 2392460 2125340 ) ( 2392690 2125340 )
NEW met3 ( 2392460 2125340 ) ( 2392460 2126020 )
NEW met3 ( 2389470 2126020 ) ( 2392460 2126020 )
NEW met2 ( 2389470 2126020 ) ( 2389470 2173110 )
NEW met1 ( 2389470 2173110 ) ( 2391770 2173110 )
NEW met1 ( 2391770 2173110 ) ( 2391770 2173450 )
NEW met1 ( 2391770 2173450 ) ( 2392690 2173450 )
NEW met2 ( 2392690 2076890 ) ( 2392690 2125340 )
NEW met3 ( 2392460 2221900 ) ( 2392690 2221900 )
NEW met3 ( 2392460 2221900 ) ( 2392460 2222580 )
NEW met3 ( 2389470 2222580 ) ( 2392460 2222580 )
NEW met2 ( 2389470 2222580 ) ( 2389470 2269670 )
NEW met1 ( 2389470 2269670 ) ( 2391770 2269670 )
NEW met1 ( 2391770 2269670 ) ( 2391770 2270010 )
NEW met1 ( 2391770 2270010 ) ( 2392690 2270010 )
NEW met2 ( 2392690 2173450 ) ( 2392690 2221900 )
NEW met3 ( 2392460 2318460 ) ( 2392690 2318460 )
NEW met3 ( 2392460 2318460 ) ( 2392460 2319140 )
NEW met3 ( 2389470 2319140 ) ( 2392460 2319140 )
NEW met2 ( 2389470 2319140 ) ( 2389470 2366230 )
NEW met1 ( 2389470 2366230 ) ( 2391770 2366230 )
NEW met1 ( 2391770 2366230 ) ( 2391770 2366570 )
NEW met1 ( 2391770 2366570 ) ( 2392690 2366570 )
NEW met2 ( 2392690 2270010 ) ( 2392690 2318460 )
NEW met3 ( 2392460 2415020 ) ( 2392690 2415020 )
NEW met3 ( 2392460 2415020 ) ( 2392460 2415700 )
NEW met3 ( 2389470 2415700 ) ( 2392460 2415700 )
NEW met2 ( 2389470 2415700 ) ( 2389470 2462790 )
NEW met1 ( 2389470 2462790 ) ( 2391770 2462790 )
NEW met1 ( 2391770 2462790 ) ( 2391770 2463130 )
NEW met1 ( 2391770 2463130 ) ( 2392690 2463130 )
NEW met2 ( 2392690 2366570 ) ( 2392690 2415020 )
NEW met1 ( 2392690 2511750 ) ( 2392690 2512090 )
NEW met1 ( 2389470 2512090 ) ( 2392690 2512090 )
NEW met2 ( 2389470 2512090 ) ( 2389470 2559180 )
NEW met3 ( 2389470 2559180 ) ( 2392460 2559180 )
NEW met3 ( 2392460 2559180 ) ( 2392460 2559860 )
NEW met3 ( 2392460 2559860 ) ( 2392690 2559860 )
NEW met2 ( 2392690 2463130 ) ( 2392690 2511750 )
NEW met1 ( 2389470 2608650 ) ( 2392690 2608650 )
NEW met1 ( 2392690 2608310 ) ( 2392690 2608650 )
NEW met2 ( 2389470 2608650 ) ( 2389470 2669850 )
NEW met2 ( 2392690 2559860 ) ( 2392690 2608310 )
NEW met1 ( 2389010 2704870 ) ( 2392690 2704870 )
NEW met2 ( 2389010 2704870 ) ( 2389010 2752980 )
NEW met3 ( 2389010 2752980 ) ( 2392690 2752980 )
NEW met2 ( 2392690 2670190 ) ( 2392690 2704870 )
NEW met1 ( 2389470 2815370 ) ( 2390390 2815370 )
NEW met2 ( 2389470 2802450 ) ( 2389470 2815370 )
NEW met1 ( 2389470 2802450 ) ( 2393150 2802450 )
NEW met1 ( 2393150 2801430 ) ( 2393150 2802450 )
NEW met1 ( 2392690 2801430 ) ( 2393150 2801430 )
NEW met2 ( 2392690 2752980 ) ( 2392690 2801430 )
NEW met1 ( 2392690 2994890 ) ( 2394070 2994890 )
NEW met2 ( 2394070 2994890 ) ( 2394070 3042830 )
NEW met1 ( 2392690 3042830 ) ( 2394070 3042830 )
NEW met1 ( 2392690 3091450 ) ( 2394070 3091450 )
NEW met2 ( 2392690 3042830 ) ( 2392690 3091450 )
NEW met2 ( 2394070 3091450 ) ( 2394070 3152990 )
NEW met2 ( 2392690 1424940 ) ( 2393150 1424940 )
NEW met2 ( 2393150 1424770 ) ( 2393150 1424940 )
NEW met1 ( 2393150 1424770 ) ( 2394070 1424770 )
NEW met2 ( 2394070 1401140 ) ( 2394070 1424770 )
NEW met2 ( 2393610 1401140 ) ( 2394070 1401140 )
NEW met2 ( 2393610 1376660 ) ( 2393610 1401140 )
NEW met1 ( 2390390 2862970 ) ( 2390390 2863310 )
NEW met1 ( 2390390 2863310 ) ( 2392690 2863310 )
NEW met2 ( 2390390 2815370 ) ( 2390390 2862970 )
NEW met2 ( 2392690 2863310 ) ( 2392690 2994890 )
NEW met1 ( 2389470 1683170 ) ( 2392690 1683170 )
NEW met2 ( 2392690 1424940 ) ( 2392690 1683170 )
NEW met1 ( 2389470 1683850 ) ( 2392690 1683850 )
NEW met2 ( 2389470 1683170 ) ( 2389470 1683850 )
NEW met2 ( 2392690 1683850 ) ( 2392690 1738930 )
NEW met1 ( 445970 3502510 ) M1M2_PR
NEW met1 ( 2390850 3502510 ) M1M2_PR
NEW met1 ( 2390850 3498090 ) M1M2_PR
NEW met1 ( 2392690 3498090 ) M1M2_PR
NEW met1 ( 2394070 1329230 ) M1M2_PR
NEW met1 ( 2395450 1329230 ) M1M2_PR
NEW met2 ( 2395450 1329060 ) via2_FR
NEW met1 ( 2389470 2669850 ) M1M2_PR
NEW met1 ( 2392690 2670190 ) M1M2_PR
NEW met1 ( 2394070 3152990 ) M1M2_PR
NEW met1 ( 2392690 3152990 ) M1M2_PR
NEW met1 ( 2392690 1738930 ) M1M2_PR
NEW met1 ( 2389470 1739270 ) M1M2_PR
NEW met1 ( 2389470 1786870 ) M1M2_PR
NEW met1 ( 2392690 1786870 ) M1M2_PR
NEW met1 ( 2392690 1835490 ) M1M2_PR
NEW met1 ( 2389470 1835830 ) M1M2_PR
NEW met1 ( 2389470 1883430 ) M1M2_PR
NEW met1 ( 2392690 1883430 ) M1M2_PR
NEW met1 ( 2392690 1932050 ) M1M2_PR
NEW met1 ( 2389470 1932390 ) M1M2_PR
NEW met1 ( 2389470 1979990 ) M1M2_PR
NEW met1 ( 2392690 1979990 ) M1M2_PR
NEW met1 ( 2392690 2028610 ) M1M2_PR
NEW met1 ( 2389470 2028950 ) M1M2_PR
NEW met1 ( 2389470 2076550 ) M1M2_PR
NEW met1 ( 2392690 2076890 ) M1M2_PR
NEW met2 ( 2392690 2125340 ) via2_FR
NEW met2 ( 2389470 2126020 ) via2_FR
NEW met1 ( 2389470 2173110 ) M1M2_PR
NEW met1 ( 2392690 2173450 ) M1M2_PR
NEW met2 ( 2392690 2221900 ) via2_FR
NEW met2 ( 2389470 2222580 ) via2_FR
NEW met1 ( 2389470 2269670 ) M1M2_PR
NEW met1 ( 2392690 2270010 ) M1M2_PR
NEW met2 ( 2392690 2318460 ) via2_FR
NEW met2 ( 2389470 2319140 ) via2_FR
NEW met1 ( 2389470 2366230 ) M1M2_PR
NEW met1 ( 2392690 2366570 ) M1M2_PR
NEW met2 ( 2392690 2415020 ) via2_FR
NEW met2 ( 2389470 2415700 ) via2_FR
NEW met1 ( 2389470 2462790 ) M1M2_PR
NEW met1 ( 2392690 2463130 ) M1M2_PR
NEW met1 ( 2392690 2511750 ) M1M2_PR
NEW met1 ( 2389470 2512090 ) M1M2_PR
NEW met2 ( 2389470 2559180 ) via2_FR
NEW met2 ( 2392690 2559860 ) via2_FR
NEW met1 ( 2389470 2608650 ) M1M2_PR
NEW met1 ( 2392690 2608310 ) M1M2_PR
NEW met1 ( 2392690 2704870 ) M1M2_PR
NEW met1 ( 2389010 2704870 ) M1M2_PR
NEW met2 ( 2389010 2752980 ) via2_FR
NEW met2 ( 2392690 2752980 ) via2_FR
NEW met1 ( 2390390 2815370 ) M1M2_PR
NEW met1 ( 2389470 2815370 ) M1M2_PR
NEW met1 ( 2389470 2802450 ) M1M2_PR
NEW met1 ( 2392690 2801430 ) M1M2_PR
NEW met1 ( 2392690 2994890 ) M1M2_PR
NEW met1 ( 2394070 2994890 ) M1M2_PR
NEW met1 ( 2394070 3042830 ) M1M2_PR
NEW met1 ( 2392690 3042830 ) M1M2_PR
NEW met1 ( 2392690 3091450 ) M1M2_PR
NEW met1 ( 2394070 3091450 ) M1M2_PR
NEW met1 ( 2393150 1424770 ) M1M2_PR
NEW met1 ( 2394070 1424770 ) M1M2_PR
NEW met1 ( 2390390 2862970 ) M1M2_PR
NEW met1 ( 2392690 2863310 ) M1M2_PR
NEW met1 ( 2389470 1683170 ) M1M2_PR
NEW met1 ( 2392690 1683170 ) M1M2_PR
NEW met1 ( 2389470 1683850 ) M1M2_PR
NEW met1 ( 2392690 1683850 ) M1M2_PR
+ USE SIGNAL ;
- io_out[23] ( PIN io_out[23] ) ( mprj io_out[12] )
+ ROUTED met2 ( 121670 3501830 ) ( 121670 3517980 0 )
NEW met3 ( 2395220 1412700 ) ( 2395450 1412700 )
NEW met3 ( 2395220 1411340 0 ) ( 2395220 1412700 )
NEW met2 ( 2395450 1412700 ) ( 2395450 3501830 )
NEW met1 ( 121670 3501830 ) ( 2395450 3501830 )
NEW met1 ( 121670 3501830 ) M1M2_PR
NEW met1 ( 2395450 3501830 ) M1M2_PR
NEW met2 ( 2395450 1412700 ) via2_FR
+ USE SIGNAL ;
- io_out[24] ( PIN io_out[24] ) ( mprj io_out[13] )
+ ROUTED met3 ( 2300 3339820 0 ) ( 17250 3339820 )
NEW met2 ( 17250 3339650 ) ( 17250 3339820 )
NEW met3 ( 2396140 1497700 ) ( 2396370 1497700 )
NEW met3 ( 2396140 1496340 0 ) ( 2396140 1497700 )
NEW met2 ( 2396370 1497700 ) ( 2396370 3339650 )
NEW met1 ( 17250 3339650 ) ( 2396370 3339650 )
NEW met2 ( 17250 3339820 ) via2_FR
NEW met1 ( 17250 3339650 ) M1M2_PR
NEW met1 ( 2396370 3339650 ) M1M2_PR
NEW met2 ( 2396370 1497700 ) via2_FR
+ USE SIGNAL ;
- io_out[25] ( PIN io_out[25] ) ( mprj io_out[14] )
+ ROUTED met3 ( 2300 3052180 0 ) ( 17250 3052180 )
NEW met2 ( 17250 3049970 ) ( 17250 3052180 )
NEW met3 ( 2397980 1581340 0 ) ( 2401890 1581340 )
NEW met2 ( 2401890 1581340 ) ( 2401890 3049970 )
NEW met1 ( 17250 3049970 ) ( 2401890 3049970 )
NEW met2 ( 17250 3052180 ) via2_FR
NEW met1 ( 17250 3049970 ) M1M2_PR
NEW met2 ( 2401890 1581340 ) via2_FR
NEW met1 ( 2401890 3049970 ) M1M2_PR
+ USE SIGNAL ;
- io_out[26] ( PIN io_out[26] ) ( mprj io_out[15] )
+ ROUTED met3 ( 2300 2765220 0 ) ( 15870 2765220 )
NEW met2 ( 15870 2760290 ) ( 15870 2765220 )
NEW met3 ( 2397980 1666340 0 ) ( 2408330 1666340 )
NEW met2 ( 2408330 1666340 ) ( 2408330 2760290 )
NEW met1 ( 15870 2760290 ) ( 2408330 2760290 )
NEW met2 ( 15870 2765220 ) via2_FR
NEW met1 ( 15870 2760290 ) M1M2_PR
NEW met2 ( 2408330 1666340 ) via2_FR
NEW met1 ( 2408330 2760290 ) M1M2_PR
+ USE SIGNAL ;
- la_data_in[0] ( PIN la_data_in[0] )
+ USE SIGNAL ;
- la_data_in[100] ( PIN la_data_in[100] )
+ USE SIGNAL ;
- la_data_in[101] ( PIN la_data_in[101] )
+ USE SIGNAL ;
- la_data_in[102] ( PIN la_data_in[102] )
+ USE SIGNAL ;
- la_data_in[103] ( PIN la_data_in[103] )
+ USE SIGNAL ;
- la_data_in[104] ( PIN la_data_in[104] )
+ USE SIGNAL ;
- la_data_in[105] ( PIN la_data_in[105] )
+ USE SIGNAL ;
- la_data_in[106] ( PIN la_data_in[106] )
+ USE SIGNAL ;
- la_data_in[107] ( PIN la_data_in[107] )
+ USE SIGNAL ;
- la_data_in[108] ( PIN la_data_in[108] )
+ USE SIGNAL ;
- la_data_in[109] ( PIN la_data_in[109] )
+ USE SIGNAL ;
- la_data_in[10] ( PIN la_data_in[10] )
+ USE SIGNAL ;
- la_data_in[110] ( PIN la_data_in[110] )
+ USE SIGNAL ;
- la_data_in[111] ( PIN la_data_in[111] )
+ USE SIGNAL ;
- la_data_in[112] ( PIN la_data_in[112] )
+ USE SIGNAL ;
- la_data_in[113] ( PIN la_data_in[113] )
+ USE SIGNAL ;
- la_data_in[114] ( PIN la_data_in[114] )
+ USE SIGNAL ;
- la_data_in[115] ( PIN la_data_in[115] )
+ USE SIGNAL ;
- la_data_in[116] ( PIN la_data_in[116] )
+ USE SIGNAL ;
- la_data_in[117] ( PIN la_data_in[117] )
+ USE SIGNAL ;
- la_data_in[118] ( PIN la_data_in[118] )
+ USE SIGNAL ;
- la_data_in[119] ( PIN la_data_in[119] )
+ USE SIGNAL ;
- la_data_in[11] ( PIN la_data_in[11] )
+ USE SIGNAL ;
- la_data_in[120] ( PIN la_data_in[120] )
+ USE SIGNAL ;
- la_data_in[121] ( PIN la_data_in[121] )
+ USE SIGNAL ;
- la_data_in[122] ( PIN la_data_in[122] )
+ USE SIGNAL ;
- la_data_in[123] ( PIN la_data_in[123] )
+ USE SIGNAL ;
- la_data_in[124] ( PIN la_data_in[124] )
+ USE SIGNAL ;
- la_data_in[125] ( PIN la_data_in[125] )
+ USE SIGNAL ;
- la_data_in[126] ( PIN la_data_in[126] )
+ USE SIGNAL ;
- la_data_in[127] ( PIN la_data_in[127] )
+ USE SIGNAL ;
- la_data_in[12] ( PIN la_data_in[12] )
+ USE SIGNAL ;
- la_data_in[13] ( PIN la_data_in[13] )
+ USE SIGNAL ;
- la_data_in[14] ( PIN la_data_in[14] )
+ USE SIGNAL ;
- la_data_in[15] ( PIN la_data_in[15] )
+ USE SIGNAL ;
- la_data_in[16] ( PIN la_data_in[16] )
+ USE SIGNAL ;
- la_data_in[17] ( PIN la_data_in[17] )
+ USE SIGNAL ;
- la_data_in[18] ( PIN la_data_in[18] )
+ USE SIGNAL ;
- la_data_in[19] ( PIN la_data_in[19] )
+ USE SIGNAL ;
- la_data_in[1] ( PIN la_data_in[1] )
+ USE SIGNAL ;
- la_data_in[20] ( PIN la_data_in[20] )
+ USE SIGNAL ;
- la_data_in[21] ( PIN la_data_in[21] )
+ USE SIGNAL ;
- la_data_in[22] ( PIN la_data_in[22] )
+ USE SIGNAL ;
- la_data_in[23] ( PIN la_data_in[23] )
+ USE SIGNAL ;
- la_data_in[24] ( PIN la_data_in[24] )
+ USE SIGNAL ;
- la_data_in[25] ( PIN la_data_in[25] )
+ USE SIGNAL ;
- la_data_in[26] ( PIN la_data_in[26] )
+ USE SIGNAL ;
- la_data_in[27] ( PIN la_data_in[27] )
+ USE SIGNAL ;
- la_data_in[28] ( PIN la_data_in[28] )
+ USE SIGNAL ;
- la_data_in[29] ( PIN la_data_in[29] )
+ USE SIGNAL ;
- la_data_in[2] ( PIN la_data_in[2] )
+ USE SIGNAL ;
- la_data_in[30] ( PIN la_data_in[30] )
+ USE SIGNAL ;
- la_data_in[31] ( PIN la_data_in[31] )
+ USE SIGNAL ;
- la_data_in[32] ( PIN la_data_in[32] )
+ USE SIGNAL ;
- la_data_in[33] ( PIN la_data_in[33] )
+ USE SIGNAL ;
- la_data_in[34] ( PIN la_data_in[34] )
+ USE SIGNAL ;
- la_data_in[35] ( PIN la_data_in[35] )
+ USE SIGNAL ;
- la_data_in[36] ( PIN la_data_in[36] )
+ USE SIGNAL ;
- la_data_in[37] ( PIN la_data_in[37] )
+ USE SIGNAL ;
- la_data_in[38] ( PIN la_data_in[38] )
+ USE SIGNAL ;
- la_data_in[39] ( PIN la_data_in[39] )
+ USE SIGNAL ;
- la_data_in[3] ( PIN la_data_in[3] )
+ USE SIGNAL ;
- la_data_in[40] ( PIN la_data_in[40] )
+ USE SIGNAL ;
- la_data_in[41] ( PIN la_data_in[41] )
+ USE SIGNAL ;
- la_data_in[42] ( PIN la_data_in[42] )
+ USE SIGNAL ;
- la_data_in[43] ( PIN la_data_in[43] )
+ USE SIGNAL ;
- la_data_in[44] ( PIN la_data_in[44] )
+ USE SIGNAL ;
- la_data_in[45] ( PIN la_data_in[45] )
+ USE SIGNAL ;
- la_data_in[46] ( PIN la_data_in[46] )
+ USE SIGNAL ;
- la_data_in[47] ( PIN la_data_in[47] )
+ USE SIGNAL ;
- la_data_in[48] ( PIN la_data_in[48] )
+ USE SIGNAL ;
- la_data_in[49] ( PIN la_data_in[49] )
+ USE SIGNAL ;
- la_data_in[4] ( PIN la_data_in[4] )
+ USE SIGNAL ;
- la_data_in[50] ( PIN la_data_in[50] )
+ USE SIGNAL ;
- la_data_in[51] ( PIN la_data_in[51] )
+ USE SIGNAL ;
- la_data_in[52] ( PIN la_data_in[52] )
+ USE SIGNAL ;
- la_data_in[53] ( PIN la_data_in[53] )
+ USE SIGNAL ;
- la_data_in[54] ( PIN la_data_in[54] )
+ USE SIGNAL ;
- la_data_in[55] ( PIN la_data_in[55] )
+ USE SIGNAL ;
- la_data_in[56] ( PIN la_data_in[56] )
+ USE SIGNAL ;
- la_data_in[57] ( PIN la_data_in[57] )
+ USE SIGNAL ;
- la_data_in[58] ( PIN la_data_in[58] )
+ USE SIGNAL ;
- la_data_in[59] ( PIN la_data_in[59] )
+ USE SIGNAL ;
- la_data_in[5] ( PIN la_data_in[5] )
+ USE SIGNAL ;
- la_data_in[60] ( PIN la_data_in[60] )
+ USE SIGNAL ;
- la_data_in[61] ( PIN la_data_in[61] )
+ USE SIGNAL ;
- la_data_in[62] ( PIN la_data_in[62] )
+ USE SIGNAL ;
- la_data_in[63] ( PIN la_data_in[63] )
+ USE SIGNAL ;
- la_data_in[64] ( PIN la_data_in[64] )
+ USE SIGNAL ;
- la_data_in[65] ( PIN la_data_in[65] )
+ USE SIGNAL ;
- la_data_in[66] ( PIN la_data_in[66] )
+ USE SIGNAL ;
- la_data_in[67] ( PIN la_data_in[67] )
+ USE SIGNAL ;
- la_data_in[68] ( PIN la_data_in[68] )
+ USE SIGNAL ;
- la_data_in[69] ( PIN la_data_in[69] )
+ USE SIGNAL ;
- la_data_in[6] ( PIN la_data_in[6] )
+ USE SIGNAL ;
- la_data_in[70] ( PIN la_data_in[70] )
+ USE SIGNAL ;
- la_data_in[71] ( PIN la_data_in[71] )
+ USE SIGNAL ;
- la_data_in[72] ( PIN la_data_in[72] )
+ USE SIGNAL ;
- la_data_in[73] ( PIN la_data_in[73] )
+ USE SIGNAL ;
- la_data_in[74] ( PIN la_data_in[74] )
+ USE SIGNAL ;
- la_data_in[75] ( PIN la_data_in[75] )
+ USE SIGNAL ;
- la_data_in[76] ( PIN la_data_in[76] )
+ USE SIGNAL ;
- la_data_in[77] ( PIN la_data_in[77] )
+ USE SIGNAL ;
- la_data_in[78] ( PIN la_data_in[78] )
+ USE SIGNAL ;
- la_data_in[79] ( PIN la_data_in[79] )
+ USE SIGNAL ;
- la_data_in[7] ( PIN la_data_in[7] )
+ USE SIGNAL ;
- la_data_in[80] ( PIN la_data_in[80] )
+ USE SIGNAL ;
- la_data_in[81] ( PIN la_data_in[81] )
+ USE SIGNAL ;
- la_data_in[82] ( PIN la_data_in[82] )
+ USE SIGNAL ;
- la_data_in[83] ( PIN la_data_in[83] )
+ USE SIGNAL ;
- la_data_in[84] ( PIN la_data_in[84] )
+ USE SIGNAL ;
- la_data_in[85] ( PIN la_data_in[85] )
+ USE SIGNAL ;
- la_data_in[86] ( PIN la_data_in[86] )
+ USE SIGNAL ;
- la_data_in[87] ( PIN la_data_in[87] )
+ USE SIGNAL ;
- la_data_in[88] ( PIN la_data_in[88] )
+ USE SIGNAL ;
- la_data_in[89] ( PIN la_data_in[89] )
+ USE SIGNAL ;
- la_data_in[8] ( PIN la_data_in[8] )
+ USE SIGNAL ;
- la_data_in[90] ( PIN la_data_in[90] )
+ USE SIGNAL ;
- la_data_in[91] ( PIN la_data_in[91] )
+ USE SIGNAL ;
- la_data_in[92] ( PIN la_data_in[92] )
+ USE SIGNAL ;
- la_data_in[93] ( PIN la_data_in[93] )
+ USE SIGNAL ;
- la_data_in[94] ( PIN la_data_in[94] )
+ USE SIGNAL ;
- la_data_in[95] ( PIN la_data_in[95] )
+ USE SIGNAL ;
- la_data_in[96] ( PIN la_data_in[96] )
+ USE SIGNAL ;
- la_data_in[97] ( PIN la_data_in[97] )
+ USE SIGNAL ;
- la_data_in[98] ( PIN la_data_in[98] )
+ USE SIGNAL ;
- la_data_in[99] ( PIN la_data_in[99] )
+ USE SIGNAL ;
- la_data_in[9] ( PIN la_data_in[9] )
+ USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] )
+ USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] )
+ USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] )
+ USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] )
+ USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] )
+ USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] )
+ USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] )
+ USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] )
+ USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] )
+ USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] )
+ USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] )
+ USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] )
+ USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] )
+ USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] )
+ USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] )
+ USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] )
+ USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] )
+ USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] )
+ USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] )
+ USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] )
+ USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] )
+ USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] )
+ USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] )
+ USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] )
+ USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] )
+ USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] )
+ USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] )
+ USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] )
+ USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] )
+ USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] )
+ USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] )
+ USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] )
+ USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] )
+ USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] )
+ USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] )
+ USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] )
+ USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] )
+ USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] )
+ USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] )
+ USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] )
+ USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] )
+ USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] )
+ USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] )
+ USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] )
+ USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] )
+ USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] )
+ USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] )
+ USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] )
+ USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] )
+ USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] )
+ USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] )
+ USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] )
+ USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] )
+ USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] )
+ USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] )
+ USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] )
+ USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] )
+ USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] )
+ USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] )
+ USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] )
+ USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] )
+ USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] )
+ USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] )
+ USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] )
+ USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] )
+ USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] )
+ USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] )
+ USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] )
+ USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] )
+ USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] )
+ USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] )
+ USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] )
+ USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] )
+ USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] )
+ USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] )
+ USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] )
+ USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] )
+ USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] )
+ USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] )
+ USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] )
+ USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] )
+ USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] )
+ USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] )
+ USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] )
+ USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] )
+ USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] )
+ USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] )
+ USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] )
+ USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] )
+ USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] )
+ USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] )
+ USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] )
+ USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] )
+ USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] )
+ USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] )
+ USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] )
+ USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] )
+ USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] )
+ USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] )
+ USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] )
+ USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] )
+ USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] )
+ USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] )
+ USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] )
+ USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] )
+ USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] )
+ USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] )
+ USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] )
+ USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] )
+ USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] )
+ USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] )
+ USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] )
+ USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] )
+ USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] )
+ USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] )
+ USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] )
+ USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] )
+ USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] )
+ USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] )
+ USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] )
+ USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] )
+ USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] )
+ USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] )
+ USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] )
+ USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] )
+ USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] )
+ USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] )
+ USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] )
+ USE SIGNAL ;
- one ( PIN io_out[9] ) ( PIN io_out[8] ) ( PIN io_out[7] ) ( PIN io_out[6] )
( PIN io_out[5] ) ( PIN io_out[4] ) ( PIN io_out[3] ) ( PIN io_out[37] ) ( PIN io_out[36] )
( PIN io_out[35] ) ( PIN io_out[34] ) ( PIN io_out[33] ) ( PIN io_out[32] ) ( PIN io_out[31] )
( PIN io_out[30] ) ( PIN io_out[2] ) ( PIN io_out[29] ) ( PIN io_out[28] ) ( PIN io_out[27] )
( PIN io_out[1] ) ( PIN io_out[10] ) ( PIN io_out[0] ) ( PIN io_oeb[9] ) ( PIN io_oeb[8] )
( PIN io_oeb[7] ) ( PIN io_oeb[6] ) ( PIN io_oeb[5] ) ( PIN io_oeb[4] ) ( PIN io_oeb[3] )
( PIN io_oeb[37] ) ( PIN io_oeb[36] ) ( PIN io_oeb[35] ) ( PIN io_oeb[34] ) ( PIN io_oeb[33] )
( PIN io_oeb[32] ) ( PIN io_oeb[31] ) ( PIN io_oeb[30] ) ( PIN io_oeb[2] ) ( PIN io_oeb[29] )
( PIN io_oeb[28] ) ( PIN io_oeb[27] ) ( PIN io_oeb[1] ) ( PIN io_oeb[10] ) ( PIN io_oeb[0] )
( mprj one )
+ ROUTED met3 ( 2300 107100 0 ) ( 14030 107100 )
NEW met3 ( 2300 322660 0 ) ( 14030 322660 )
NEW met3 ( 2300 681700 0 ) ( 14030 681700 )
NEW met3 ( 2300 897260 0 ) ( 14030 897260 )
NEW met3 ( 2300 969340 0 ) ( 14030 969340 )
NEW met3 ( 2300 1184900 0 ) ( 14030 1184900 )
NEW met3 ( 2300 1543940 0 ) ( 14030 1543940 )
NEW met3 ( 14030 1834980 ) ( 17250 1834980 )
NEW met3 ( 2300 1830900 0 ) ( 17250 1830900 )
NEW met3 ( 2300 2118540 0 ) ( 14030 2118540 )
NEW met3 ( 2300 2405500 0 ) ( 14030 2405500 )
NEW met3 ( 2904670 205020 ) ( 2917780 205020 0 )
NEW met3 ( 2904670 381140 ) ( 2917780 381140 0 )
NEW met3 ( 2904670 674220 ) ( 2917780 674220 0 )
NEW met3 ( 2904670 1084940 ) ( 2917780 1084940 0 )
NEW met3 ( 2904670 1378700 ) ( 2917780 1378700 0 )
NEW met3 ( 2904670 1554140 ) ( 2917780 1554140 0 )
NEW met3 ( 2904670 1847900 ) ( 2917780 1847900 0 )
NEW met3 ( 2904670 2024020 ) ( 2917780 2024020 0 )
NEW met3 ( 2904670 2317100 ) ( 2917780 2317100 0 )
NEW met3 ( 2300 35700 0 ) ( 14030 35700 )
NEW met2 ( 14030 35700 ) ( 14030 107100 )
NEW met3 ( 2300 250580 0 ) ( 14030 250580 )
NEW met2 ( 14030 107100 ) ( 14030 250580 )
NEW met3 ( 2300 466140 0 ) ( 14030 466140 )
NEW met3 ( 2300 538220 0 ) ( 14030 538220 )
NEW met2 ( 14030 250580 ) ( 14030 538220 )
NEW met2 ( 14030 538220 ) ( 14030 681700 )
NEW met3 ( 2300 753780 0 ) ( 14030 753780 )
NEW met2 ( 14030 681700 ) ( 14030 969340 )
NEW met3 ( 2300 1112820 0 ) ( 14030 1112820 )
NEW met2 ( 14030 969340 ) ( 14030 1112820 )
NEW met3 ( 2300 1328380 0 ) ( 14030 1328380 )
NEW met3 ( 2300 1400460 0 ) ( 14030 1400460 )
NEW met3 ( 2300 1615340 0 ) ( 14030 1615340 )
NEW met3 ( 14030 1615340 ) ( 17250 1615340 )
NEW met2 ( 14030 1112820 ) ( 14030 1615340 )
NEW met3 ( 2300 1902980 0 ) ( 14030 1902980 )
NEW met2 ( 14030 1834980 ) ( 14030 1902980 )
NEW met3 ( 2300 2189940 0 ) ( 14030 2189940 )
NEW met2 ( 14030 1902980 ) ( 14030 2189940 )
NEW met3 ( 2300 2477580 0 ) ( 14030 2477580 )
NEW met2 ( 14030 2189940 ) ( 14030 2477580 )
NEW met3 ( 2904670 146540 ) ( 2917780 146540 0 )
NEW met2 ( 2904670 146540 ) ( 2904670 205020 )
NEW met3 ( 2904670 439620 ) ( 2917780 439620 0 )
NEW met2 ( 2904670 205020 ) ( 2904670 439620 )
NEW met3 ( 2904670 615740 ) ( 2917780 615740 0 )
NEW met3 ( 2904670 850340 ) ( 2917780 850340 0 )
NEW met3 ( 2904670 909500 ) ( 2917780 909500 0 )
NEW met3 ( 2904670 1144100 ) ( 2917780 1144100 0 )
NEW met3 ( 2904670 1319540 ) ( 2917780 1319540 0 )
NEW met2 ( 2904670 439620 ) ( 2904670 1319540 )
NEW met2 ( 2904670 1319540 ) ( 2904670 1554140 )
NEW met3 ( 2904670 1613300 ) ( 2917780 1613300 0 )
NEW met3 ( 2901450 1613300 ) ( 2904670 1613300 )
NEW met2 ( 2904670 1554140 ) ( 2904670 1613300 )
NEW met3 ( 2904670 1789420 ) ( 2917780 1789420 0 )
NEW met3 ( 2901450 1789420 ) ( 2904670 1789420 )
NEW met2 ( 2904670 1789420 ) ( 2904670 1847900 )
NEW met3 ( 2904670 2082500 ) ( 2917780 2082500 0 )
NEW met2 ( 2904670 1847900 ) ( 2904670 2082500 )
NEW met3 ( 2904670 2258620 ) ( 2917780 2258620 0 )
NEW met3 ( 2904670 2493220 ) ( 2917780 2493220 0 )
NEW met3 ( 2904670 2551700 ) ( 2917780 2551700 0 )
NEW met2 ( 2904670 2082500 ) ( 2904670 2551700 )
NEW met2 ( 17250 1615340 ) ( 17250 1834980 )
NEW met1 ( 96830 1690650 ) ( 96830 1690990 )
NEW met2 ( 96830 1690820 ) ( 96830 1690990 )
NEW met3 ( 96830 1690820 ) ( 111090 1690820 )
NEW met2 ( 111090 1690820 ) ( 111090 1691330 )
NEW met2 ( 206310 1690650 ) ( 206310 1690820 )
NEW met3 ( 206310 1690820 ) ( 207690 1690820 )
NEW met2 ( 207690 1690820 ) ( 207690 1691330 )
NEW met1 ( 290030 1690650 ) ( 290030 1690990 )
NEW met2 ( 290030 1690820 ) ( 290030 1690990 )
NEW met3 ( 290030 1690820 ) ( 304290 1690820 )
NEW met2 ( 304290 1690820 ) ( 304290 1691330 )
NEW met1 ( 386630 1690650 ) ( 386630 1690990 )
NEW met2 ( 386630 1690820 ) ( 386630 1690990 )
NEW met3 ( 386630 1690820 ) ( 400890 1690820 )
NEW met2 ( 400890 1690820 ) ( 400890 1691330 )
NEW met1 ( 579830 1690650 ) ( 579830 1690990 )
NEW met2 ( 579830 1690820 ) ( 579830 1690990 )
NEW met3 ( 579830 1690820 ) ( 594090 1690820 )
NEW met2 ( 594090 1690820 ) ( 594090 1691330 )
NEW met1 ( 676430 1690650 ) ( 676430 1690990 )
NEW met2 ( 676430 1690820 ) ( 676430 1690990 )
NEW met3 ( 676430 1690820 ) ( 690690 1690820 )
NEW met2 ( 690690 1690820 ) ( 690690 1691330 )
NEW met2 ( 786370 1690650 ) ( 786370 1690820 )
NEW met2 ( 786370 1690820 ) ( 787290 1690820 )
NEW met2 ( 787290 1690820 ) ( 787290 1691330 )
NEW met1 ( 869630 1690650 ) ( 869630 1690990 )
NEW met2 ( 869630 1690820 ) ( 869630 1690990 )
NEW met3 ( 869630 1690820 ) ( 883890 1690820 )
NEW met2 ( 883890 1690820 ) ( 883890 1691330 )
NEW met1 ( 966230 1690650 ) ( 966230 1690990 )
NEW met2 ( 966230 1690820 ) ( 966230 1690990 )
NEW met3 ( 966230 1690820 ) ( 980490 1690820 )
NEW met2 ( 980490 1690820 ) ( 980490 1691330 )
NEW met1 ( 1256030 1690650 ) ( 1256030 1690990 )
NEW met2 ( 1256030 1690820 ) ( 1256030 1690990 )
NEW met3 ( 1256030 1690820 ) ( 1270290 1690820 )
NEW met2 ( 1270290 1690820 ) ( 1270290 1691330 )
NEW met1 ( 1352630 1690650 ) ( 1352630 1690990 )
NEW met2 ( 1352630 1690820 ) ( 1352630 1690990 )
NEW met3 ( 1352630 1690820 ) ( 1366890 1690820 )
NEW met2 ( 1366890 1690820 ) ( 1366890 1691330 )
NEW met1 ( 1449230 1690650 ) ( 1449230 1690990 )
NEW met2 ( 1449230 1690820 ) ( 1449230 1690990 )
NEW met3 ( 1449230 1690820 ) ( 1463490 1690820 )
NEW met2 ( 1463490 1690820 ) ( 1463490 1691330 )
NEW met1 ( 1545830 1690650 ) ( 1545830 1690990 )
NEW met2 ( 1545830 1690820 ) ( 1545830 1690990 )
NEW met3 ( 1545830 1690820 ) ( 1560090 1690820 )
NEW met2 ( 1560090 1690820 ) ( 1560090 1691330 )
NEW met1 ( 1739030 1690650 ) ( 1739030 1690990 )
NEW met2 ( 1739030 1690820 ) ( 1739030 1690990 )
NEW met3 ( 1739030 1690820 ) ( 1753290 1690820 )
NEW met2 ( 1753290 1690820 ) ( 1753290 1691330 )
NEW met1 ( 1835630 1690650 ) ( 1835630 1690990 )
NEW met2 ( 1835630 1690820 ) ( 1835630 1690990 )
NEW met3 ( 1835630 1690820 ) ( 1849890 1690820 )
NEW met2 ( 1849890 1690820 ) ( 1849890 1691330 )
NEW met3 ( 1945110 1690820 ) ( 1961210 1690820 )
NEW met2 ( 1945110 1690820 ) ( 1945110 1690990 )
NEW met2 ( 1961210 1690820 ) ( 1961210 1691330 )
NEW met2 ( 1961210 1680620 0 ) ( 1961210 1690820 )
NEW met1 ( 2705030 1690650 ) ( 2705030 1690990 )
NEW met2 ( 2705030 1690820 ) ( 2705030 1690990 )
NEW met3 ( 2705030 1690820 ) ( 2719290 1690820 )
NEW met2 ( 2719290 1690820 ) ( 2719290 1691330 )
NEW met2 ( 2802090 1690650 ) ( 2802090 1690820 )
NEW met3 ( 2802090 1690820 ) ( 2815890 1690820 )
NEW met2 ( 2815890 1690820 ) ( 2815890 1691330 )
NEW met2 ( 2901450 1613300 ) ( 2901450 1789420 )
NEW met2 ( 48530 1691330 ) ( 48530 1691500 )
NEW met3 ( 48530 1691500 ) ( 62330 1691500 )
NEW met2 ( 62330 1691330 ) ( 62330 1691500 )
NEW met1 ( 62330 1691330 ) ( 96370 1691330 )
NEW met1 ( 96370 1690650 ) ( 96370 1691330 )
NEW met1 ( 17250 1691330 ) ( 48530 1691330 )
NEW met1 ( 96370 1690650 ) ( 96830 1690650 )
NEW met2 ( 145130 1691330 ) ( 145130 1691500 )
NEW met3 ( 145130 1691500 ) ( 192970 1691500 )
NEW met2 ( 192970 1690650 ) ( 192970 1691500 )
NEW met1 ( 111090 1691330 ) ( 145130 1691330 )
NEW met1 ( 192970 1690650 ) ( 206310 1690650 )
NEW met2 ( 241730 1691330 ) ( 241730 1691500 )
NEW met3 ( 241730 1691500 ) ( 255530 1691500 )
NEW met2 ( 255530 1691330 ) ( 255530 1691500 )
NEW met1 ( 255530 1691330 ) ( 289570 1691330 )
NEW met1 ( 289570 1690650 ) ( 289570 1691330 )
NEW met1 ( 207690 1691330 ) ( 241730 1691330 )
NEW met1 ( 289570 1690650 ) ( 290030 1690650 )
NEW met2 ( 338330 1691330 ) ( 338330 1691500 )
NEW met3 ( 338330 1691500 ) ( 352130 1691500 )
NEW met2 ( 352130 1691330 ) ( 352130 1691500 )
NEW met1 ( 352130 1691330 ) ( 386170 1691330 )
NEW met1 ( 386170 1690650 ) ( 386170 1691330 )
NEW met1 ( 304290 1691330 ) ( 338330 1691330 )
NEW met1 ( 386170 1690650 ) ( 386630 1690650 )
NEW met2 ( 448270 1690820 ) ( 448270 1691330 )
NEW met2 ( 448270 1690820 ) ( 448730 1690820 )
NEW met2 ( 448730 1690650 ) ( 448730 1690820 )
NEW met1 ( 400890 1691330 ) ( 448270 1691330 )
NEW met2 ( 544870 1690820 ) ( 544870 1691330 )
NEW met2 ( 544870 1690820 ) ( 545330 1690820 )
NEW met2 ( 545330 1690650 ) ( 545330 1690820 )
NEW met1 ( 545330 1690650 ) ( 579830 1690650 )
NEW met2 ( 628130 1691330 ) ( 628130 1691500 )
NEW met3 ( 628130 1691500 ) ( 641930 1691500 )
NEW met2 ( 641930 1691330 ) ( 641930 1691500 )
NEW met1 ( 641930 1691330 ) ( 675970 1691330 )
NEW met1 ( 675970 1690650 ) ( 675970 1691330 )
NEW met1 ( 594090 1691330 ) ( 628130 1691330 )
NEW met1 ( 675970 1690650 ) ( 676430 1690650 )
NEW met2 ( 724730 1691330 ) ( 724730 1691500 )
NEW met3 ( 724730 1691500 ) ( 738530 1691500 )
NEW met2 ( 738530 1691330 ) ( 738530 1691500 )
NEW met1 ( 738530 1691330 ) ( 772570 1691330 )
NEW met1 ( 772570 1690650 ) ( 772570 1691330 )
NEW met1 ( 690690 1691330 ) ( 724730 1691330 )
NEW met1 ( 772570 1690650 ) ( 786370 1690650 )
NEW met2 ( 821330 1691330 ) ( 821330 1691500 )
NEW met3 ( 821330 1691500 ) ( 835130 1691500 )
NEW met2 ( 835130 1691330 ) ( 835130 1691500 )
NEW met1 ( 835130 1691330 ) ( 869170 1691330 )
NEW met1 ( 869170 1690650 ) ( 869170 1691330 )
NEW met1 ( 787290 1691330 ) ( 821330 1691330 )
NEW met1 ( 869170 1690650 ) ( 869630 1690650 )
NEW met2 ( 917930 1691330 ) ( 917930 1691500 )
NEW met3 ( 917930 1691500 ) ( 931730 1691500 )
NEW met2 ( 931730 1691330 ) ( 931730 1691500 )
NEW met1 ( 931730 1691330 ) ( 965770 1691330 )
NEW met1 ( 965770 1690650 ) ( 965770 1691330 )
NEW met1 ( 883890 1691330 ) ( 917930 1691330 )
NEW met1 ( 965770 1690650 ) ( 966230 1690650 )
NEW met2 ( 1027870 1690820 ) ( 1027870 1691330 )
NEW met2 ( 1027870 1690820 ) ( 1028330 1690820 )
NEW met2 ( 1028330 1690650 ) ( 1028330 1690820 )
NEW met1 ( 980490 1691330 ) ( 1027870 1691330 )
NEW met2 ( 1124470 1690820 ) ( 1124470 1691330 )
NEW met2 ( 1124470 1690820 ) ( 1124930 1690820 )
NEW met2 ( 1124930 1690650 ) ( 1124930 1690820 )
NEW met2 ( 1221070 1690820 ) ( 1221070 1691330 )
NEW met2 ( 1221070 1690820 ) ( 1221530 1690820 )
NEW met2 ( 1221530 1690650 ) ( 1221530 1690820 )
NEW met1 ( 1221530 1690650 ) ( 1256030 1690650 )
NEW met2 ( 1304330 1691330 ) ( 1304330 1691500 )
NEW met3 ( 1304330 1691500 ) ( 1318130 1691500 )
NEW met2 ( 1318130 1691330 ) ( 1318130 1691500 )
NEW met1 ( 1318130 1691330 ) ( 1352170 1691330 )
NEW met1 ( 1352170 1690650 ) ( 1352170 1691330 )
NEW met1 ( 1270290 1691330 ) ( 1304330 1691330 )
NEW met1 ( 1352170 1690650 ) ( 1352630 1690650 )
NEW met2 ( 1414270 1690820 ) ( 1414270 1691330 )
NEW met2 ( 1414270 1690820 ) ( 1414730 1690820 )
NEW met2 ( 1414730 1690650 ) ( 1414730 1690820 )
NEW met1 ( 1366890 1691330 ) ( 1414270 1691330 )
NEW met1 ( 1414730 1690650 ) ( 1449230 1690650 )
NEW met2 ( 1497530 1691330 ) ( 1497530 1691500 )
NEW met3 ( 1497530 1691500 ) ( 1511330 1691500 )
NEW met2 ( 1511330 1691330 ) ( 1511330 1691500 )
NEW met1 ( 1511330 1691330 ) ( 1545370 1691330 )
NEW met1 ( 1545370 1690650 ) ( 1545370 1691330 )
NEW met1 ( 1463490 1691330 ) ( 1497530 1691330 )
NEW met1 ( 1545370 1690650 ) ( 1545830 1690650 )
NEW met2 ( 1607470 1690820 ) ( 1607470 1691330 )
NEW met2 ( 1607470 1690820 ) ( 1607930 1690820 )
NEW met2 ( 1607930 1690650 ) ( 1607930 1690820 )
NEW met1 ( 1560090 1691330 ) ( 1607470 1691330 )
NEW met2 ( 1704070 1690820 ) ( 1704070 1691330 )
NEW met2 ( 1704070 1690820 ) ( 1704530 1690820 )
NEW met2 ( 1704530 1690650 ) ( 1704530 1690820 )
NEW met1 ( 1704530 1690650 ) ( 1739030 1690650 )
NEW met2 ( 1787330 1691330 ) ( 1787330 1691500 )
NEW met3 ( 1787330 1691500 ) ( 1801130 1691500 )
NEW met2 ( 1801130 1691330 ) ( 1801130 1691500 )
NEW met1 ( 1801130 1691330 ) ( 1835170 1691330 )
NEW met1 ( 1835170 1690650 ) ( 1835170 1691330 )
NEW met1 ( 1753290 1691330 ) ( 1787330 1691330 )
NEW met1 ( 1835170 1690650 ) ( 1835630 1690650 )
NEW met2 ( 1883930 1691330 ) ( 1883930 1691500 )
NEW met3 ( 1883930 1691500 ) ( 1897730 1691500 )
NEW met2 ( 1897730 1691330 ) ( 1897730 1691500 )
NEW met1 ( 1897730 1691330 ) ( 1931770 1691330 )
NEW met1 ( 1931770 1690990 ) ( 1931770 1691330 )
NEW met1 ( 1849890 1691330 ) ( 1883930 1691330 )
NEW met1 ( 1931770 1690990 ) ( 1945110 1690990 )
NEW met2 ( 1980530 1691330 ) ( 1980530 1691500 )
NEW met3 ( 1980530 1691500 ) ( 1994330 1691500 )
NEW met2 ( 1994330 1691330 ) ( 1994330 1691500 )
NEW met1 ( 1961210 1691330 ) ( 1980530 1691330 )
NEW met2 ( 2753330 1691330 ) ( 2753330 1691500 )
NEW met3 ( 2753330 1691500 ) ( 2801170 1691500 )
NEW met2 ( 2801170 1690650 ) ( 2801170 1691500 )
NEW met1 ( 2719290 1691330 ) ( 2753330 1691330 )
NEW met1 ( 2801170 1690650 ) ( 2802090 1690650 )
NEW met2 ( 2849930 1691330 ) ( 2849930 1691500 )
NEW met3 ( 2849930 1691500 ) ( 2897770 1691500 )
NEW met2 ( 2897770 1690650 ) ( 2897770 1691500 )
NEW met1 ( 2815890 1691330 ) ( 2849930 1691330 )
NEW met1 ( 2897770 1690650 ) ( 2901450 1690650 )
NEW met2 ( 476330 1690650 ) ( 476330 1690820 )
NEW met3 ( 476330 1690820 ) ( 524170 1690820 )
NEW met2 ( 524170 1690820 ) ( 524170 1691330 )
NEW met1 ( 448730 1690650 ) ( 476330 1690650 )
NEW met1 ( 524170 1691330 ) ( 544870 1691330 )
NEW met2 ( 1076170 1690650 ) ( 1076170 1691670 )
NEW met1 ( 1076170 1691670 ) ( 1078470 1691670 )
NEW met1 ( 1078470 1691330 ) ( 1078470 1691670 )
NEW met1 ( 1028330 1690650 ) ( 1076170 1690650 )
NEW met1 ( 1078470 1691330 ) ( 1124470 1691330 )
NEW met2 ( 1152530 1690650 ) ( 1152530 1690820 )
NEW met3 ( 1152530 1690820 ) ( 1200370 1690820 )
NEW met2 ( 1200370 1690820 ) ( 1200370 1691330 )
NEW met1 ( 1124930 1690650 ) ( 1152530 1690650 )
NEW met1 ( 1200370 1691330 ) ( 1221070 1691330 )
NEW met2 ( 1635530 1690650 ) ( 1635530 1690820 )
NEW met3 ( 1635530 1690820 ) ( 1683370 1690820 )
NEW met2 ( 1683370 1690820 ) ( 1683370 1691330 )
NEW met1 ( 1607930 1690650 ) ( 1635530 1690650 )
NEW met1 ( 1683370 1691330 ) ( 1704070 1691330 )
NEW met2 ( 2021930 1691330 ) ( 2021930 1691500 )
NEW met3 ( 2021930 1691500 ) ( 2068850 1691500 )
NEW met2 ( 2068850 1690140 ) ( 2068850 1691500 )
NEW met2 ( 2068850 1690140 ) ( 2069770 1690140 )
NEW met2 ( 2069770 1690140 ) ( 2069770 1690650 )
NEW met1 ( 1994330 1691330 ) ( 2021930 1691330 )
NEW met2 ( 2118530 1690650 ) ( 2118530 1690820 )
NEW met3 ( 2118530 1690820 ) ( 2119220 1690820 )
NEW met3 ( 2119220 1690140 ) ( 2119220 1690820 )
NEW met3 ( 2119220 1690140 ) ( 2166370 1690140 )
NEW met2 ( 2166370 1690140 ) ( 2166370 1690650 )
NEW met1 ( 2069770 1690650 ) ( 2118530 1690650 )
NEW met2 ( 2215130 1690650 ) ( 2215130 1690820 )
NEW met3 ( 2215130 1690820 ) ( 2215820 1690820 )
NEW met3 ( 2215820 1690140 ) ( 2215820 1690820 )
NEW met3 ( 2215820 1690140 ) ( 2262970 1690140 )
NEW met2 ( 2262970 1690140 ) ( 2262970 1690650 )
NEW met1 ( 2166370 1690650 ) ( 2215130 1690650 )
NEW met2 ( 2311730 1690650 ) ( 2311730 1690820 )
NEW met3 ( 2311730 1690820 ) ( 2312420 1690820 )
NEW met3 ( 2312420 1690140 ) ( 2312420 1690820 )
NEW met3 ( 2312420 1690140 ) ( 2359570 1690140 )
NEW met2 ( 2359570 1690140 ) ( 2359570 1690650 )
NEW met1 ( 2262970 1690650 ) ( 2311730 1690650 )
NEW met2 ( 2408790 1689630 ) ( 2408790 1690650 )
NEW met1 ( 2408790 1689630 ) ( 2456170 1689630 )
NEW met2 ( 2456170 1689630 ) ( 2456170 1690650 )
NEW met1 ( 2359570 1690650 ) ( 2408790 1690650 )
NEW met2 ( 2504930 1690650 ) ( 2504930 1690820 )
NEW met3 ( 2504930 1690820 ) ( 2505620 1690820 )
NEW met3 ( 2505620 1690140 ) ( 2505620 1690820 )
NEW met3 ( 2505620 1690140 ) ( 2552770 1690140 )
NEW met2 ( 2552770 1690140 ) ( 2552770 1690650 )
NEW met1 ( 2456170 1690650 ) ( 2504930 1690650 )
NEW met2 ( 2601530 1690650 ) ( 2601530 1690820 )
NEW met3 ( 2601530 1690820 ) ( 2602220 1690820 )
NEW met3 ( 2602220 1690140 ) ( 2602220 1690820 )
NEW met3 ( 2602220 1690140 ) ( 2649370 1690140 )
NEW met2 ( 2649370 1690140 ) ( 2649370 1690650 )
NEW met1 ( 2552770 1690650 ) ( 2601530 1690650 )
NEW met1 ( 2649370 1690650 ) ( 2705030 1690650 )
NEW met2 ( 14030 107100 ) via2_FR
NEW met2 ( 14030 322660 ) via2_FR
NEW met2 ( 14030 681700 ) via2_FR
NEW met2 ( 14030 897260 ) via2_FR
NEW met2 ( 14030 969340 ) via2_FR
NEW met2 ( 14030 1184900 ) via2_FR
NEW met2 ( 14030 1543940 ) via2_FR
NEW met2 ( 17250 1834980 ) via2_FR
NEW met2 ( 14030 1834980 ) via2_FR
NEW met2 ( 17250 1830900 ) via2_FR
NEW met2 ( 14030 2118540 ) via2_FR
NEW met2 ( 14030 2405500 ) via2_FR
NEW met2 ( 2904670 205020 ) via2_FR
NEW met2 ( 2904670 381140 ) via2_FR
NEW met2 ( 2904670 674220 ) via2_FR
NEW met2 ( 2904670 1084940 ) via2_FR
NEW met2 ( 2904670 1378700 ) via2_FR
NEW met2 ( 2904670 1554140 ) via2_FR
NEW met2 ( 2904670 1847900 ) via2_FR
NEW met2 ( 2904670 2024020 ) via2_FR
NEW met2 ( 2904670 2317100 ) via2_FR
NEW met2 ( 14030 35700 ) via2_FR
NEW met2 ( 14030 250580 ) via2_FR
NEW met2 ( 14030 466140 ) via2_FR
NEW met2 ( 14030 538220 ) via2_FR
NEW met2 ( 14030 753780 ) via2_FR
NEW met2 ( 14030 1112820 ) via2_FR
NEW met2 ( 14030 1328380 ) via2_FR
NEW met2 ( 14030 1400460 ) via2_FR
NEW met2 ( 14030 1615340 ) via2_FR
NEW met2 ( 17250 1615340 ) via2_FR
NEW met2 ( 14030 1902980 ) via2_FR
NEW met2 ( 14030 2189940 ) via2_FR
NEW met2 ( 14030 2477580 ) via2_FR
NEW met2 ( 2904670 146540 ) via2_FR
NEW met2 ( 2904670 439620 ) via2_FR
NEW met2 ( 2904670 615740 ) via2_FR
NEW met2 ( 2904670 850340 ) via2_FR
NEW met2 ( 2904670 909500 ) via2_FR
NEW met2 ( 2904670 1144100 ) via2_FR
NEW met2 ( 2904670 1319540 ) via2_FR
NEW met2 ( 2904670 1613300 ) via2_FR
NEW met2 ( 2901450 1613300 ) via2_FR
NEW met2 ( 2904670 1789420 ) via2_FR
NEW met2 ( 2901450 1789420 ) via2_FR
NEW met2 ( 2904670 2082500 ) via2_FR
NEW met2 ( 2904670 2258620 ) via2_FR
NEW met2 ( 2904670 2493220 ) via2_FR
NEW met2 ( 2904670 2551700 ) via2_FR
NEW met1 ( 17250 1691330 ) M1M2_PR
NEW met1 ( 96830 1690990 ) M1M2_PR
NEW met2 ( 96830 1690820 ) via2_FR
NEW met2 ( 111090 1690820 ) via2_FR
NEW met1 ( 111090 1691330 ) M1M2_PR
NEW met1 ( 206310 1690650 ) M1M2_PR
NEW met2 ( 206310 1690820 ) via2_FR
NEW met2 ( 207690 1690820 ) via2_FR
NEW met1 ( 207690 1691330 ) M1M2_PR
NEW met1 ( 290030 1690990 ) M1M2_PR
NEW met2 ( 290030 1690820 ) via2_FR
NEW met2 ( 304290 1690820 ) via2_FR
NEW met1 ( 304290 1691330 ) M1M2_PR
NEW met1 ( 386630 1690990 ) M1M2_PR
NEW met2 ( 386630 1690820 ) via2_FR
NEW met2 ( 400890 1690820 ) via2_FR
NEW met1 ( 400890 1691330 ) M1M2_PR
NEW met1 ( 579830 1690990 ) M1M2_PR
NEW met2 ( 579830 1690820 ) via2_FR
NEW met2 ( 594090 1690820 ) via2_FR
NEW met1 ( 594090 1691330 ) M1M2_PR
NEW met1 ( 676430 1690990 ) M1M2_PR
NEW met2 ( 676430 1690820 ) via2_FR
NEW met2 ( 690690 1690820 ) via2_FR
NEW met1 ( 690690 1691330 ) M1M2_PR
NEW met1 ( 786370 1690650 ) M1M2_PR
NEW met1 ( 787290 1691330 ) M1M2_PR
NEW met1 ( 869630 1690990 ) M1M2_PR
NEW met2 ( 869630 1690820 ) via2_FR
NEW met2 ( 883890 1690820 ) via2_FR
NEW met1 ( 883890 1691330 ) M1M2_PR
NEW met1 ( 966230 1690990 ) M1M2_PR
NEW met2 ( 966230 1690820 ) via2_FR
NEW met2 ( 980490 1690820 ) via2_FR
NEW met1 ( 980490 1691330 ) M1M2_PR
NEW met1 ( 1256030 1690990 ) M1M2_PR
NEW met2 ( 1256030 1690820 ) via2_FR
NEW met2 ( 1270290 1690820 ) via2_FR
NEW met1 ( 1270290 1691330 ) M1M2_PR
NEW met1 ( 1352630 1690990 ) M1M2_PR
NEW met2 ( 1352630 1690820 ) via2_FR
NEW met2 ( 1366890 1690820 ) via2_FR
NEW met1 ( 1366890 1691330 ) M1M2_PR
NEW met1 ( 1449230 1690990 ) M1M2_PR
NEW met2 ( 1449230 1690820 ) via2_FR
NEW met2 ( 1463490 1690820 ) via2_FR
NEW met1 ( 1463490 1691330 ) M1M2_PR
NEW met1 ( 1545830 1690990 ) M1M2_PR
NEW met2 ( 1545830 1690820 ) via2_FR
NEW met2 ( 1560090 1690820 ) via2_FR
NEW met1 ( 1560090 1691330 ) M1M2_PR
NEW met1 ( 1739030 1690990 ) M1M2_PR
NEW met2 ( 1739030 1690820 ) via2_FR
NEW met2 ( 1753290 1690820 ) via2_FR
NEW met1 ( 1753290 1691330 ) M1M2_PR
NEW met1 ( 1835630 1690990 ) M1M2_PR
NEW met2 ( 1835630 1690820 ) via2_FR
NEW met2 ( 1849890 1690820 ) via2_FR
NEW met1 ( 1849890 1691330 ) M1M2_PR
NEW met2 ( 1961210 1690820 ) via2_FR
NEW met2 ( 1945110 1690820 ) via2_FR
NEW met1 ( 1945110 1690990 ) M1M2_PR
NEW met1 ( 1961210 1691330 ) M1M2_PR
NEW met1 ( 2705030 1690990 ) M1M2_PR
NEW met2 ( 2705030 1690820 ) via2_FR
NEW met2 ( 2719290 1690820 ) via2_FR
NEW met1 ( 2719290 1691330 ) M1M2_PR
NEW met1 ( 2802090 1690650 ) M1M2_PR
NEW met2 ( 2802090 1690820 ) via2_FR
NEW met2 ( 2815890 1690820 ) via2_FR
NEW met1 ( 2815890 1691330 ) M1M2_PR
NEW met1 ( 2901450 1690650 ) M1M2_PR
NEW met1 ( 48530 1691330 ) M1M2_PR
NEW met2 ( 48530 1691500 ) via2_FR
NEW met2 ( 62330 1691500 ) via2_FR
NEW met1 ( 62330 1691330 ) M1M2_PR
NEW met1 ( 145130 1691330 ) M1M2_PR
NEW met2 ( 145130 1691500 ) via2_FR
NEW met2 ( 192970 1691500 ) via2_FR
NEW met1 ( 192970 1690650 ) M1M2_PR
NEW met1 ( 241730 1691330 ) M1M2_PR
NEW met2 ( 241730 1691500 ) via2_FR
NEW met2 ( 255530 1691500 ) via2_FR
NEW met1 ( 255530 1691330 ) M1M2_PR
NEW met1 ( 338330 1691330 ) M1M2_PR
NEW met2 ( 338330 1691500 ) via2_FR
NEW met2 ( 352130 1691500 ) via2_FR
NEW met1 ( 352130 1691330 ) M1M2_PR
NEW met1 ( 448270 1691330 ) M1M2_PR
NEW met1 ( 448730 1690650 ) M1M2_PR
NEW met1 ( 544870 1691330 ) M1M2_PR
NEW met1 ( 545330 1690650 ) M1M2_PR
NEW met1 ( 628130 1691330 ) M1M2_PR
NEW met2 ( 628130 1691500 ) via2_FR
NEW met2 ( 641930 1691500 ) via2_FR
NEW met1 ( 641930 1691330 ) M1M2_PR
NEW met1 ( 724730 1691330 ) M1M2_PR
NEW met2 ( 724730 1691500 ) via2_FR
NEW met2 ( 738530 1691500 ) via2_FR
NEW met1 ( 738530 1691330 ) M1M2_PR
NEW met1 ( 821330 1691330 ) M1M2_PR
NEW met2 ( 821330 1691500 ) via2_FR
NEW met2 ( 835130 1691500 ) via2_FR
NEW met1 ( 835130 1691330 ) M1M2_PR
NEW met1 ( 917930 1691330 ) M1M2_PR
NEW met2 ( 917930 1691500 ) via2_FR
NEW met2 ( 931730 1691500 ) via2_FR
NEW met1 ( 931730 1691330 ) M1M2_PR
NEW met1 ( 1027870 1691330 ) M1M2_PR
NEW met1 ( 1028330 1690650 ) M1M2_PR
NEW met1 ( 1124470 1691330 ) M1M2_PR
NEW met1 ( 1124930 1690650 ) M1M2_PR
NEW met1 ( 1221070 1691330 ) M1M2_PR
NEW met1 ( 1221530 1690650 ) M1M2_PR
NEW met1 ( 1304330 1691330 ) M1M2_PR
NEW met2 ( 1304330 1691500 ) via2_FR
NEW met2 ( 1318130 1691500 ) via2_FR
NEW met1 ( 1318130 1691330 ) M1M2_PR
NEW met1 ( 1414270 1691330 ) M1M2_PR
NEW met1 ( 1414730 1690650 ) M1M2_PR
NEW met1 ( 1497530 1691330 ) M1M2_PR
NEW met2 ( 1497530 1691500 ) via2_FR
NEW met2 ( 1511330 1691500 ) via2_FR
NEW met1 ( 1511330 1691330 ) M1M2_PR
NEW met1 ( 1607470 1691330 ) M1M2_PR
NEW met1 ( 1607930 1690650 ) M1M2_PR
NEW met1 ( 1704070 1691330 ) M1M2_PR
NEW met1 ( 1704530 1690650 ) M1M2_PR
NEW met1 ( 1787330 1691330 ) M1M2_PR
NEW met2 ( 1787330 1691500 ) via2_FR
NEW met2 ( 1801130 1691500 ) via2_FR
NEW met1 ( 1801130 1691330 ) M1M2_PR
NEW met1 ( 1883930 1691330 ) M1M2_PR
NEW met2 ( 1883930 1691500 ) via2_FR
NEW met2 ( 1897730 1691500 ) via2_FR
NEW met1 ( 1897730 1691330 ) M1M2_PR
NEW met1 ( 1980530 1691330 ) M1M2_PR
NEW met2 ( 1980530 1691500 ) via2_FR
NEW met2 ( 1994330 1691500 ) via2_FR
NEW met1 ( 1994330 1691330 ) M1M2_PR
NEW met1 ( 2753330 1691330 ) M1M2_PR
NEW met2 ( 2753330 1691500 ) via2_FR
NEW met2 ( 2801170 1691500 ) via2_FR
NEW met1 ( 2801170 1690650 ) M1M2_PR
NEW met1 ( 2849930 1691330 ) M1M2_PR
NEW met2 ( 2849930 1691500 ) via2_FR
NEW met2 ( 2897770 1691500 ) via2_FR
NEW met1 ( 2897770 1690650 ) M1M2_PR
NEW met1 ( 476330 1690650 ) M1M2_PR
NEW met2 ( 476330 1690820 ) via2_FR
NEW met2 ( 524170 1690820 ) via2_FR
NEW met1 ( 524170 1691330 ) M1M2_PR
NEW met1 ( 1076170 1690650 ) M1M2_PR
NEW met1 ( 1076170 1691670 ) M1M2_PR
NEW met1 ( 1152530 1690650 ) M1M2_PR
NEW met2 ( 1152530 1690820 ) via2_FR
NEW met2 ( 1200370 1690820 ) via2_FR
NEW met1 ( 1200370 1691330 ) M1M2_PR
NEW met1 ( 1635530 1690650 ) M1M2_PR
NEW met2 ( 1635530 1690820 ) via2_FR
NEW met2 ( 1683370 1690820 ) via2_FR
NEW met1 ( 1683370 1691330 ) M1M2_PR
NEW met1 ( 2021930 1691330 ) M1M2_PR
NEW met2 ( 2021930 1691500 ) via2_FR
NEW met2 ( 2068850 1691500 ) via2_FR
NEW met1 ( 2069770 1690650 ) M1M2_PR
NEW met1 ( 2118530 1690650 ) M1M2_PR
NEW met2 ( 2118530 1690820 ) via2_FR
NEW met2 ( 2166370 1690140 ) via2_FR
NEW met1 ( 2166370 1690650 ) M1M2_PR
NEW met1 ( 2215130 1690650 ) M1M2_PR
NEW met2 ( 2215130 1690820 ) via2_FR
NEW met2 ( 2262970 1690140 ) via2_FR
NEW met1 ( 2262970 1690650 ) M1M2_PR
NEW met1 ( 2311730 1690650 ) M1M2_PR
NEW met2 ( 2311730 1690820 ) via2_FR
NEW met2 ( 2359570 1690140 ) via2_FR
NEW met1 ( 2359570 1690650 ) M1M2_PR
NEW met1 ( 2408790 1690650 ) M1M2_PR
NEW met1 ( 2408790 1689630 ) M1M2_PR
NEW met1 ( 2456170 1689630 ) M1M2_PR
NEW met1 ( 2456170 1690650 ) M1M2_PR
NEW met1 ( 2504930 1690650 ) M1M2_PR
NEW met2 ( 2504930 1690820 ) via2_FR
NEW met2 ( 2552770 1690140 ) via2_FR
NEW met1 ( 2552770 1690650 ) M1M2_PR
NEW met1 ( 2601530 1690650 ) M1M2_PR
NEW met2 ( 2601530 1690820 ) via2_FR
NEW met2 ( 2649370 1690140 ) via2_FR
NEW met1 ( 2649370 1690650 ) M1M2_PR
NEW met2 ( 14030 322660 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 897260 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 1184900 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 1543940 ) RECT ( -70 -485 70 0 )
NEW met2 ( 17250 1830900 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 2118540 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 2405500 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 381140 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 674220 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 1084940 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 1378700 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 2024020 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 2317100 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 466140 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 753780 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 1328380 ) RECT ( -70 -485 70 0 )
NEW met2 ( 14030 1400460 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 615740 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 850340 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 909500 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 1144100 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 2258620 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2904670 2493220 ) RECT ( -70 -485 70 0 )
NEW met2 ( 17250 1691330 ) RECT ( -70 -485 70 0 )
NEW met2 ( 2901450 1690650 ) RECT ( -70 0 70 485 )
+ USE SIGNAL ;
- user_clock2 ( PIN user_clock2 )
+ USE SIGNAL ;
- wb_clk_i ( PIN wb_clk_i ) ( mprj wb_clk_i )
+ ROUTED met2 ( 2990 2380 0 ) ( 2990 17510 )
NEW met1 ( 2990 17510 ) ( 6670 17510 )
NEW met2 ( 6670 17510 ) ( 6670 324530 )
NEW met2 ( 635030 324530 ) ( 635030 327420 )
NEW met3 ( 635030 327420 ) ( 650900 327420 0 )
NEW met1 ( 6670 324530 ) ( 635030 324530 )
NEW met1 ( 2990 17510 ) M1M2_PR
NEW met1 ( 6670 17510 ) M1M2_PR
NEW met1 ( 6670 324530 ) M1M2_PR
NEW met1 ( 635030 324530 ) M1M2_PR
NEW met2 ( 635030 327420 ) via2_FR
+ USE SIGNAL ;
- wb_rst_i ( PIN wb_rst_i ) ( mprj wb_rst_i )
+ ROUTED met2 ( 8510 2380 0 ) ( 8510 17510 )
NEW met1 ( 8510 17510 ) ( 13570 17510 )
NEW met2 ( 13570 17510 ) ( 13570 338130 )
NEW met2 ( 635030 338130 ) ( 635030 339660 )
NEW met3 ( 635030 339660 ) ( 650900 339660 0 )
NEW met1 ( 13570 338130 ) ( 635030 338130 )
NEW met1 ( 8510 17510 ) M1M2_PR
NEW met1 ( 13570 17510 ) M1M2_PR
NEW met1 ( 13570 338130 ) M1M2_PR
NEW met1 ( 635030 338130 ) M1M2_PR
NEW met2 ( 635030 339660 ) via2_FR
+ USE SIGNAL ;
- wbs_ack_o ( PIN wbs_ack_o ) ( mprj wbs_ack_o )
+ ROUTED met2 ( 14490 2380 0 ) ( 14490 17510 )
NEW met1 ( 14490 17510 ) ( 20010 17510 )
NEW met2 ( 20010 17510 ) ( 20010 352070 )
NEW met2 ( 635030 352070 ) ( 635030 352580 )
NEW met3 ( 635030 352580 ) ( 650900 352580 0 )
NEW met1 ( 20010 352070 ) ( 635030 352070 )
NEW met1 ( 14490 17510 ) M1M2_PR
NEW met1 ( 20010 17510 ) M1M2_PR
NEW met1 ( 20010 352070 ) M1M2_PR
NEW met1 ( 635030 352070 ) M1M2_PR
NEW met2 ( 635030 352580 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[0] ( PIN wbs_adr_i[0] ) ( mprj wbs_adr_i[0] )
+ ROUTED met2 ( 38410 2380 0 ) ( 38410 17510 )
NEW met1 ( 38410 17510 ) ( 41170 17510 )
NEW met2 ( 635030 400350 ) ( 635030 404260 )
NEW met3 ( 635030 404260 ) ( 650900 404260 0 )
NEW met1 ( 41170 400350 ) ( 635030 400350 )
NEW met2 ( 41170 17510 ) ( 41170 400350 )
NEW met1 ( 38410 17510 ) M1M2_PR
NEW met1 ( 41170 17510 ) M1M2_PR
NEW met1 ( 41170 400350 ) M1M2_PR
NEW met1 ( 635030 400350 ) M1M2_PR
NEW met2 ( 635030 404260 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[10] ( PIN wbs_adr_i[10] ) ( mprj wbs_adr_i[10] )
+ ROUTED met2 ( 240810 2380 0 ) ( 240810 17340 )
NEW met2 ( 240810 17340 ) ( 241270 17340 )
NEW met2 ( 241270 17340 ) ( 241270 835210 )
NEW met2 ( 635030 835210 ) ( 635030 840140 )
NEW met3 ( 635030 840140 ) ( 650900 840140 0 )
NEW met1 ( 241270 835210 ) ( 635030 835210 )
NEW met1 ( 241270 835210 ) M1M2_PR
NEW met1 ( 635030 835210 ) M1M2_PR
NEW met2 ( 635030 840140 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[11] ( PIN wbs_adr_i[11] ) ( mprj wbs_adr_i[11] )
+ ROUTED met2 ( 258290 2380 0 ) ( 258290 17510 )
NEW met1 ( 258290 17510 ) ( 261970 17510 )
NEW met2 ( 635030 876350 ) ( 635030 878900 )
NEW met3 ( 635030 878900 ) ( 650900 878900 0 )
NEW met1 ( 261970 876350 ) ( 635030 876350 )
NEW met2 ( 261970 17510 ) ( 261970 876350 )
NEW met1 ( 258290 17510 ) M1M2_PR
NEW met1 ( 261970 17510 ) M1M2_PR
NEW met1 ( 261970 876350 ) M1M2_PR
NEW met1 ( 635030 876350 ) M1M2_PR
NEW met2 ( 635030 878900 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[12] ( PIN wbs_adr_i[12] ) ( mprj wbs_adr_i[12] )
+ ROUTED met2 ( 276230 2380 0 ) ( 276230 17850 )
NEW met1 ( 276230 17850 ) ( 282210 17850 )
NEW met2 ( 282210 17850 ) ( 282210 911030 )
NEW met2 ( 635030 911030 ) ( 635030 916980 )
NEW met3 ( 635030 916980 ) ( 650900 916980 0 )
NEW met1 ( 282210 911030 ) ( 635030 911030 )
NEW met1 ( 276230 17850 ) M1M2_PR
NEW met1 ( 282210 17850 ) M1M2_PR
NEW met1 ( 282210 911030 ) M1M2_PR
NEW met1 ( 635030 911030 ) M1M2_PR
NEW met2 ( 635030 916980 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[13] ( PIN wbs_adr_i[13] ) ( mprj wbs_adr_i[13] )
+ ROUTED met2 ( 294170 2380 0 ) ( 294170 17510 )
NEW met1 ( 294170 17510 ) ( 296470 17510 )
NEW met2 ( 296470 17510 ) ( 296470 952510 )
NEW met2 ( 635030 952510 ) ( 635030 955740 )
NEW met3 ( 635030 955740 ) ( 650900 955740 0 )
NEW met1 ( 296470 952510 ) ( 635030 952510 )
NEW met1 ( 294170 17510 ) M1M2_PR
NEW met1 ( 296470 17510 ) M1M2_PR
NEW met1 ( 296470 952510 ) M1M2_PR
NEW met1 ( 635030 952510 ) M1M2_PR
NEW met2 ( 635030 955740 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[14] ( PIN wbs_adr_i[14] ) ( mprj wbs_adr_i[14] )
+ ROUTED met2 ( 312110 2380 0 ) ( 312110 17510 )
NEW met1 ( 312110 17510 ) ( 317170 17510 )
NEW met2 ( 317170 17510 ) ( 317170 993650 )
NEW met2 ( 635030 993650 ) ( 635030 994500 )
NEW met3 ( 635030 994500 ) ( 650900 994500 0 )
NEW met1 ( 317170 993650 ) ( 635030 993650 )
NEW met1 ( 312110 17510 ) M1M2_PR
NEW met1 ( 317170 17510 ) M1M2_PR
NEW met1 ( 317170 993650 ) M1M2_PR
NEW met1 ( 635030 993650 ) M1M2_PR
NEW met2 ( 635030 994500 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[15] ( PIN wbs_adr_i[15] ) ( mprj wbs_adr_i[15] )
+ ROUTED met2 ( 330050 2380 0 ) ( 330050 17340 )
NEW met2 ( 330050 17340 ) ( 330970 17340 )
NEW met2 ( 330970 17340 ) ( 330970 1028330 )
NEW met2 ( 635030 1028330 ) ( 635030 1032580 )
NEW met3 ( 635030 1032580 ) ( 650900 1032580 0 )
NEW met1 ( 330970 1028330 ) ( 635030 1028330 )
NEW met1 ( 330970 1028330 ) M1M2_PR
NEW met1 ( 635030 1028330 ) M1M2_PR
NEW met2 ( 635030 1032580 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[16] ( PIN wbs_adr_i[16] ) ( mprj wbs_adr_i[16] )
+ ROUTED met2 ( 347530 2380 0 ) ( 347530 17510 )
NEW met1 ( 347530 17510 ) ( 351670 17510 )
NEW met2 ( 635030 1069810 ) ( 635030 1071340 )
NEW met3 ( 635030 1071340 ) ( 650900 1071340 0 )
NEW met1 ( 351670 1069810 ) ( 635030 1069810 )
NEW met2 ( 351670 17510 ) ( 351670 1069810 )
NEW met1 ( 347530 17510 ) M1M2_PR
NEW met1 ( 351670 17510 ) M1M2_PR
NEW met1 ( 351670 1069810 ) M1M2_PR
NEW met1 ( 635030 1069810 ) M1M2_PR
NEW met2 ( 635030 1071340 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[17] ( PIN wbs_adr_i[17] ) ( mprj wbs_adr_i[17] )
+ ROUTED met2 ( 365470 2380 0 ) ( 365470 1104150 )
NEW met2 ( 635030 1104150 ) ( 635030 1109420 )
NEW met3 ( 635030 1109420 ) ( 650900 1109420 0 )
NEW met1 ( 365470 1104150 ) ( 635030 1104150 )
NEW met1 ( 365470 1104150 ) M1M2_PR
NEW met1 ( 635030 1104150 ) M1M2_PR
NEW met2 ( 635030 1109420 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[18] ( PIN wbs_adr_i[18] ) ( mprj wbs_adr_i[18] )
+ ROUTED met2 ( 383410 2380 0 ) ( 383410 17510 )
NEW met1 ( 383410 17510 ) ( 386170 17510 )
NEW met2 ( 386170 17510 ) ( 386170 1145630 )
NEW met2 ( 635030 1145630 ) ( 635030 1148180 )
NEW met3 ( 635030 1148180 ) ( 650900 1148180 0 )
NEW met1 ( 386170 1145630 ) ( 635030 1145630 )
NEW met1 ( 383410 17510 ) M1M2_PR
NEW met1 ( 386170 17510 ) M1M2_PR
NEW met1 ( 386170 1145630 ) M1M2_PR
NEW met1 ( 635030 1145630 ) M1M2_PR
NEW met2 ( 635030 1148180 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[19] ( PIN wbs_adr_i[19] ) ( mprj wbs_adr_i[19] )
+ ROUTED met2 ( 401350 2380 0 ) ( 401350 17510 )
NEW met1 ( 401350 17510 ) ( 406870 17510 )
NEW met2 ( 406870 17510 ) ( 406870 1187110 )
NEW met2 ( 635030 1186940 ) ( 635030 1187110 )
NEW met3 ( 635030 1186940 ) ( 650900 1186940 0 )
NEW met1 ( 406870 1187110 ) ( 635030 1187110 )
NEW met1 ( 401350 17510 ) M1M2_PR
NEW met1 ( 406870 17510 ) M1M2_PR
NEW met1 ( 406870 1187110 ) M1M2_PR
NEW met1 ( 635030 1187110 ) M1M2_PR
NEW met2 ( 635030 1186940 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[1] ( PIN wbs_adr_i[1] ) ( mprj wbs_adr_i[1] )
+ ROUTED met2 ( 62330 2380 0 ) ( 62330 17850 )
NEW met1 ( 62330 17850 ) ( 68310 17850 )
NEW met2 ( 68310 17850 ) ( 68310 448630 )
NEW met2 ( 635030 448630 ) ( 635030 455260 )
NEW met3 ( 635030 455260 ) ( 650900 455260 0 )
NEW met1 ( 68310 448630 ) ( 635030 448630 )
NEW met1 ( 62330 17850 ) M1M2_PR
NEW met1 ( 68310 17850 ) M1M2_PR
NEW met1 ( 68310 448630 ) M1M2_PR
NEW met1 ( 635030 448630 ) M1M2_PR
NEW met2 ( 635030 455260 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[20] ( PIN wbs_adr_i[20] ) ( mprj wbs_adr_i[20] )
+ ROUTED met2 ( 419290 2380 0 ) ( 419290 17340 )
NEW met2 ( 419290 17340 ) ( 420670 17340 )
NEW met2 ( 420670 17340 ) ( 420670 1221450 )
NEW met2 ( 635030 1221450 ) ( 635030 1225020 )
NEW met3 ( 635030 1225020 ) ( 650900 1225020 0 )
NEW met1 ( 420670 1221450 ) ( 635030 1221450 )
NEW met1 ( 420670 1221450 ) M1M2_PR
NEW met1 ( 635030 1221450 ) M1M2_PR
NEW met2 ( 635030 1225020 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[21] ( PIN wbs_adr_i[21] ) ( mprj wbs_adr_i[21] )
+ ROUTED met2 ( 436770 2380 0 ) ( 436770 17510 )
NEW met1 ( 436770 17510 ) ( 441370 17510 )
NEW met2 ( 635030 1262930 ) ( 635030 1263780 )
NEW met3 ( 635030 1263780 ) ( 650900 1263780 0 )
NEW met1 ( 441370 1262930 ) ( 635030 1262930 )
NEW met2 ( 441370 17510 ) ( 441370 1262930 )
NEW met1 ( 436770 17510 ) M1M2_PR
NEW met1 ( 441370 17510 ) M1M2_PR
NEW met1 ( 441370 1262930 ) M1M2_PR
NEW met1 ( 635030 1262930 ) M1M2_PR
NEW met2 ( 635030 1263780 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[22] ( PIN wbs_adr_i[22] ) ( mprj wbs_adr_i[22] )
+ ROUTED met2 ( 454710 2380 0 ) ( 454710 17340 )
NEW met2 ( 454710 17340 ) ( 455170 17340 )
NEW met2 ( 455170 17340 ) ( 455170 1297270 )
NEW met2 ( 635030 1297270 ) ( 635030 1301860 )
NEW met3 ( 635030 1301860 ) ( 650900 1301860 0 )
NEW met1 ( 455170 1297270 ) ( 635030 1297270 )
NEW met1 ( 455170 1297270 ) M1M2_PR
NEW met1 ( 635030 1297270 ) M1M2_PR
NEW met2 ( 635030 1301860 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[23] ( PIN wbs_adr_i[23] ) ( mprj wbs_adr_i[23] )
+ ROUTED met2 ( 472650 2380 0 ) ( 472650 17510 )
NEW met1 ( 472650 17510 ) ( 475870 17510 )
NEW met2 ( 475870 17510 ) ( 475870 1338750 )
NEW met2 ( 635030 1338750 ) ( 635030 1340620 )
NEW met3 ( 635030 1340620 ) ( 650900 1340620 0 )
NEW met1 ( 475870 1338750 ) ( 635030 1338750 )
NEW met1 ( 472650 17510 ) M1M2_PR
NEW met1 ( 475870 17510 ) M1M2_PR
NEW met1 ( 475870 1338750 ) M1M2_PR
NEW met1 ( 635030 1338750 ) M1M2_PR
NEW met2 ( 635030 1340620 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[24] ( PIN wbs_adr_i[24] ) ( mprj wbs_adr_i[24] )
+ ROUTED met2 ( 490590 2380 0 ) ( 490590 15130 )
NEW met1 ( 490590 15130 ) ( 496110 15130 )
NEW met2 ( 496110 15130 ) ( 496110 1373430 )
NEW met2 ( 635030 1373430 ) ( 635030 1379380 )
NEW met3 ( 635030 1379380 ) ( 650900 1379380 0 )
NEW met1 ( 496110 1373430 ) ( 635030 1373430 )
NEW met1 ( 490590 15130 ) M1M2_PR
NEW met1 ( 496110 15130 ) M1M2_PR
NEW met1 ( 496110 1373430 ) M1M2_PR
NEW met1 ( 635030 1373430 ) M1M2_PR
NEW met2 ( 635030 1379380 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[25] ( PIN wbs_adr_i[25] ) ( mprj wbs_adr_i[25] )
+ ROUTED met2 ( 508070 2380 0 ) ( 508070 17510 )
NEW met1 ( 508070 17510 ) ( 510370 17510 )
NEW met2 ( 510370 17510 ) ( 510370 1414570 )
NEW met2 ( 635030 1414570 ) ( 635030 1417460 )
NEW met3 ( 635030 1417460 ) ( 650900 1417460 0 )
NEW met1 ( 510370 1414570 ) ( 635030 1414570 )
NEW met1 ( 508070 17510 ) M1M2_PR
NEW met1 ( 510370 17510 ) M1M2_PR
NEW met1 ( 510370 1414570 ) M1M2_PR
NEW met1 ( 635030 1414570 ) M1M2_PR
NEW met2 ( 635030 1417460 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[26] ( PIN wbs_adr_i[26] ) ( mprj wbs_adr_i[26] )
+ ROUTED met2 ( 526010 2380 0 ) ( 526010 17510 )
NEW met1 ( 526010 17510 ) ( 531070 17510 )
NEW met2 ( 635030 1456050 ) ( 635030 1456220 )
NEW met3 ( 635030 1456220 ) ( 650900 1456220 0 )
NEW met1 ( 531070 1456050 ) ( 635030 1456050 )
NEW met2 ( 531070 17510 ) ( 531070 1456050 )
NEW met1 ( 526010 17510 ) M1M2_PR
NEW met1 ( 531070 17510 ) M1M2_PR
NEW met1 ( 531070 1456050 ) M1M2_PR
NEW met1 ( 635030 1456050 ) M1M2_PR
NEW met2 ( 635030 1456220 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[27] ( PIN wbs_adr_i[27] ) ( mprj wbs_adr_i[27] )
+ ROUTED met2 ( 543950 2380 0 ) ( 543950 17340 )
NEW met2 ( 543950 17340 ) ( 544870 17340 )
NEW met2 ( 544870 17340 ) ( 544870 1490730 )
NEW met2 ( 635030 1490730 ) ( 635030 1494300 )
NEW met3 ( 635030 1494300 ) ( 650900 1494300 0 )
NEW met1 ( 544870 1490730 ) ( 635030 1490730 )
NEW met1 ( 544870 1490730 ) M1M2_PR
NEW met1 ( 635030 1490730 ) M1M2_PR
NEW met2 ( 635030 1494300 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[28] ( PIN wbs_adr_i[28] ) ( mprj wbs_adr_i[28] )
+ ROUTED met2 ( 561890 2380 0 ) ( 561890 17510 )
NEW met1 ( 561890 17510 ) ( 565570 17510 )
NEW met2 ( 635030 1531870 ) ( 635030 1533060 )
NEW met3 ( 635030 1533060 ) ( 650900 1533060 0 )
NEW met1 ( 565570 1531870 ) ( 635030 1531870 )
NEW met2 ( 565570 17510 ) ( 565570 1531870 )
NEW met1 ( 561890 17510 ) M1M2_PR
NEW met1 ( 565570 17510 ) M1M2_PR
NEW met1 ( 565570 1531870 ) M1M2_PR
NEW met1 ( 635030 1531870 ) M1M2_PR
NEW met2 ( 635030 1533060 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[29] ( PIN wbs_adr_i[29] ) ( mprj wbs_adr_i[29] )
+ ROUTED met2 ( 579830 2380 0 ) ( 579830 17850 )
NEW met1 ( 579830 17850 ) ( 585810 17850 )
NEW met2 ( 585810 17850 ) ( 585810 1566550 )
NEW met2 ( 635030 1566550 ) ( 635030 1571820 )
NEW met3 ( 635030 1571820 ) ( 650900 1571820 0 )
NEW met1 ( 585810 1566550 ) ( 635030 1566550 )
NEW met1 ( 579830 17850 ) M1M2_PR
NEW met1 ( 585810 17850 ) M1M2_PR
NEW met1 ( 585810 1566550 ) M1M2_PR
NEW met1 ( 635030 1566550 ) M1M2_PR
NEW met2 ( 635030 1571820 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[2] ( PIN wbs_adr_i[2] ) ( mprj wbs_adr_i[2] )
+ ROUTED met2 ( 86250 2380 0 ) ( 86250 17510 )
NEW met1 ( 86250 17510 ) ( 89470 17510 )
NEW met2 ( 89470 17510 ) ( 89470 503710 )
NEW met2 ( 635030 503710 ) ( 635030 506940 )
NEW met3 ( 635030 506940 ) ( 650900 506940 0 )
NEW met1 ( 89470 503710 ) ( 635030 503710 )
NEW met1 ( 86250 17510 ) M1M2_PR
NEW met1 ( 89470 17510 ) M1M2_PR
NEW met1 ( 89470 503710 ) M1M2_PR
NEW met1 ( 635030 503710 ) M1M2_PR
NEW met2 ( 635030 506940 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[30] ( PIN wbs_adr_i[30] ) ( mprj wbs_adr_i[30] )
+ ROUTED met2 ( 597310 2380 0 ) ( 597310 17510 )
NEW met1 ( 597310 17510 ) ( 600070 17510 )
NEW met2 ( 600070 17510 ) ( 600070 1608030 )
NEW met2 ( 635030 1608030 ) ( 635030 1609900 )
NEW met3 ( 635030 1609900 ) ( 650900 1609900 0 )
NEW met1 ( 600070 1608030 ) ( 635030 1608030 )
NEW met1 ( 597310 17510 ) M1M2_PR
NEW met1 ( 600070 17510 ) M1M2_PR
NEW met1 ( 600070 1608030 ) M1M2_PR
NEW met1 ( 635030 1608030 ) M1M2_PR
NEW met2 ( 635030 1609900 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[31] ( PIN wbs_adr_i[31] ) ( mprj wbs_adr_i[31] )
+ ROUTED met2 ( 615250 2380 0 ) ( 615250 16150 )
NEW met1 ( 615250 16150 ) ( 620770 16150 )
NEW met1 ( 620770 1642370 ) ( 635030 1642370 )
NEW met2 ( 635030 1642370 ) ( 635030 1648660 )
NEW met3 ( 635030 1648660 ) ( 650900 1648660 0 )
NEW met2 ( 620770 16150 ) ( 620770 1642370 )
NEW met1 ( 615250 16150 ) M1M2_PR
NEW met1 ( 620770 16150 ) M1M2_PR
NEW met1 ( 620770 1642370 ) M1M2_PR
NEW met1 ( 635030 1642370 ) M1M2_PR
NEW met2 ( 635030 1648660 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[3] ( PIN wbs_adr_i[3] ) ( mprj wbs_adr_i[3] )
+ ROUTED met2 ( 109710 2380 0 ) ( 109710 17340 )
NEW met2 ( 109710 17340 ) ( 110170 17340 )
NEW met2 ( 110170 17340 ) ( 110170 552330 )
NEW met2 ( 635030 552330 ) ( 635030 557940 )
NEW met3 ( 635030 557940 ) ( 650900 557940 0 )
NEW met1 ( 110170 552330 ) ( 635030 552330 )
NEW met1 ( 110170 552330 ) M1M2_PR
NEW met1 ( 635030 552330 ) M1M2_PR
NEW met2 ( 635030 557940 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[4] ( PIN wbs_adr_i[4] ) ( mprj wbs_adr_i[4] )
+ ROUTED met2 ( 133630 2380 0 ) ( 133630 17510 )
NEW met1 ( 133630 17510 ) ( 137770 17510 )
NEW met2 ( 635030 607410 ) ( 635030 609620 )
NEW met3 ( 635030 609620 ) ( 650900 609620 0 )
NEW met1 ( 137770 607410 ) ( 635030 607410 )
NEW met2 ( 137770 17510 ) ( 137770 607410 )
NEW met1 ( 133630 17510 ) M1M2_PR
NEW met1 ( 137770 17510 ) M1M2_PR
NEW met1 ( 137770 607410 ) M1M2_PR
NEW met1 ( 635030 607410 ) M1M2_PR
NEW met2 ( 635030 609620 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[5] ( PIN wbs_adr_i[5] ) ( mprj wbs_adr_i[5] )
+ ROUTED met2 ( 151570 2380 0 ) ( 151570 641750 )
NEW met2 ( 635030 641750 ) ( 635030 647700 )
NEW met3 ( 635030 647700 ) ( 650900 647700 0 )
NEW met1 ( 151570 641750 ) ( 635030 641750 )
NEW met1 ( 151570 641750 ) M1M2_PR
NEW met1 ( 635030 641750 ) M1M2_PR
NEW met2 ( 635030 647700 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[6] ( PIN wbs_adr_i[6] ) ( mprj wbs_adr_i[6] )
+ ROUTED met2 ( 169510 2380 0 ) ( 169510 17510 )
NEW met1 ( 169510 17510 ) ( 172270 17510 )
NEW met2 ( 635030 683230 ) ( 635030 686460 )
NEW met3 ( 635030 686460 ) ( 650900 686460 0 )
NEW met1 ( 172270 683230 ) ( 635030 683230 )
NEW met2 ( 172270 17510 ) ( 172270 683230 )
NEW met1 ( 169510 17510 ) M1M2_PR
NEW met1 ( 172270 17510 ) M1M2_PR
NEW met1 ( 172270 683230 ) M1M2_PR
NEW met1 ( 635030 683230 ) M1M2_PR
NEW met2 ( 635030 686460 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[7] ( PIN wbs_adr_i[7] ) ( mprj wbs_adr_i[7] )
+ ROUTED met2 ( 186990 2380 0 ) ( 186990 17510 )
NEW met1 ( 186990 17510 ) ( 192510 17510 )
NEW met2 ( 192510 17510 ) ( 192510 724710 )
NEW met2 ( 635030 724540 ) ( 635030 724710 )
NEW met3 ( 635030 724540 ) ( 650900 724540 0 )
NEW met1 ( 192510 724710 ) ( 635030 724710 )
NEW met1 ( 186990 17510 ) M1M2_PR
NEW met1 ( 192510 17510 ) M1M2_PR
NEW met1 ( 192510 724710 ) M1M2_PR
NEW met1 ( 635030 724710 ) M1M2_PR
NEW met2 ( 635030 724540 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[8] ( PIN wbs_adr_i[8] ) ( mprj wbs_adr_i[8] )
+ ROUTED met2 ( 204930 2380 0 ) ( 204930 17340 )
NEW met2 ( 204930 17340 ) ( 206770 17340 )
NEW met2 ( 206770 17340 ) ( 206770 759050 )
NEW met2 ( 635030 759050 ) ( 635030 763300 )
NEW met3 ( 635030 763300 ) ( 650900 763300 0 )
NEW met1 ( 206770 759050 ) ( 635030 759050 )
NEW met1 ( 206770 759050 ) M1M2_PR
NEW met1 ( 635030 759050 ) M1M2_PR
NEW met2 ( 635030 763300 ) via2_FR
+ USE SIGNAL ;
- wbs_adr_i[9] ( PIN wbs_adr_i[9] ) ( mprj wbs_adr_i[9] )
+ ROUTED met2 ( 222870 2380 0 ) ( 222870 17510 )
NEW met1 ( 222870 17510 ) ( 227470 17510 )
NEW met2 ( 227470 17510 ) ( 227470 800530 )
NEW met2 ( 635030 800530 ) ( 635030 802060 )
NEW met3 ( 635030 802060 ) ( 650900 802060 0 )
NEW met1 ( 227470 800530 ) ( 635030 800530 )
NEW met1 ( 222870 17510 ) M1M2_PR
NEW met1 ( 227470 17510 ) M1M2_PR
NEW met1 ( 227470 800530 ) M1M2_PR
NEW met1 ( 635030 800530 ) M1M2_PR
NEW met2 ( 635030 802060 ) via2_FR
+ USE SIGNAL ;
- wbs_cyc_i ( PIN wbs_cyc_i ) ( mprj wbs_cyc_i )
+ ROUTED met2 ( 20470 2380 0 ) ( 20470 358870 )
NEW met2 ( 635030 358870 ) ( 635030 365500 )
NEW met3 ( 635030 365500 ) ( 650900 365500 0 )
NEW met1 ( 20470 358870 ) ( 635030 358870 )
NEW met1 ( 20470 358870 ) M1M2_PR
NEW met1 ( 635030 358870 ) M1M2_PR
NEW met2 ( 635030 365500 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[0] ( PIN wbs_dat_i[0] ) ( mprj wbs_dat_i[0] )
+ ROUTED met2 ( 44390 2380 0 ) ( 44390 17510 )
NEW met1 ( 44390 17510 ) ( 48070 17510 )
NEW met2 ( 635030 414290 ) ( 635030 417180 )
NEW met3 ( 635030 417180 ) ( 650900 417180 0 )
NEW met1 ( 48070 414290 ) ( 635030 414290 )
NEW met2 ( 48070 17510 ) ( 48070 414290 )
NEW met1 ( 44390 17510 ) M1M2_PR
NEW met1 ( 48070 17510 ) M1M2_PR
NEW met1 ( 48070 414290 ) M1M2_PR
NEW met1 ( 635030 414290 ) M1M2_PR
NEW met2 ( 635030 417180 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[10] ( PIN wbs_dat_i[10] ) ( mprj wbs_dat_i[10] )
+ ROUTED met2 ( 246790 2380 0 ) ( 246790 17510 )
NEW met2 ( 246790 17510 ) ( 248170 17510 )
NEW met2 ( 248170 17510 ) ( 248170 848810 )
NEW met2 ( 635030 848810 ) ( 635030 853060 )
NEW met3 ( 635030 853060 ) ( 650900 853060 0 )
NEW met1 ( 248170 848810 ) ( 635030 848810 )
NEW met1 ( 248170 848810 ) M1M2_PR
NEW met1 ( 635030 848810 ) M1M2_PR
NEW met2 ( 635030 853060 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[11] ( PIN wbs_dat_i[11] ) ( mprj wbs_dat_i[11] )
+ ROUTED met2 ( 264270 2380 0 ) ( 264270 15130 )
NEW met1 ( 264270 15130 ) ( 268870 15130 )
NEW met2 ( 635030 890290 ) ( 635030 891820 )
NEW met3 ( 635030 891820 ) ( 650900 891820 0 )
NEW met1 ( 268870 890290 ) ( 635030 890290 )
NEW met2 ( 268870 15130 ) ( 268870 890290 )
NEW met1 ( 264270 15130 ) M1M2_PR
NEW met1 ( 268870 15130 ) M1M2_PR
NEW met1 ( 268870 890290 ) M1M2_PR
NEW met1 ( 635030 890290 ) M1M2_PR
NEW met2 ( 635030 891820 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[12] ( PIN wbs_dat_i[12] ) ( mprj wbs_dat_i[12] )
+ ROUTED met2 ( 282210 2380 0 ) ( 282210 17340 )
NEW met2 ( 282210 17340 ) ( 282670 17340 )
NEW met2 ( 282670 17340 ) ( 282670 924630 )
NEW met2 ( 635030 924630 ) ( 635030 929900 )
NEW met3 ( 635030 929900 ) ( 650900 929900 0 )
NEW met1 ( 282670 924630 ) ( 635030 924630 )
NEW met1 ( 282670 924630 ) M1M2_PR
NEW met1 ( 635030 924630 ) M1M2_PR
NEW met2 ( 635030 929900 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[13] ( PIN wbs_dat_i[13] ) ( mprj wbs_dat_i[13] )
+ ROUTED met2 ( 300150 2380 0 ) ( 300150 15130 )
NEW met1 ( 300150 15130 ) ( 303370 15130 )
NEW met2 ( 303370 15130 ) ( 303370 966110 )
NEW met2 ( 635030 966110 ) ( 635030 968660 )
NEW met3 ( 635030 968660 ) ( 650900 968660 0 )
NEW met1 ( 303370 966110 ) ( 635030 966110 )
NEW met1 ( 300150 15130 ) M1M2_PR
NEW met1 ( 303370 15130 ) M1M2_PR
NEW met1 ( 303370 966110 ) M1M2_PR
NEW met1 ( 635030 966110 ) M1M2_PR
NEW met2 ( 635030 968660 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[14] ( PIN wbs_dat_i[14] ) ( mprj wbs_dat_i[14] )
+ ROUTED met2 ( 318090 2380 0 ) ( 318090 17510 )
NEW met1 ( 318090 17510 ) ( 323610 17510 )
NEW met2 ( 323610 17510 ) ( 323610 1007590 )
NEW met2 ( 635030 1007420 ) ( 635030 1007590 )
NEW met3 ( 635030 1007420 ) ( 650900 1007420 0 )
NEW met1 ( 323610 1007590 ) ( 635030 1007590 )
NEW met1 ( 318090 17510 ) M1M2_PR
NEW met1 ( 323610 17510 ) M1M2_PR
NEW met1 ( 323610 1007590 ) M1M2_PR
NEW met1 ( 635030 1007590 ) M1M2_PR
NEW met2 ( 635030 1007420 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[15] ( PIN wbs_dat_i[15] ) ( mprj wbs_dat_i[15] )
+ ROUTED met2 ( 336030 2380 0 ) ( 336030 17340 )
NEW met2 ( 336030 17340 ) ( 337870 17340 )
NEW met2 ( 337870 17340 ) ( 337870 1041930 )
NEW met2 ( 635030 1041930 ) ( 635030 1045500 )
NEW met3 ( 635030 1045500 ) ( 650900 1045500 0 )
NEW met1 ( 337870 1041930 ) ( 635030 1041930 )
NEW met1 ( 337870 1041930 ) M1M2_PR
NEW met1 ( 635030 1041930 ) M1M2_PR
NEW met2 ( 635030 1045500 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[16] ( PIN wbs_dat_i[16] ) ( mprj wbs_dat_i[16] )
+ ROUTED met2 ( 353510 2380 0 ) ( 353510 17510 )
NEW met1 ( 353510 17510 ) ( 358570 17510 )
NEW met2 ( 635030 1083410 ) ( 635030 1084260 )
NEW met3 ( 635030 1084260 ) ( 650900 1084260 0 )
NEW met1 ( 358570 1083410 ) ( 635030 1083410 )
NEW met2 ( 358570 17510 ) ( 358570 1083410 )
NEW met1 ( 353510 17510 ) M1M2_PR
NEW met1 ( 358570 17510 ) M1M2_PR
NEW met1 ( 358570 1083410 ) M1M2_PR
NEW met1 ( 635030 1083410 ) M1M2_PR
NEW met2 ( 635030 1084260 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[17] ( PIN wbs_dat_i[17] ) ( mprj wbs_dat_i[17] )
+ ROUTED met2 ( 371450 2380 0 ) ( 371450 17340 )
NEW met2 ( 371450 17340 ) ( 372370 17340 )
NEW met2 ( 372370 17340 ) ( 372370 1118090 )
NEW met2 ( 635030 1118090 ) ( 635030 1122340 )
NEW met3 ( 635030 1122340 ) ( 650900 1122340 0 )
NEW met1 ( 372370 1118090 ) ( 635030 1118090 )
NEW met1 ( 372370 1118090 ) M1M2_PR
NEW met1 ( 635030 1118090 ) M1M2_PR
NEW met2 ( 635030 1122340 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[18] ( PIN wbs_dat_i[18] ) ( mprj wbs_dat_i[18] )
+ ROUTED met2 ( 389390 2380 0 ) ( 389390 17510 )
NEW met1 ( 389390 17510 ) ( 393070 17510 )
NEW met2 ( 393070 17510 ) ( 393070 1159230 )
NEW met2 ( 635030 1159230 ) ( 635030 1161100 )
NEW met3 ( 635030 1161100 ) ( 650900 1161100 0 )
NEW met1 ( 393070 1159230 ) ( 635030 1159230 )
NEW met1 ( 389390 17510 ) M1M2_PR
NEW met1 ( 393070 17510 ) M1M2_PR
NEW met1 ( 393070 1159230 ) M1M2_PR
NEW met1 ( 635030 1159230 ) M1M2_PR
NEW met2 ( 635030 1161100 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[19] ( PIN wbs_dat_i[19] ) ( mprj wbs_dat_i[19] )
+ ROUTED met2 ( 407330 2380 0 ) ( 407330 17850 )
NEW met1 ( 407330 17850 ) ( 413310 17850 )
NEW met2 ( 413310 17850 ) ( 413310 1193910 )
NEW met2 ( 635030 1193910 ) ( 635030 1199860 )
NEW met3 ( 635030 1199860 ) ( 650900 1199860 0 )
NEW met1 ( 413310 1193910 ) ( 635030 1193910 )
NEW met1 ( 407330 17850 ) M1M2_PR
NEW met1 ( 413310 17850 ) M1M2_PR
NEW met1 ( 413310 1193910 ) M1M2_PR
NEW met1 ( 635030 1193910 ) M1M2_PR
NEW met2 ( 635030 1199860 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[1] ( PIN wbs_dat_i[1] ) ( mprj wbs_dat_i[1] )
+ ROUTED met2 ( 68310 2380 0 ) ( 68310 17340 )
NEW met2 ( 68310 17340 ) ( 68770 17340 )
NEW met2 ( 68770 17340 ) ( 68770 462570 )
NEW met2 ( 635030 462570 ) ( 635030 468180 )
NEW met3 ( 635030 468180 ) ( 650900 468180 0 )
NEW met1 ( 68770 462570 ) ( 635030 462570 )
NEW met1 ( 68770 462570 ) M1M2_PR
NEW met1 ( 635030 462570 ) M1M2_PR
NEW met2 ( 635030 468180 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[20] ( PIN wbs_dat_i[20] ) ( mprj wbs_dat_i[20] )
+ ROUTED met2 ( 424810 2380 0 ) ( 424810 17510 )
NEW met1 ( 424810 17510 ) ( 427570 17510 )
NEW met2 ( 427570 17510 ) ( 427570 1235390 )
NEW met2 ( 635030 1235390 ) ( 635030 1237940 )
NEW met3 ( 635030 1237940 ) ( 650900 1237940 0 )
NEW met1 ( 427570 1235390 ) ( 635030 1235390 )
NEW met1 ( 424810 17510 ) M1M2_PR
NEW met1 ( 427570 17510 ) M1M2_PR
NEW met1 ( 427570 1235390 ) M1M2_PR
NEW met1 ( 635030 1235390 ) M1M2_PR
NEW met2 ( 635030 1237940 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[21] ( PIN wbs_dat_i[21] ) ( mprj wbs_dat_i[21] )
+ ROUTED met2 ( 442750 2380 0 ) ( 442750 17510 )
NEW met1 ( 442750 17510 ) ( 448270 17510 )
NEW met2 ( 635030 1276530 ) ( 635030 1276700 )
NEW met3 ( 635030 1276700 ) ( 650900 1276700 0 )
NEW met1 ( 448270 1276530 ) ( 635030 1276530 )
NEW met2 ( 448270 17510 ) ( 448270 1276530 )
NEW met1 ( 442750 17510 ) M1M2_PR
NEW met1 ( 448270 17510 ) M1M2_PR
NEW met1 ( 448270 1276530 ) M1M2_PR
NEW met1 ( 635030 1276530 ) M1M2_PR
NEW met2 ( 635030 1276700 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[22] ( PIN wbs_dat_i[22] ) ( mprj wbs_dat_i[22] )
+ ROUTED met2 ( 460690 2380 0 ) ( 460690 17340 )
NEW met2 ( 460690 17340 ) ( 462070 17340 )
NEW met2 ( 462070 17340 ) ( 462070 1311210 )
NEW met2 ( 635030 1311210 ) ( 635030 1314780 )
NEW met3 ( 635030 1314780 ) ( 650900 1314780 0 )
NEW met1 ( 462070 1311210 ) ( 635030 1311210 )
NEW met1 ( 462070 1311210 ) M1M2_PR
NEW met1 ( 635030 1311210 ) M1M2_PR
NEW met2 ( 635030 1314780 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[23] ( PIN wbs_dat_i[23] ) ( mprj wbs_dat_i[23] )
+ ROUTED met2 ( 478630 2380 0 ) ( 478630 17510 )
NEW met1 ( 478630 17510 ) ( 482770 17510 )
NEW met2 ( 482770 17510 ) ( 482770 1352690 )
NEW met2 ( 635030 1352690 ) ( 635030 1353540 )
NEW met3 ( 635030 1353540 ) ( 650900 1353540 0 )
NEW met1 ( 482770 1352690 ) ( 635030 1352690 )
NEW met1 ( 478630 17510 ) M1M2_PR
NEW met1 ( 482770 17510 ) M1M2_PR
NEW met1 ( 482770 1352690 ) M1M2_PR
NEW met1 ( 635030 1352690 ) M1M2_PR
NEW met2 ( 635030 1353540 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[24] ( PIN wbs_dat_i[24] ) ( mprj wbs_dat_i[24] )
+ ROUTED met2 ( 496570 2380 0 ) ( 496570 1387030 )
NEW met2 ( 635030 1387030 ) ( 635030 1392300 )
NEW met3 ( 635030 1392300 ) ( 650900 1392300 0 )
NEW met1 ( 496570 1387030 ) ( 635030 1387030 )
NEW met1 ( 496570 1387030 ) M1M2_PR
NEW met1 ( 635030 1387030 ) M1M2_PR
NEW met2 ( 635030 1392300 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[25] ( PIN wbs_dat_i[25] ) ( mprj wbs_dat_i[25] )
+ ROUTED met2 ( 514050 2380 0 ) ( 514050 17510 )
NEW met1 ( 514050 17510 ) ( 517270 17510 )
NEW met2 ( 517270 17510 ) ( 517270 1428510 )
NEW met2 ( 635030 1428510 ) ( 635030 1430380 )
NEW met3 ( 635030 1430380 ) ( 650900 1430380 0 )
NEW met1 ( 517270 1428510 ) ( 635030 1428510 )
NEW met1 ( 514050 17510 ) M1M2_PR
NEW met1 ( 517270 17510 ) M1M2_PR
NEW met1 ( 517270 1428510 ) M1M2_PR
NEW met1 ( 635030 1428510 ) M1M2_PR
NEW met2 ( 635030 1430380 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[26] ( PIN wbs_dat_i[26] ) ( mprj wbs_dat_i[26] )
+ ROUTED met2 ( 531990 2380 0 ) ( 531990 15130 )
NEW met1 ( 531990 15130 ) ( 537510 15130 )
NEW met2 ( 635030 1462850 ) ( 635030 1469140 )
NEW met3 ( 635030 1469140 ) ( 650900 1469140 0 )
NEW met1 ( 537510 1462850 ) ( 635030 1462850 )
NEW met2 ( 537510 15130 ) ( 537510 1462850 )
NEW met1 ( 531990 15130 ) M1M2_PR
NEW met1 ( 537510 15130 ) M1M2_PR
NEW met1 ( 537510 1462850 ) M1M2_PR
NEW met1 ( 635030 1462850 ) M1M2_PR
NEW met2 ( 635030 1469140 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[27] ( PIN wbs_dat_i[27] ) ( mprj wbs_dat_i[27] )
+ ROUTED met2 ( 549930 2380 0 ) ( 549930 17340 )
NEW met2 ( 549930 17340 ) ( 551770 17340 )
NEW met2 ( 551770 17340 ) ( 551770 1504330 )
NEW met2 ( 635030 1504330 ) ( 635030 1507220 )
NEW met3 ( 635030 1507220 ) ( 650900 1507220 0 )
NEW met1 ( 551770 1504330 ) ( 635030 1504330 )
NEW met1 ( 551770 1504330 ) M1M2_PR
NEW met1 ( 635030 1504330 ) M1M2_PR
NEW met2 ( 635030 1507220 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[28] ( PIN wbs_dat_i[28] ) ( mprj wbs_dat_i[28] )
+ ROUTED met2 ( 567870 2380 0 ) ( 567870 17510 )
NEW met1 ( 567870 17510 ) ( 572470 17510 )
NEW met2 ( 572470 17510 ) ( 572470 1545810 )
NEW met2 ( 635030 1545810 ) ( 635030 1545980 )
NEW met3 ( 635030 1545980 ) ( 650900 1545980 0 )
NEW met1 ( 572470 1545810 ) ( 635030 1545810 )
NEW met1 ( 567870 17510 ) M1M2_PR
NEW met1 ( 572470 17510 ) M1M2_PR
NEW met1 ( 572470 1545810 ) M1M2_PR
NEW met1 ( 635030 1545810 ) M1M2_PR
NEW met2 ( 635030 1545980 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[29] ( PIN wbs_dat_i[29] ) ( mprj wbs_dat_i[29] )
+ ROUTED met2 ( 585810 2380 0 ) ( 585810 17340 )
NEW met2 ( 585810 17340 ) ( 586270 17340 )
NEW met2 ( 586270 17340 ) ( 586270 1580150 )
NEW met2 ( 635030 1580150 ) ( 635030 1584740 )
NEW met3 ( 635030 1584740 ) ( 650900 1584740 0 )
NEW met1 ( 586270 1580150 ) ( 635030 1580150 )
NEW met1 ( 586270 1580150 ) M1M2_PR
NEW met1 ( 635030 1580150 ) M1M2_PR
NEW met2 ( 635030 1584740 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[2] ( PIN wbs_dat_i[2] ) ( mprj wbs_dat_i[2] )
+ ROUTED met2 ( 91770 2380 0 ) ( 91770 17510 )
NEW met1 ( 91770 17510 ) ( 96370 17510 )
NEW met2 ( 96370 17510 ) ( 96370 517650 )
NEW met2 ( 635030 517650 ) ( 635030 519860 )
NEW met3 ( 635030 519860 ) ( 650900 519860 0 )
NEW met1 ( 96370 517650 ) ( 635030 517650 )
NEW met1 ( 91770 17510 ) M1M2_PR
NEW met1 ( 96370 17510 ) M1M2_PR
NEW met1 ( 96370 517650 ) M1M2_PR
NEW met1 ( 635030 517650 ) M1M2_PR
NEW met2 ( 635030 519860 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[30] ( PIN wbs_dat_i[30] ) ( mprj wbs_dat_i[30] )
+ ROUTED met2 ( 603290 2380 0 ) ( 603290 17510 )
NEW met1 ( 603290 17510 ) ( 606970 17510 )
NEW met2 ( 606970 17510 ) ( 606970 1621630 )
NEW met2 ( 635030 1621630 ) ( 635030 1622820 )
NEW met3 ( 635030 1622820 ) ( 650900 1622820 0 )
NEW met1 ( 606970 1621630 ) ( 635030 1621630 )
NEW met1 ( 603290 17510 ) M1M2_PR
NEW met1 ( 606970 17510 ) M1M2_PR
NEW met1 ( 606970 1621630 ) M1M2_PR
NEW met1 ( 635030 1621630 ) M1M2_PR
NEW met2 ( 635030 1622820 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[31] ( PIN wbs_dat_i[31] ) ( mprj wbs_dat_i[31] )
+ ROUTED met2 ( 621230 2380 0 ) ( 621230 17510 )
NEW met1 ( 621230 17510 ) ( 631350 17510 )
NEW met2 ( 631350 17510 ) ( 631350 1661580 )
NEW met3 ( 631350 1661580 ) ( 650900 1661580 0 )
NEW met1 ( 621230 17510 ) M1M2_PR
NEW met1 ( 631350 17510 ) M1M2_PR
NEW met2 ( 631350 1661580 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[3] ( PIN wbs_dat_i[3] ) ( mprj wbs_dat_i[3] )
+ ROUTED met2 ( 115690 2380 0 ) ( 115690 17340 )
NEW met2 ( 115690 17340 ) ( 117070 17340 )
NEW met2 ( 117070 17340 ) ( 117070 565930 )
NEW met2 ( 635030 565930 ) ( 635030 570860 )
NEW met3 ( 635030 570860 ) ( 650900 570860 0 )
NEW met1 ( 117070 565930 ) ( 635030 565930 )
NEW met1 ( 117070 565930 ) M1M2_PR
NEW met1 ( 635030 565930 ) M1M2_PR
NEW met2 ( 635030 570860 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[4] ( PIN wbs_dat_i[4] ) ( mprj wbs_dat_i[4] )
+ ROUTED met2 ( 139610 2380 0 ) ( 139610 17510 )
NEW met1 ( 139610 17510 ) ( 144670 17510 )
NEW met2 ( 144670 17510 ) ( 144670 621010 )
NEW met2 ( 635030 621010 ) ( 635030 621860 )
NEW met3 ( 635030 621860 ) ( 650900 621860 0 )
NEW met1 ( 144670 621010 ) ( 635030 621010 )
NEW met1 ( 139610 17510 ) M1M2_PR
NEW met1 ( 144670 17510 ) M1M2_PR
NEW met1 ( 144670 621010 ) M1M2_PR
NEW met1 ( 635030 621010 ) M1M2_PR
NEW met2 ( 635030 621860 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[5] ( PIN wbs_dat_i[5] ) ( mprj wbs_dat_i[5] )
+ ROUTED met2 ( 157550 2380 0 ) ( 157550 17340 )
NEW met2 ( 157550 17340 ) ( 158470 17340 )
NEW met2 ( 158470 17340 ) ( 158470 655690 )
NEW met2 ( 635030 655690 ) ( 635030 660620 )
NEW met3 ( 635030 660620 ) ( 650900 660620 0 )
NEW met1 ( 158470 655690 ) ( 635030 655690 )
NEW met1 ( 158470 655690 ) M1M2_PR
NEW met1 ( 635030 655690 ) M1M2_PR
NEW met2 ( 635030 660620 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[6] ( PIN wbs_dat_i[6] ) ( mprj wbs_dat_i[6] )
+ ROUTED met2 ( 175030 2380 0 ) ( 175030 17510 )
NEW met1 ( 175030 17510 ) ( 179170 17510 )
NEW met2 ( 635030 697170 ) ( 635030 699380 )
NEW met3 ( 635030 699380 ) ( 650900 699380 0 )
NEW met1 ( 179170 697170 ) ( 635030 697170 )
NEW met2 ( 179170 17510 ) ( 179170 697170 )
NEW met1 ( 175030 17510 ) M1M2_PR
NEW met1 ( 179170 17510 ) M1M2_PR
NEW met1 ( 179170 697170 ) M1M2_PR
NEW met1 ( 635030 697170 ) M1M2_PR
NEW met2 ( 635030 699380 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[7] ( PIN wbs_dat_i[7] ) ( mprj wbs_dat_i[7] )
+ ROUTED met2 ( 192970 2380 0 ) ( 192970 731510 )
NEW met2 ( 635030 731510 ) ( 635030 737460 )
NEW met3 ( 635030 737460 ) ( 650900 737460 0 )
NEW met1 ( 192970 731510 ) ( 635030 731510 )
NEW met1 ( 192970 731510 ) M1M2_PR
NEW met1 ( 635030 731510 ) M1M2_PR
NEW met2 ( 635030 737460 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[8] ( PIN wbs_dat_i[8] ) ( mprj wbs_dat_i[8] )
+ ROUTED met2 ( 210910 2380 0 ) ( 210910 17510 )
NEW met1 ( 210910 17510 ) ( 213670 17510 )
NEW met2 ( 213670 17510 ) ( 213670 772990 )
NEW met2 ( 635030 772990 ) ( 635030 776220 )
NEW met3 ( 635030 776220 ) ( 650900 776220 0 )
NEW met1 ( 213670 772990 ) ( 635030 772990 )
NEW met1 ( 210910 17510 ) M1M2_PR
NEW met1 ( 213670 17510 ) M1M2_PR
NEW met1 ( 213670 772990 ) M1M2_PR
NEW met1 ( 635030 772990 ) M1M2_PR
NEW met2 ( 635030 776220 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_i[9] ( PIN wbs_dat_i[9] ) ( mprj wbs_dat_i[9] )
+ ROUTED met2 ( 228850 2380 0 ) ( 228850 17510 )
NEW met1 ( 228850 17510 ) ( 234370 17510 )
NEW met2 ( 234370 17510 ) ( 234370 814470 )
NEW met2 ( 635030 814300 ) ( 635030 814470 )
NEW met3 ( 635030 814300 ) ( 650900 814300 0 )
NEW met1 ( 234370 814470 ) ( 635030 814470 )
NEW met1 ( 228850 17510 ) M1M2_PR
NEW met1 ( 234370 17510 ) M1M2_PR
NEW met1 ( 234370 814470 ) M1M2_PR
NEW met1 ( 635030 814470 ) M1M2_PR
NEW met2 ( 635030 814300 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[0] ( PIN wbs_dat_o[0] ) ( mprj wbs_dat_o[0] )
+ ROUTED met2 ( 50370 2380 0 ) ( 50370 17510 )
NEW met1 ( 50370 17510 ) ( 54970 17510 )
NEW met2 ( 54970 17510 ) ( 54970 427890 )
NEW met2 ( 635030 427890 ) ( 635030 429420 )
NEW met3 ( 635030 429420 ) ( 650900 429420 0 )
NEW met1 ( 54970 427890 ) ( 635030 427890 )
NEW met1 ( 50370 17510 ) M1M2_PR
NEW met1 ( 54970 17510 ) M1M2_PR
NEW met1 ( 54970 427890 ) M1M2_PR
NEW met1 ( 635030 427890 ) M1M2_PR
NEW met2 ( 635030 429420 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[10] ( PIN wbs_dat_o[10] ) ( mprj wbs_dat_o[10] )
+ ROUTED met2 ( 252770 2380 0 ) ( 252770 17510 )
NEW met1 ( 252770 17510 ) ( 255070 17510 )
NEW met2 ( 635030 862750 ) ( 635030 865980 )
NEW met3 ( 635030 865980 ) ( 650900 865980 0 )
NEW met1 ( 255070 862750 ) ( 635030 862750 )
NEW met2 ( 255070 17510 ) ( 255070 862750 )
NEW met1 ( 252770 17510 ) M1M2_PR
NEW met1 ( 255070 17510 ) M1M2_PR
NEW met1 ( 255070 862750 ) M1M2_PR
NEW met1 ( 635030 862750 ) M1M2_PR
NEW met2 ( 635030 865980 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[11] ( PIN wbs_dat_o[11] ) ( mprj wbs_dat_o[11] )
+ ROUTED met2 ( 270250 2380 0 ) ( 270250 17510 )
NEW met1 ( 270250 17510 ) ( 275770 17510 )
NEW met2 ( 275770 17510 ) ( 275770 904230 )
NEW met2 ( 635030 904230 ) ( 635030 904740 )
NEW met3 ( 635030 904740 ) ( 650900 904740 0 )
NEW met1 ( 275770 904230 ) ( 635030 904230 )
NEW met1 ( 270250 17510 ) M1M2_PR
NEW met1 ( 275770 17510 ) M1M2_PR
NEW met1 ( 275770 904230 ) M1M2_PR
NEW met1 ( 635030 904230 ) M1M2_PR
NEW met2 ( 635030 904740 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[12] ( PIN wbs_dat_o[12] ) ( mprj wbs_dat_o[12] )
+ ROUTED met2 ( 288190 2380 0 ) ( 288190 17340 )
NEW met2 ( 288190 17340 ) ( 289570 17340 )
NEW met2 ( 289570 17340 ) ( 289570 938570 )
NEW met2 ( 635030 938570 ) ( 635030 942820 )
NEW met3 ( 635030 942820 ) ( 650900 942820 0 )
NEW met1 ( 289570 938570 ) ( 635030 938570 )
NEW met1 ( 289570 938570 ) M1M2_PR
NEW met1 ( 635030 938570 ) M1M2_PR
NEW met2 ( 635030 942820 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[13] ( PIN wbs_dat_o[13] ) ( mprj wbs_dat_o[13] )
+ ROUTED met2 ( 306130 2380 0 ) ( 306130 17510 )
NEW met1 ( 306130 17510 ) ( 310270 17510 )
NEW met2 ( 310270 17510 ) ( 310270 980050 )
NEW met2 ( 635030 980050 ) ( 635030 981580 )
NEW met3 ( 635030 981580 ) ( 650900 981580 0 )
NEW met1 ( 310270 980050 ) ( 635030 980050 )
NEW met1 ( 306130 17510 ) M1M2_PR
NEW met1 ( 310270 17510 ) M1M2_PR
NEW met1 ( 310270 980050 ) M1M2_PR
NEW met1 ( 635030 980050 ) M1M2_PR
NEW met2 ( 635030 981580 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[14] ( PIN wbs_dat_o[14] ) ( mprj wbs_dat_o[14] )
+ ROUTED met2 ( 324070 2380 0 ) ( 324070 1014390 )
NEW met2 ( 635030 1014390 ) ( 635030 1019660 )
NEW met3 ( 635030 1019660 ) ( 650900 1019660 0 )
NEW met1 ( 324070 1014390 ) ( 635030 1014390 )
NEW met1 ( 324070 1014390 ) M1M2_PR
NEW met1 ( 635030 1014390 ) M1M2_PR
NEW met2 ( 635030 1019660 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[15] ( PIN wbs_dat_o[15] ) ( mprj wbs_dat_o[15] )
+ ROUTED met2 ( 341550 2380 0 ) ( 341550 15130 )
NEW met1 ( 341550 15130 ) ( 344770 15130 )
NEW met2 ( 635030 1055870 ) ( 635030 1058420 )
NEW met3 ( 635030 1058420 ) ( 650900 1058420 0 )
NEW met1 ( 344770 1055870 ) ( 635030 1055870 )
NEW met2 ( 344770 15130 ) ( 344770 1055870 )
NEW met1 ( 341550 15130 ) M1M2_PR
NEW met1 ( 344770 15130 ) M1M2_PR
NEW met1 ( 344770 1055870 ) M1M2_PR
NEW met1 ( 635030 1055870 ) M1M2_PR
NEW met2 ( 635030 1058420 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[16] ( PIN wbs_dat_o[16] ) ( mprj wbs_dat_o[16] )
+ ROUTED met2 ( 359490 2380 0 ) ( 359490 17510 )
NEW met1 ( 359490 17510 ) ( 365010 17510 )
NEW met2 ( 365010 17510 ) ( 365010 1097350 )
NEW met2 ( 635030 1097180 ) ( 635030 1097350 )
NEW met3 ( 635030 1097180 ) ( 650900 1097180 0 )
NEW met1 ( 365010 1097350 ) ( 635030 1097350 )
NEW met1 ( 359490 17510 ) M1M2_PR
NEW met1 ( 365010 17510 ) M1M2_PR
NEW met1 ( 365010 1097350 ) M1M2_PR
NEW met1 ( 635030 1097350 ) M1M2_PR
NEW met2 ( 635030 1097180 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[17] ( PIN wbs_dat_o[17] ) ( mprj wbs_dat_o[17] )
+ ROUTED met2 ( 377430 2380 0 ) ( 377430 17340 )
NEW met2 ( 377430 17340 ) ( 379270 17340 )
NEW met2 ( 379270 17340 ) ( 379270 1131690 )
NEW met2 ( 635030 1131690 ) ( 635030 1135260 )
NEW met3 ( 635030 1135260 ) ( 650900 1135260 0 )
NEW met1 ( 379270 1131690 ) ( 635030 1131690 )
NEW met1 ( 379270 1131690 ) M1M2_PR
NEW met1 ( 635030 1131690 ) M1M2_PR
NEW met2 ( 635030 1135260 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[18] ( PIN wbs_dat_o[18] ) ( mprj wbs_dat_o[18] )
+ ROUTED met2 ( 395370 2380 0 ) ( 395370 17510 )
NEW met1 ( 395370 17510 ) ( 399970 17510 )
NEW met2 ( 399970 17510 ) ( 399970 1173170 )
NEW met2 ( 635030 1173170 ) ( 635030 1174020 )
NEW met3 ( 635030 1174020 ) ( 650900 1174020 0 )
NEW met1 ( 399970 1173170 ) ( 635030 1173170 )
NEW met1 ( 395370 17510 ) M1M2_PR
NEW met1 ( 399970 17510 ) M1M2_PR
NEW met1 ( 399970 1173170 ) M1M2_PR
NEW met1 ( 635030 1173170 ) M1M2_PR
NEW met2 ( 635030 1174020 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[19] ( PIN wbs_dat_o[19] ) ( mprj wbs_dat_o[19] )
+ ROUTED met2 ( 413310 2380 0 ) ( 413310 17340 )
NEW met2 ( 413310 17340 ) ( 413770 17340 )
NEW met2 ( 413770 17340 ) ( 413770 1207510 )
NEW met2 ( 635030 1207510 ) ( 635030 1212100 )
NEW met3 ( 635030 1212100 ) ( 650900 1212100 0 )
NEW met1 ( 413770 1207510 ) ( 635030 1207510 )
NEW met1 ( 413770 1207510 ) M1M2_PR
NEW met1 ( 635030 1207510 ) M1M2_PR
NEW met2 ( 635030 1212100 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[1] ( PIN wbs_dat_o[1] ) ( mprj wbs_dat_o[1] )
+ ROUTED met2 ( 74290 2380 0 ) ( 74290 17340 )
NEW met2 ( 74290 17340 ) ( 75670 17340 )
NEW met2 ( 635030 476170 ) ( 635030 481100 )
NEW met3 ( 635030 481100 ) ( 650900 481100 0 )
NEW met1 ( 75670 476170 ) ( 635030 476170 )
NEW met2 ( 75670 17340 ) ( 75670 476170 )
NEW met1 ( 75670 476170 ) M1M2_PR
NEW met1 ( 635030 476170 ) M1M2_PR
NEW met2 ( 635030 481100 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[20] ( PIN wbs_dat_o[20] ) ( mprj wbs_dat_o[20] )
+ ROUTED met2 ( 430790 2380 0 ) ( 430790 17510 )
NEW met1 ( 430790 17510 ) ( 434470 17510 )
NEW met2 ( 635030 1248990 ) ( 635030 1250860 )
NEW met3 ( 635030 1250860 ) ( 650900 1250860 0 )
NEW met1 ( 434470 1248990 ) ( 635030 1248990 )
NEW met2 ( 434470 17510 ) ( 434470 1248990 )
NEW met1 ( 430790 17510 ) M1M2_PR
NEW met1 ( 434470 17510 ) M1M2_PR
NEW met1 ( 434470 1248990 ) M1M2_PR
NEW met1 ( 635030 1248990 ) M1M2_PR
NEW met2 ( 635030 1250860 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[21] ( PIN wbs_dat_o[21] ) ( mprj wbs_dat_o[21] )
+ ROUTED met2 ( 448730 2380 0 ) ( 448730 17850 )
NEW met1 ( 448730 17850 ) ( 454710 17850 )
NEW met2 ( 635030 1283670 ) ( 635030 1289620 )
NEW met3 ( 635030 1289620 ) ( 650900 1289620 0 )
NEW met1 ( 454710 1283670 ) ( 635030 1283670 )
NEW met2 ( 454710 17850 ) ( 454710 1283670 )
NEW met1 ( 448730 17850 ) M1M2_PR
NEW met1 ( 454710 17850 ) M1M2_PR
NEW met1 ( 454710 1283670 ) M1M2_PR
NEW met1 ( 635030 1283670 ) M1M2_PR
NEW met2 ( 635030 1289620 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[22] ( PIN wbs_dat_o[22] ) ( mprj wbs_dat_o[22] )
+ ROUTED met2 ( 466670 2380 0 ) ( 466670 16830 )
NEW met1 ( 466670 16830 ) ( 468970 16830 )
NEW met2 ( 468970 16830 ) ( 468970 1324810 )
NEW met2 ( 635030 1324810 ) ( 635030 1327700 )
NEW met3 ( 635030 1327700 ) ( 650900 1327700 0 )
NEW met1 ( 468970 1324810 ) ( 635030 1324810 )
NEW met1 ( 466670 16830 ) M1M2_PR
NEW met1 ( 468970 16830 ) M1M2_PR
NEW met1 ( 468970 1324810 ) M1M2_PR
NEW met1 ( 635030 1324810 ) M1M2_PR
NEW met2 ( 635030 1327700 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[23] ( PIN wbs_dat_o[23] ) ( mprj wbs_dat_o[23] )
+ ROUTED met2 ( 484610 2380 0 ) ( 484610 16490 )
NEW met1 ( 484610 16490 ) ( 489670 16490 )
NEW met2 ( 489670 16490 ) ( 489670 1366290 )
NEW met2 ( 635030 1366290 ) ( 635030 1366460 )
NEW met3 ( 635030 1366460 ) ( 650900 1366460 0 )
NEW met1 ( 489670 1366290 ) ( 635030 1366290 )
NEW met1 ( 484610 16490 ) M1M2_PR
NEW met1 ( 489670 16490 ) M1M2_PR
NEW met1 ( 489670 1366290 ) M1M2_PR
NEW met1 ( 635030 1366290 ) M1M2_PR
NEW met2 ( 635030 1366460 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[24] ( PIN wbs_dat_o[24] ) ( mprj wbs_dat_o[24] )
+ ROUTED met2 ( 502550 2380 0 ) ( 502550 17340 )
NEW met2 ( 502550 17340 ) ( 503470 17340 )
NEW met2 ( 503470 17340 ) ( 503470 1400970 )
NEW met2 ( 635030 1400970 ) ( 635030 1404540 )
NEW met3 ( 635030 1404540 ) ( 650900 1404540 0 )
NEW met1 ( 503470 1400970 ) ( 635030 1400970 )
NEW met1 ( 503470 1400970 ) M1M2_PR
NEW met1 ( 635030 1400970 ) M1M2_PR
NEW met2 ( 635030 1404540 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[25] ( PIN wbs_dat_o[25] ) ( mprj wbs_dat_o[25] )
+ ROUTED met2 ( 520030 2380 0 ) ( 520030 17510 )
NEW met1 ( 520030 17510 ) ( 524170 17510 )
NEW met2 ( 635030 1442110 ) ( 635030 1443300 )
NEW met3 ( 635030 1443300 ) ( 650900 1443300 0 )
NEW met1 ( 524170 1442110 ) ( 635030 1442110 )
NEW met2 ( 524170 17510 ) ( 524170 1442110 )
NEW met1 ( 520030 17510 ) M1M2_PR
NEW met1 ( 524170 17510 ) M1M2_PR
NEW met1 ( 524170 1442110 ) M1M2_PR
NEW met1 ( 635030 1442110 ) M1M2_PR
NEW met2 ( 635030 1443300 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[26] ( PIN wbs_dat_o[26] ) ( mprj wbs_dat_o[26] )
+ ROUTED met2 ( 635030 1476790 ) ( 635030 1482060 )
NEW met3 ( 635030 1482060 ) ( 650900 1482060 0 )
NEW met1 ( 537970 1476790 ) ( 635030 1476790 )
NEW met2 ( 537970 2380 0 ) ( 537970 1476790 )
NEW met1 ( 537970 1476790 ) M1M2_PR
NEW met1 ( 635030 1476790 ) M1M2_PR
NEW met2 ( 635030 1482060 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[27] ( PIN wbs_dat_o[27] ) ( mprj wbs_dat_o[27] )
+ ROUTED met2 ( 555910 2380 0 ) ( 555910 17510 )
NEW met1 ( 555910 17510 ) ( 558670 17510 )
NEW met2 ( 558670 17510 ) ( 558670 1518270 )
NEW met2 ( 635030 1518270 ) ( 635030 1520140 )
NEW met3 ( 635030 1520140 ) ( 650900 1520140 0 )
NEW met1 ( 558670 1518270 ) ( 635030 1518270 )
NEW met1 ( 555910 17510 ) M1M2_PR
NEW met1 ( 558670 17510 ) M1M2_PR
NEW met1 ( 558670 1518270 ) M1M2_PR
NEW met1 ( 635030 1518270 ) M1M2_PR
NEW met2 ( 635030 1520140 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[28] ( PIN wbs_dat_o[28] ) ( mprj wbs_dat_o[28] )
+ ROUTED met2 ( 573850 2380 0 ) ( 573850 15130 )
NEW met1 ( 573850 15130 ) ( 579370 15130 )
NEW met2 ( 579370 15130 ) ( 579370 1552610 )
NEW met2 ( 635030 1552610 ) ( 635030 1558900 )
NEW met3 ( 635030 1558900 ) ( 650900 1558900 0 )
NEW met1 ( 579370 1552610 ) ( 635030 1552610 )
NEW met1 ( 573850 15130 ) M1M2_PR
NEW met1 ( 579370 15130 ) M1M2_PR
NEW met1 ( 579370 1552610 ) M1M2_PR
NEW met1 ( 635030 1552610 ) M1M2_PR
NEW met2 ( 635030 1558900 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[29] ( PIN wbs_dat_o[29] ) ( mprj wbs_dat_o[29] )
+ ROUTED met2 ( 591330 2380 0 ) ( 591330 17340 )
NEW met2 ( 591330 17340 ) ( 593170 17340 )
NEW met2 ( 593170 17340 ) ( 593170 1594090 )
NEW met2 ( 635030 1594090 ) ( 635030 1596980 )
NEW met3 ( 635030 1596980 ) ( 650900 1596980 0 )
NEW met1 ( 593170 1594090 ) ( 635030 1594090 )
NEW met1 ( 593170 1594090 ) M1M2_PR
NEW met1 ( 635030 1594090 ) M1M2_PR
NEW met2 ( 635030 1596980 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[2] ( PIN wbs_dat_o[2] ) ( mprj wbs_dat_o[2] )
+ ROUTED met2 ( 97750 2380 0 ) ( 97750 16490 )
NEW met1 ( 97750 16490 ) ( 103270 16490 )
NEW met2 ( 103270 16490 ) ( 103270 531590 )
NEW met2 ( 635030 531590 ) ( 635030 532100 )
NEW met3 ( 635030 532100 ) ( 650900 532100 0 )
NEW met1 ( 103270 531590 ) ( 635030 531590 )
NEW met1 ( 97750 16490 ) M1M2_PR
NEW met1 ( 103270 16490 ) M1M2_PR
NEW met1 ( 103270 531590 ) M1M2_PR
NEW met1 ( 635030 531590 ) M1M2_PR
NEW met2 ( 635030 532100 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[30] ( PIN wbs_dat_o[30] ) ( mprj wbs_dat_o[30] )
+ ROUTED met2 ( 609270 2380 0 ) ( 609270 17510 )
NEW met1 ( 609270 17510 ) ( 613870 17510 )
NEW met2 ( 613870 17510 ) ( 613870 1635570 )
NEW met2 ( 635030 1635570 ) ( 635030 1635740 )
NEW met3 ( 635030 1635740 ) ( 650900 1635740 0 )
NEW met1 ( 613870 1635570 ) ( 635030 1635570 )
NEW met1 ( 609270 17510 ) M1M2_PR
NEW met1 ( 613870 17510 ) M1M2_PR
NEW met1 ( 613870 1635570 ) M1M2_PR
NEW met1 ( 635030 1635570 ) M1M2_PR
NEW met2 ( 635030 1635740 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[31] ( PIN wbs_dat_o[31] ) ( mprj wbs_dat_o[31] )
+ ROUTED met2 ( 627210 2380 0 ) ( 627210 3060 )
NEW met2 ( 627210 3060 ) ( 627670 3060 )
NEW met1 ( 627670 1669910 ) ( 635030 1669910 )
NEW met2 ( 635030 1669910 ) ( 635030 1674500 )
NEW met2 ( 627670 3060 ) ( 627670 1669910 )
NEW met3 ( 635030 1674500 ) ( 650900 1674500 0 )
NEW met1 ( 627670 1669910 ) M1M2_PR
NEW met1 ( 635030 1669910 ) M1M2_PR
NEW met2 ( 635030 1674500 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[3] ( PIN wbs_dat_o[3] ) ( mprj wbs_dat_o[3] )
+ ROUTED met2 ( 121670 2380 0 ) ( 121670 17510 )
NEW met1 ( 121670 17510 ) ( 123970 17510 )
NEW met2 ( 123970 17510 ) ( 123970 579870 )
NEW met2 ( 635030 579870 ) ( 635030 583780 )
NEW met3 ( 635030 583780 ) ( 650900 583780 0 )
NEW met1 ( 123970 579870 ) ( 635030 579870 )
NEW met1 ( 121670 17510 ) M1M2_PR
NEW met1 ( 123970 17510 ) M1M2_PR
NEW met1 ( 123970 579870 ) M1M2_PR
NEW met1 ( 635030 579870 ) M1M2_PR
NEW met2 ( 635030 583780 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[4] ( PIN wbs_dat_o[4] ) ( mprj wbs_dat_o[4] )
+ ROUTED met2 ( 145590 2380 0 ) ( 145590 15130 )
NEW met1 ( 145590 15130 ) ( 151110 15130 )
NEW met2 ( 151110 15130 ) ( 151110 628150 )
NEW met2 ( 635030 628150 ) ( 635030 634780 )
NEW met3 ( 635030 634780 ) ( 650900 634780 0 )
NEW met1 ( 151110 628150 ) ( 635030 628150 )
NEW met1 ( 145590 15130 ) M1M2_PR
NEW met1 ( 151110 15130 ) M1M2_PR
NEW met1 ( 151110 628150 ) M1M2_PR
NEW met1 ( 635030 628150 ) M1M2_PR
NEW met2 ( 635030 634780 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[5] ( PIN wbs_dat_o[5] ) ( mprj wbs_dat_o[5] )
+ ROUTED met2 ( 163530 2380 0 ) ( 163530 17340 )
NEW met2 ( 163530 17340 ) ( 165370 17340 )
NEW met2 ( 635030 669630 ) ( 635030 673540 )
NEW met3 ( 635030 673540 ) ( 650900 673540 0 )
NEW met1 ( 165370 669630 ) ( 635030 669630 )
NEW met2 ( 165370 17340 ) ( 165370 669630 )
NEW met1 ( 165370 669630 ) M1M2_PR
NEW met1 ( 635030 669630 ) M1M2_PR
NEW met2 ( 635030 673540 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[6] ( PIN wbs_dat_o[6] ) ( mprj wbs_dat_o[6] )
+ ROUTED met2 ( 181010 2380 0 ) ( 181010 17510 )
NEW met1 ( 181010 17510 ) ( 186070 17510 )
NEW met2 ( 186070 17510 ) ( 186070 710770 )
NEW met2 ( 635030 710770 ) ( 635030 712300 )
NEW met3 ( 635030 712300 ) ( 650900 712300 0 )
NEW met1 ( 186070 710770 ) ( 635030 710770 )
NEW met1 ( 181010 17510 ) M1M2_PR
NEW met1 ( 186070 17510 ) M1M2_PR
NEW met1 ( 186070 710770 ) M1M2_PR
NEW met1 ( 635030 710770 ) M1M2_PR
NEW met2 ( 635030 712300 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[7] ( PIN wbs_dat_o[7] ) ( mprj wbs_dat_o[7] )
+ ROUTED met2 ( 198950 2380 0 ) ( 198950 17340 )
NEW met2 ( 198950 17340 ) ( 199870 17340 )
NEW met2 ( 199870 17340 ) ( 199870 745450 )
NEW met2 ( 635030 745450 ) ( 635030 750380 )
NEW met3 ( 635030 750380 ) ( 650900 750380 0 )
NEW met1 ( 199870 745450 ) ( 635030 745450 )
NEW met1 ( 199870 745450 ) M1M2_PR
NEW met1 ( 635030 745450 ) M1M2_PR
NEW met2 ( 635030 750380 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[8] ( PIN wbs_dat_o[8] ) ( mprj wbs_dat_o[8] )
+ ROUTED met2 ( 216890 2380 0 ) ( 216890 17510 )
NEW met1 ( 216890 17510 ) ( 220570 17510 )
NEW met2 ( 220570 17510 ) ( 220570 786930 )
NEW met2 ( 635030 786930 ) ( 635030 789140 )
NEW met3 ( 635030 789140 ) ( 650900 789140 0 )
NEW met1 ( 220570 786930 ) ( 635030 786930 )
NEW met1 ( 216890 17510 ) M1M2_PR
NEW met1 ( 220570 17510 ) M1M2_PR
NEW met1 ( 220570 786930 ) M1M2_PR
NEW met1 ( 635030 786930 ) M1M2_PR
NEW met2 ( 635030 789140 ) via2_FR
+ USE SIGNAL ;
- wbs_dat_o[9] ( PIN wbs_dat_o[9] ) ( mprj wbs_dat_o[9] )
+ ROUTED met2 ( 234830 2380 0 ) ( 234830 17850 )
NEW met1 ( 234830 17850 ) ( 240810 17850 )
NEW met2 ( 240810 17850 ) ( 240810 821270 )
NEW met2 ( 635030 821270 ) ( 635030 827220 )
NEW met3 ( 635030 827220 ) ( 650900 827220 0 )
NEW met1 ( 240810 821270 ) ( 635030 821270 )
NEW met1 ( 234830 17850 ) M1M2_PR
NEW met1 ( 240810 17850 ) M1M2_PR
NEW met1 ( 240810 821270 ) M1M2_PR
NEW met1 ( 635030 821270 ) M1M2_PR
NEW met2 ( 635030 827220 ) via2_FR
+ USE SIGNAL ;
- wbs_sel_i[0] ( PIN wbs_sel_i[0] ) ( mprj wbs_sel_i[0] )
+ ROUTED met2 ( 56350 2380 0 ) ( 56350 17510 )
NEW met1 ( 56350 17510 ) ( 61870 17510 )
NEW met2 ( 61870 17510 ) ( 61870 441830 )
NEW met2 ( 635030 441830 ) ( 635030 442340 )
NEW met3 ( 635030 442340 ) ( 650900 442340 0 )
NEW met1 ( 61870 441830 ) ( 635030 441830 )
NEW met1 ( 56350 17510 ) M1M2_PR
NEW met1 ( 61870 17510 ) M1M2_PR
NEW met1 ( 61870 441830 ) M1M2_PR
NEW met1 ( 635030 441830 ) M1M2_PR
NEW met2 ( 635030 442340 ) via2_FR
+ USE SIGNAL ;
- wbs_sel_i[1] ( PIN wbs_sel_i[1] ) ( mprj wbs_sel_i[1] )
+ ROUTED met2 ( 80270 2380 0 ) ( 80270 16830 )
NEW met1 ( 80270 16830 ) ( 82570 16830 )
NEW met2 ( 635030 490110 ) ( 635030 494020 )
NEW met3 ( 635030 494020 ) ( 650900 494020 0 )
NEW met1 ( 82570 490110 ) ( 635030 490110 )
NEW met2 ( 82570 16830 ) ( 82570 490110 )
NEW met1 ( 80270 16830 ) M1M2_PR
NEW met1 ( 82570 16830 ) M1M2_PR
NEW met1 ( 82570 490110 ) M1M2_PR
NEW met1 ( 635030 490110 ) M1M2_PR
NEW met2 ( 635030 494020 ) via2_FR
+ USE SIGNAL ;
- wbs_sel_i[2] ( PIN wbs_sel_i[2] ) ( mprj wbs_sel_i[2] )
+ ROUTED met2 ( 103730 2380 0 ) ( 103730 17850 )
NEW met1 ( 103730 17850 ) ( 109710 17850 )
NEW met2 ( 109710 17850 ) ( 109710 538390 )
NEW met2 ( 635030 538390 ) ( 635030 545020 )
NEW met3 ( 635030 545020 ) ( 650900 545020 0 )
NEW met1 ( 109710 538390 ) ( 635030 538390 )
NEW met1 ( 103730 17850 ) M1M2_PR
NEW met1 ( 109710 17850 ) M1M2_PR
NEW met1 ( 109710 538390 ) M1M2_PR
NEW met1 ( 635030 538390 ) M1M2_PR
NEW met2 ( 635030 545020 ) via2_FR
+ USE SIGNAL ;
- wbs_sel_i[3] ( PIN wbs_sel_i[3] ) ( mprj wbs_sel_i[3] )
+ ROUTED met2 ( 127650 2380 0 ) ( 127650 17510 )
NEW met1 ( 127650 17510 ) ( 130870 17510 )
NEW met2 ( 130870 17510 ) ( 130870 593470 )
NEW met2 ( 635030 593470 ) ( 635030 596700 )
NEW met3 ( 635030 596700 ) ( 650900 596700 0 )
NEW met1 ( 130870 593470 ) ( 635030 593470 )
NEW met1 ( 127650 17510 ) M1M2_PR
NEW met1 ( 130870 17510 ) M1M2_PR
NEW met1 ( 130870 593470 ) M1M2_PR
NEW met1 ( 635030 593470 ) M1M2_PR
NEW met2 ( 635030 596700 ) via2_FR
+ USE SIGNAL ;
- wbs_stb_i ( PIN wbs_stb_i ) ( mprj wbs_stb_i )
+ ROUTED met2 ( 26450 2380 0 ) ( 26450 2890 )
NEW met1 ( 26450 2890 ) ( 27370 2890 )
NEW met2 ( 27370 2890 ) ( 27370 372810 )
NEW met2 ( 635030 372810 ) ( 635030 378420 )
NEW met3 ( 635030 378420 ) ( 650900 378420 0 )
NEW met1 ( 27370 372810 ) ( 635030 372810 )
NEW met1 ( 26450 2890 ) M1M2_PR
NEW met1 ( 27370 2890 ) M1M2_PR
NEW met1 ( 27370 372810 ) M1M2_PR
NEW met1 ( 635030 372810 ) M1M2_PR
NEW met2 ( 635030 378420 ) via2_FR
+ USE SIGNAL ;
- wbs_we_i ( PIN wbs_we_i ) ( mprj wbs_we_i )
+ ROUTED met2 ( 32430 2380 0 ) ( 32430 3060 )
NEW met2 ( 32430 3060 ) ( 34270 3060 )
NEW met2 ( 34270 3060 ) ( 34270 386410 )
NEW met2 ( 635030 386410 ) ( 635030 391340 )
NEW met3 ( 635030 391340 ) ( 650900 391340 0 )
NEW met1 ( 34270 386410 ) ( 635030 386410 )
NEW met1 ( 34270 386410 ) M1M2_PR
NEW met1 ( 635030 386410 ) M1M2_PR
NEW met2 ( 635030 391340 ) via2_FR
+ USE SIGNAL ;
- zero ( PIN la_data_out[9] ) ( PIN la_data_out[99] ) ( PIN la_data_out[98] ) ( PIN la_data_out[97] )
( PIN la_data_out[96] ) ( PIN la_data_out[95] ) ( PIN la_data_out[94] ) ( PIN la_data_out[93] ) ( PIN la_data_out[92] )
( PIN la_data_out[91] ) ( PIN la_data_out[90] ) ( PIN la_data_out[8] ) ( PIN la_data_out[89] ) ( PIN la_data_out[88] )
( PIN la_data_out[87] ) ( PIN la_data_out[86] ) ( PIN la_data_out[85] ) ( PIN la_data_out[84] ) ( PIN la_data_out[83] )
( PIN la_data_out[82] ) ( PIN la_data_out[81] ) ( PIN la_data_out[80] ) ( PIN la_data_out[7] ) ( PIN la_data_out[79] )
( PIN la_data_out[78] ) ( PIN la_data_out[77] ) ( PIN la_data_out[76] ) ( PIN la_data_out[75] ) ( PIN la_data_out[74] )
( PIN la_data_out[73] ) ( PIN la_data_out[72] ) ( PIN la_data_out[71] ) ( PIN la_data_out[70] ) ( PIN la_data_out[6] )
( PIN la_data_out[69] ) ( PIN la_data_out[68] ) ( PIN la_data_out[67] ) ( PIN la_data_out[66] ) ( PIN la_data_out[65] )
( PIN la_data_out[64] ) ( PIN la_data_out[63] ) ( PIN la_data_out[62] ) ( PIN la_data_out[61] ) ( PIN la_data_out[60] )
( PIN la_data_out[5] ) ( PIN la_data_out[59] ) ( PIN la_data_out[58] ) ( PIN la_data_out[57] ) ( PIN la_data_out[56] )
( PIN la_data_out[55] ) ( PIN la_data_out[54] ) ( PIN la_data_out[53] ) ( PIN la_data_out[52] ) ( PIN la_data_out[51] )
( PIN la_data_out[50] ) ( PIN la_data_out[4] ) ( PIN la_data_out[49] ) ( PIN la_data_out[48] ) ( PIN la_data_out[47] )
( PIN la_data_out[46] ) ( PIN la_data_out[45] ) ( PIN la_data_out[44] ) ( PIN la_data_out[43] ) ( PIN la_data_out[42] )
( PIN la_data_out[41] ) ( PIN la_data_out[40] ) ( PIN la_data_out[3] ) ( PIN la_data_out[39] ) ( PIN la_data_out[38] )
( PIN la_data_out[37] ) ( PIN la_data_out[36] ) ( PIN la_data_out[35] ) ( PIN la_data_out[34] ) ( PIN la_data_out[33] )
( PIN la_data_out[32] ) ( PIN la_data_out[31] ) ( PIN la_data_out[30] ) ( PIN la_data_out[2] ) ( PIN la_data_out[29] )
( PIN la_data_out[28] ) ( PIN la_data_out[27] ) ( PIN la_data_out[26] ) ( PIN la_data_out[25] ) ( PIN la_data_out[24] )
( PIN la_data_out[23] ) ( PIN la_data_out[22] ) ( PIN la_data_out[21] ) ( PIN la_data_out[20] ) ( PIN la_data_out[1] )
( PIN la_data_out[19] ) ( PIN la_data_out[18] ) ( PIN la_data_out[17] ) ( PIN la_data_out[16] ) ( PIN la_data_out[15] )
( PIN la_data_out[14] ) ( PIN la_data_out[13] ) ( PIN la_data_out[12] ) ( PIN la_data_out[127] ) ( PIN la_data_out[126] )
( PIN la_data_out[125] ) ( PIN la_data_out[124] ) ( PIN la_data_out[123] ) ( PIN la_data_out[122] ) ( PIN la_data_out[121] )
( PIN la_data_out[120] ) ( PIN la_data_out[11] ) ( PIN la_data_out[119] ) ( PIN la_data_out[118] ) ( PIN la_data_out[117] )
( PIN la_data_out[116] ) ( PIN la_data_out[115] ) ( PIN la_data_out[114] ) ( PIN la_data_out[113] ) ( PIN la_data_out[112] )
( PIN la_data_out[111] ) ( PIN la_data_out[110] ) ( PIN la_data_out[10] ) ( PIN la_data_out[109] ) ( PIN la_data_out[108] )
( PIN la_data_out[107] ) ( PIN la_data_out[106] ) ( PIN la_data_out[105] ) ( PIN la_data_out[104] ) ( PIN la_data_out[103] )
( PIN la_data_out[102] ) ( PIN la_data_out[101] ) ( PIN la_data_out[100] ) ( PIN la_data_out[0] ) ( mprj zero )
+ ROUTED met2 ( 674590 2380 0 ) ( 674590 14110 )
NEW met2 ( 692530 2380 0 ) ( 692530 14110 )
NEW met1 ( 674590 14110 ) ( 692530 14110 )
NEW met2 ( 710470 2380 0 ) ( 710470 14110 )
NEW met1 ( 692530 14110 ) ( 710470 14110 )
NEW met2 ( 799710 2380 0 ) ( 799710 14110 )
NEW met2 ( 781770 2380 0 ) ( 781770 14110 )
NEW met1 ( 781770 14110 ) ( 799710 14110 )
NEW met2 ( 763830 2380 0 ) ( 763830 14110 )
NEW met1 ( 763830 14110 ) ( 781770 14110 )
NEW met2 ( 871010 2380 0 ) ( 871010 14110 )
NEW met2 ( 888950 2380 0 ) ( 888950 14110 )
NEW met1 ( 871010 14110 ) ( 888950 14110 )
NEW met2 ( 960250 2380 0 ) ( 960250 14110 )
NEW met2 ( 978190 2380 0 ) ( 978190 14110 )
NEW met1 ( 960250 14110 ) ( 978190 14110 )
NEW met2 ( 996130 2380 0 ) ( 996130 14110 )
NEW met1 ( 978190 14110 ) ( 996130 14110 )
NEW met2 ( 1085370 2380 0 ) ( 1085370 14110 )
NEW met2 ( 1067430 2380 0 ) ( 1067430 14110 )
NEW met1 ( 1067430 14110 ) ( 1085370 14110 )
NEW met2 ( 1049490 2380 0 ) ( 1049490 14110 )
NEW met1 ( 1049490 14110 ) ( 1067430 14110 )
NEW met2 ( 1156670 2380 0 ) ( 1156670 14110 )
NEW met2 ( 1174150 2380 0 ) ( 1174150 14110 )
NEW met1 ( 1156670 14110 ) ( 1174150 14110 )
NEW met2 ( 1192090 2380 0 ) ( 1192090 14110 )
NEW met1 ( 1174150 14110 ) ( 1192090 14110 )
NEW met2 ( 1281330 2380 0 ) ( 1281330 14110 )
NEW met2 ( 1263390 2380 0 ) ( 1263390 14110 )
NEW met1 ( 1263390 14110 ) ( 1281330 14110 )
NEW met2 ( 1245910 2380 0 ) ( 1245910 14110 )
NEW met1 ( 1245910 14110 ) ( 1263390 14110 )
NEW met2 ( 1370570 2380 0 ) ( 1370570 14110 )
NEW met2 ( 1352630 2380 0 ) ( 1352630 14110 )
NEW met1 ( 1352630 14110 ) ( 1370570 14110 )
NEW met2 ( 1441870 2380 0 ) ( 1441870 14110 )
NEW met2 ( 1459810 2380 0 ) ( 1459810 14110 )
NEW met1 ( 1441870 14110 ) ( 1459810 14110 )
NEW met2 ( 1477750 2380 0 ) ( 1477750 14110 )
NEW met1 ( 1459810 14110 ) ( 1477750 14110 )
NEW met2 ( 1549050 2380 0 ) ( 1549050 14110 )
NEW met2 ( 1566990 2380 0 ) ( 1566990 14110 )
NEW met1 ( 1549050 14110 ) ( 1566990 14110 )
NEW met2 ( 1638290 2380 0 ) ( 1638290 14110 )
NEW met2 ( 1656230 2380 0 ) ( 1656230 14110 )
NEW met1 ( 1638290 14110 ) ( 1656230 14110 )
NEW met2 ( 1673710 2380 0 ) ( 1673710 14110 )
NEW met1 ( 1656230 14110 ) ( 1673710 14110 )
NEW met2 ( 1762950 2380 0 ) ( 1762950 14110 )
NEW met2 ( 1745470 2380 0 ) ( 1745470 14110 )
NEW met1 ( 1745470 14110 ) ( 1762950 14110 )
NEW met2 ( 1727530 2380 0 ) ( 1727530 14110 )
NEW met1 ( 1727530 14110 ) ( 1745470 14110 )
NEW met2 ( 1852190 2380 0 ) ( 1852190 14110 )
NEW met2 ( 1834710 2380 0 ) ( 1834710 14110 )
NEW met1 ( 1834710 14110 ) ( 1852190 14110 )
NEW met2 ( 1959370 2380 0 ) ( 1959370 14110 )
NEW met2 ( 1941430 2380 0 ) ( 1941430 14110 )
NEW met1 ( 1941430 14110 ) ( 1959370 14110 )
NEW met2 ( 1923490 2380 0 ) ( 1923490 14110 )
NEW met1 ( 1923490 14110 ) ( 1941430 14110 )
NEW met2 ( 2030670 2380 0 ) ( 2030670 14110 )
NEW met2 ( 2048610 2380 0 ) ( 2048610 14110 )
NEW met1 ( 2030670 14110 ) ( 2048610 14110 )
NEW met2 ( 2119910 2380 0 ) ( 2119910 14110 )
NEW met2 ( 2137850 2380 0 ) ( 2137850 14110 )
NEW met1 ( 2119910 14110 ) ( 2137850 14110 )
NEW met2 ( 2155790 2380 0 ) ( 2155790 14110 )
NEW met1 ( 2137850 14110 ) ( 2155790 14110 )
NEW met2 ( 2245030 2380 0 ) ( 2245030 14110 )
NEW met2 ( 2227090 2380 0 ) ( 2227090 14110 )
NEW met1 ( 2227090 14110 ) ( 2245030 14110 )
NEW met2 ( 2209150 2380 0 ) ( 2209150 14110 )
NEW met1 ( 2209150 14110 ) ( 2227090 14110 )
NEW met2 ( 2316330 2380 0 ) ( 2316330 14110 )
NEW met2 ( 2334270 2380 0 ) ( 2334270 14110 )
NEW met1 ( 2316330 14110 ) ( 2334270 14110 )
NEW met2 ( 2351750 2380 0 ) ( 2351750 14110 )
NEW met1 ( 2334270 14110 ) ( 2351750 14110 )
NEW met2 ( 2440990 2380 0 ) ( 2440990 14110 )
NEW met2 ( 2423050 2380 0 ) ( 2423050 14110 )
NEW met1 ( 2423050 14110 ) ( 2440990 14110 )
NEW met2 ( 2405570 2380 0 ) ( 2405570 14110 )
NEW met1 ( 2405570 14110 ) ( 2423050 14110 )
NEW met2 ( 2530230 2380 0 ) ( 2530230 14110 )
NEW met2 ( 2512290 2380 0 ) ( 2512290 14110 )
NEW met1 ( 2512290 14110 ) ( 2530230 14110 )
NEW met2 ( 2601530 2380 0 ) ( 2601530 14110 )
NEW met2 ( 2619470 2380 0 ) ( 2619470 14110 )
NEW met1 ( 2601530 14110 ) ( 2619470 14110 )
NEW met2 ( 2637410 2380 0 ) ( 2637410 14110 )
NEW met1 ( 2619470 14110 ) ( 2637410 14110 )
NEW met2 ( 2708710 2380 0 ) ( 2708710 14110 )
NEW met2 ( 2726650 2380 0 ) ( 2726650 14110 )
NEW met1 ( 2708710 14110 ) ( 2726650 14110 )
NEW met2 ( 2797950 2380 0 ) ( 2797950 14110 )
NEW met2 ( 2815890 2380 0 ) ( 2815890 14110 )
NEW met1 ( 2797950 14110 ) ( 2815890 14110 )
NEW met2 ( 2833830 2380 0 ) ( 2833830 14110 )
NEW met1 ( 2815890 14110 ) ( 2833830 14110 )
NEW met2 ( 2887190 2380 0 ) ( 2887190 14110 )
NEW met2 ( 2905130 2380 0 ) ( 2905130 14110 )
NEW met1 ( 2887190 14110 ) ( 2905130 14110 )
NEW met2 ( 657110 2380 0 ) ( 657110 2890 )
NEW met1 ( 639170 2890 ) ( 657110 2890 )
NEW met2 ( 639170 2380 0 ) ( 639170 2890 )
NEW met2 ( 657110 2890 ) ( 657110 14110 )
NEW met1 ( 653890 14110 ) ( 657110 14110 )
NEW met1 ( 657110 14110 ) ( 674590 14110 )
NEW met2 ( 728410 2380 0 ) ( 728410 14110 )
NEW met2 ( 746350 2380 0 ) ( 746350 14110 )
NEW met1 ( 728410 14110 ) ( 746350 14110 )
NEW met1 ( 710470 14110 ) ( 728410 14110 )
NEW met1 ( 746350 14110 ) ( 763830 14110 )
NEW met2 ( 817650 2380 0 ) ( 817650 14110 )
NEW met2 ( 835590 2380 0 ) ( 835590 14110 )
NEW met1 ( 817650 14110 ) ( 835590 14110 )
NEW met2 ( 853070 2380 0 ) ( 853070 14110 )
NEW met1 ( 835590 14110 ) ( 853070 14110 )
NEW met1 ( 799710 14110 ) ( 817650 14110 )
NEW met1 ( 853070 14110 ) ( 871010 14110 )
NEW met2 ( 942310 2380 0 ) ( 942310 14110 )
NEW met2 ( 924370 2380 0 ) ( 924370 14110 )
NEW met1 ( 924370 14110 ) ( 942310 14110 )
NEW met2 ( 906890 2380 0 ) ( 906890 14110 )
NEW met1 ( 906890 14110 ) ( 924370 14110 )
NEW met1 ( 888950 14110 ) ( 906890 14110 )
NEW met1 ( 942310 14110 ) ( 960250 14110 )
NEW met2 ( 1031550 2380 0 ) ( 1031550 14110 )
NEW met2 ( 1013610 2380 0 ) ( 1013610 14110 )
NEW met1 ( 1013610 14110 ) ( 1031550 14110 )
NEW met1 ( 996130 14110 ) ( 1013610 14110 )
NEW met1 ( 1031550 14110 ) ( 1049490 14110 )
NEW met2 ( 1138730 2380 0 ) ( 1138730 14110 )
NEW met2 ( 1120790 2380 0 ) ( 1120790 14110 )
NEW met1 ( 1120790 14110 ) ( 1138730 14110 )
NEW met2 ( 1102850 2380 0 ) ( 1102850 14110 )
NEW met1 ( 1102850 14110 ) ( 1120790 14110 )
NEW met1 ( 1085370 14110 ) ( 1102850 14110 )
NEW met1 ( 1138730 14110 ) ( 1156670 14110 )
NEW met2 ( 1210030 2380 0 ) ( 1210030 14110 )
NEW met2 ( 1227970 2380 0 ) ( 1227970 14110 )
NEW met1 ( 1210030 14110 ) ( 1227970 14110 )
NEW met1 ( 1192090 14110 ) ( 1210030 14110 )
NEW met1 ( 1227970 14110 ) ( 1245910 14110 )
NEW met2 ( 1299270 2380 0 ) ( 1299270 14110 )
NEW met2 ( 1317210 2380 0 ) ( 1317210 14110 )
NEW met1 ( 1299270 14110 ) ( 1317210 14110 )
NEW met2 ( 1335150 2380 0 ) ( 1335150 14110 )
NEW met1 ( 1317210 14110 ) ( 1335150 14110 )
NEW met1 ( 1281330 14110 ) ( 1299270 14110 )
NEW met1 ( 1335150 14110 ) ( 1352630 14110 )
NEW met2 ( 1423930 2380 0 ) ( 1423930 14110 )
NEW met2 ( 1406450 2380 0 ) ( 1406450 14110 )
NEW met1 ( 1406450 14110 ) ( 1423930 14110 )
NEW met2 ( 1388510 2380 0 ) ( 1388510 14110 )
NEW met1 ( 1388510 14110 ) ( 1406450 14110 )
NEW met1 ( 1370570 14110 ) ( 1388510 14110 )
NEW met1 ( 1423930 14110 ) ( 1441870 14110 )
NEW met2 ( 1495690 2380 0 ) ( 1495690 14110 )
NEW met2 ( 1513170 2380 0 ) ( 1513170 14110 )
NEW met1 ( 1495690 14110 ) ( 1513170 14110 )
NEW met2 ( 1531110 2380 0 ) ( 1531110 14110 )
NEW met1 ( 1513170 14110 ) ( 1531110 14110 )
NEW met1 ( 1477750 14110 ) ( 1495690 14110 )
NEW met1 ( 1531110 14110 ) ( 1549050 14110 )
NEW met2 ( 1620350 2380 0 ) ( 1620350 14110 )
NEW met2 ( 1602410 2380 0 ) ( 1602410 14110 )
NEW met1 ( 1602410 14110 ) ( 1620350 14110 )
NEW met2 ( 1584930 2380 0 ) ( 1584930 14110 )
NEW met1 ( 1584930 14110 ) ( 1602410 14110 )
NEW met1 ( 1566990 14110 ) ( 1584930 14110 )
NEW met1 ( 1620350 14110 ) ( 1638290 14110 )
NEW met2 ( 1709590 2380 0 ) ( 1709590 14110 )
NEW met2 ( 1691650 2380 0 ) ( 1691650 14110 )
NEW met1 ( 1691650 14110 ) ( 1709590 14110 )
NEW met1 ( 1673710 14110 ) ( 1691650 14110 )
NEW met1 ( 1709590 14110 ) ( 1727530 14110 )
NEW met2 ( 1780890 2380 0 ) ( 1780890 14110 )
NEW met2 ( 1798830 2380 0 ) ( 1798830 14110 )
NEW met1 ( 1780890 14110 ) ( 1798830 14110 )
NEW met2 ( 1816770 2380 0 ) ( 1816770 14110 )
NEW met1 ( 1798830 14110 ) ( 1816770 14110 )
NEW met1 ( 1762950 14110 ) ( 1780890 14110 )
NEW met1 ( 1816770 14110 ) ( 1834710 14110 )
NEW met2 ( 1906010 2380 0 ) ( 1906010 14110 )
NEW met2 ( 1888070 2380 0 ) ( 1888070 14110 )
NEW met1 ( 1888070 14110 ) ( 1906010 14110 )
NEW met2 ( 1870130 2380 0 ) ( 1870130 14110 )
NEW met1 ( 1870130 14110 ) ( 1888070 14110 )
NEW met1 ( 1852190 14110 ) ( 1870130 14110 )
NEW met1 ( 1906010 14110 ) ( 1923490 14110 )
NEW met2 ( 1977310 2380 0 ) ( 1977310 14110 )
NEW met2 ( 1995250 2380 0 ) ( 1995250 14110 )
NEW met1 ( 1977310 14110 ) ( 1995250 14110 )
NEW met2 ( 2012730 2380 0 ) ( 2012730 14110 )
NEW met1 ( 1995250 14110 ) ( 2012730 14110 )
NEW met1 ( 1959370 14110 ) ( 1977310 14110 )
NEW met1 ( 2012730 14110 ) ( 2030670 14110 )
NEW met2 ( 2101970 2380 0 ) ( 2101970 14110 )
NEW met2 ( 2084490 2380 0 ) ( 2084490 14110 )
NEW met1 ( 2084490 14110 ) ( 2101970 14110 )
NEW met2 ( 2066550 2380 0 ) ( 2066550 14110 )
NEW met1 ( 2066550 14110 ) ( 2084490 14110 )
NEW met1 ( 2048610 14110 ) ( 2066550 14110 )
NEW met1 ( 2101970 14110 ) ( 2119910 14110 )
NEW met2 ( 2191210 2380 0 ) ( 2191210 14110 )
NEW met2 ( 2173270 2380 0 ) ( 2173270 14110 )
NEW met1 ( 2173270 14110 ) ( 2191210 14110 )
NEW met1 ( 2155790 14110 ) ( 2173270 14110 )
NEW met1 ( 2191210 14110 ) ( 2209150 14110 )
NEW met2 ( 2298390 2380 0 ) ( 2298390 14110 )
NEW met2 ( 2280450 2380 0 ) ( 2280450 14110 )
NEW met1 ( 2280450 14110 ) ( 2298390 14110 )
NEW met2 ( 2262510 2380 0 ) ( 2262510 14110 )
NEW met1 ( 2262510 14110 ) ( 2280450 14110 )
NEW met1 ( 2245030 14110 ) ( 2262510 14110 )
NEW met1 ( 2298390 14110 ) ( 2316330 14110 )
NEW met2 ( 2369690 2380 0 ) ( 2369690 14110 )
NEW met2 ( 2387630 2380 0 ) ( 2387630 14110 )
NEW met1 ( 2369690 14110 ) ( 2387630 14110 )
NEW met1 ( 2351750 14110 ) ( 2369690 14110 )
NEW met1 ( 2387630 14110 ) ( 2405570 14110 )
NEW met2 ( 2458930 2380 0 ) ( 2458930 14110 )
NEW met2 ( 2476870 2380 0 ) ( 2476870 14110 )
NEW met1 ( 2458930 14110 ) ( 2476870 14110 )
NEW met2 ( 2494810 2380 0 ) ( 2494810 14110 )
NEW met1 ( 2476870 14110 ) ( 2494810 14110 )
NEW met1 ( 2440990 14110 ) ( 2458930 14110 )
NEW met1 ( 2494810 14110 ) ( 2512290 14110 )
NEW met2 ( 2584050 2380 0 ) ( 2584050 14110 )
NEW met2 ( 2566110 2380 0 ) ( 2566110 14110 )
NEW met1 ( 2566110 14110 ) ( 2584050 14110 )
NEW met2 ( 2548170 2380 0 ) ( 2548170 14110 )
NEW met1 ( 2548170 14110 ) ( 2566110 14110 )
NEW met1 ( 2530230 14110 ) ( 2548170 14110 )
NEW met1 ( 2584050 14110 ) ( 2601530 14110 )
NEW met2 ( 2655350 2380 0 ) ( 2655350 14110 )
NEW met2 ( 2672830 2380 0 ) ( 2672830 14110 )
NEW met1 ( 2655350 14110 ) ( 2672830 14110 )
NEW met2 ( 2690770 2380 0 ) ( 2690770 14110 )
NEW met1 ( 2672830 14110 ) ( 2690770 14110 )
NEW met1 ( 2637410 14110 ) ( 2655350 14110 )
NEW met1 ( 2690770 14110 ) ( 2708710 14110 )
NEW met2 ( 2780010 2380 0 ) ( 2780010 14110 )
NEW met2 ( 2762070 2380 0 ) ( 2762070 14110 )
NEW met1 ( 2762070 14110 ) ( 2780010 14110 )
NEW met2 ( 2744590 2380 0 ) ( 2744590 14110 )
NEW met1 ( 2744590 14110 ) ( 2762070 14110 )
NEW met1 ( 2726650 14110 ) ( 2744590 14110 )
NEW met1 ( 2780010 14110 ) ( 2797950 14110 )
NEW met2 ( 2851310 2380 0 ) ( 2851310 14110 )
NEW met2 ( 2869250 2380 0 ) ( 2869250 14110 )
NEW met1 ( 2851310 14110 ) ( 2869250 14110 )
NEW met1 ( 2833830 14110 ) ( 2851310 14110 )
NEW met1 ( 2869250 14110 ) ( 2887190 14110 )
NEW met2 ( 653890 14110 ) ( 653890 1681470 )
NEW met2 ( 1055930 1681470 ) ( 1055930 1683850 )
NEW met1 ( 653890 1681470 ) ( 1055930 1681470 )
NEW met2 ( 1085830 1680620 ) ( 1085830 1683850 )
NEW met2 ( 1085830 1680620 ) ( 1087210 1680620 0 )
NEW met1 ( 1055930 1683850 ) ( 1085830 1683850 )
NEW met1 ( 674590 14110 ) M1M2_PR
NEW met1 ( 692530 14110 ) M1M2_PR
NEW met1 ( 710470 14110 ) M1M2_PR
NEW met1 ( 799710 14110 ) M1M2_PR
NEW met1 ( 781770 14110 ) M1M2_PR
NEW met1 ( 763830 14110 ) M1M2_PR
NEW met1 ( 871010 14110 ) M1M2_PR
NEW met1 ( 888950 14110 ) M1M2_PR
NEW met1 ( 960250 14110 ) M1M2_PR
NEW met1 ( 978190 14110 ) M1M2_PR
NEW met1 ( 996130 14110 ) M1M2_PR
NEW met1 ( 1085370 14110 ) M1M2_PR
NEW met1 ( 1067430 14110 ) M1M2_PR
NEW met1 ( 1049490 14110 ) M1M2_PR
NEW met1 ( 1156670 14110 ) M1M2_PR
NEW met1 ( 1174150 14110 ) M1M2_PR
NEW met1 ( 1192090 14110 ) M1M2_PR
NEW met1 ( 1281330 14110 ) M1M2_PR
NEW met1 ( 1263390 14110 ) M1M2_PR
NEW met1 ( 1245910 14110 ) M1M2_PR
NEW met1 ( 1370570 14110 ) M1M2_PR
NEW met1 ( 1352630 14110 ) M1M2_PR
NEW met1 ( 1441870 14110 ) M1M2_PR
NEW met1 ( 1459810 14110 ) M1M2_PR
NEW met1 ( 1477750 14110 ) M1M2_PR
NEW met1 ( 1549050 14110 ) M1M2_PR
NEW met1 ( 1566990 14110 ) M1M2_PR
NEW met1 ( 1638290 14110 ) M1M2_PR
NEW met1 ( 1656230 14110 ) M1M2_PR
NEW met1 ( 1673710 14110 ) M1M2_PR
NEW met1 ( 1762950 14110 ) M1M2_PR
NEW met1 ( 1745470 14110 ) M1M2_PR
NEW met1 ( 1727530 14110 ) M1M2_PR
NEW met1 ( 1852190 14110 ) M1M2_PR
NEW met1 ( 1834710 14110 ) M1M2_PR
NEW met1 ( 1959370 14110 ) M1M2_PR
NEW met1 ( 1941430 14110 ) M1M2_PR
NEW met1 ( 1923490 14110 ) M1M2_PR
NEW met1 ( 2030670 14110 ) M1M2_PR
NEW met1 ( 2048610 14110 ) M1M2_PR
NEW met1 ( 2119910 14110 ) M1M2_PR
NEW met1 ( 2137850 14110 ) M1M2_PR
NEW met1 ( 2155790 14110 ) M1M2_PR
NEW met1 ( 2245030 14110 ) M1M2_PR
NEW met1 ( 2227090 14110 ) M1M2_PR
NEW met1 ( 2209150 14110 ) M1M2_PR
NEW met1 ( 2316330 14110 ) M1M2_PR
NEW met1 ( 2334270 14110 ) M1M2_PR
NEW met1 ( 2351750 14110 ) M1M2_PR
NEW met1 ( 2440990 14110 ) M1M2_PR
NEW met1 ( 2423050 14110 ) M1M2_PR
NEW met1 ( 2405570 14110 ) M1M2_PR
NEW met1 ( 2530230 14110 ) M1M2_PR
NEW met1 ( 2512290 14110 ) M1M2_PR
NEW met1 ( 2601530 14110 ) M1M2_PR
NEW met1 ( 2619470 14110 ) M1M2_PR
NEW met1 ( 2637410 14110 ) M1M2_PR
NEW met1 ( 2708710 14110 ) M1M2_PR
NEW met1 ( 2726650 14110 ) M1M2_PR
NEW met1 ( 2797950 14110 ) M1M2_PR
NEW met1 ( 2815890 14110 ) M1M2_PR
NEW met1 ( 2833830 14110 ) M1M2_PR
NEW met1 ( 2887190 14110 ) M1M2_PR
NEW met1 ( 2905130 14110 ) M1M2_PR
NEW met1 ( 657110 2890 ) M1M2_PR
NEW met1 ( 639170 2890 ) M1M2_PR
NEW met1 ( 657110 14110 ) M1M2_PR
NEW met1 ( 653890 14110 ) M1M2_PR
NEW met1 ( 728410 14110 ) M1M2_PR
NEW met1 ( 746350 14110 ) M1M2_PR
NEW met1 ( 817650 14110 ) M1M2_PR
NEW met1 ( 835590 14110 ) M1M2_PR
NEW met1 ( 853070 14110 ) M1M2_PR
NEW met1 ( 942310 14110 ) M1M2_PR
NEW met1 ( 924370 14110 ) M1M2_PR
NEW met1 ( 906890 14110 ) M1M2_PR
NEW met1 ( 1031550 14110 ) M1M2_PR
NEW met1 ( 1013610 14110 ) M1M2_PR
NEW met1 ( 1138730 14110 ) M1M2_PR
NEW met1 ( 1120790 14110 ) M1M2_PR
NEW met1 ( 1102850 14110 ) M1M2_PR
NEW met1 ( 1210030 14110 ) M1M2_PR
NEW met1 ( 1227970 14110 ) M1M2_PR
NEW met1 ( 1299270 14110 ) M1M2_PR
NEW met1 ( 1317210 14110 ) M1M2_PR
NEW met1 ( 1335150 14110 ) M1M2_PR
NEW met1 ( 1423930 14110 ) M1M2_PR
NEW met1 ( 1406450 14110 ) M1M2_PR
NEW met1 ( 1388510 14110 ) M1M2_PR
NEW met1 ( 1495690 14110 ) M1M2_PR
NEW met1 ( 1513170 14110 ) M1M2_PR
NEW met1 ( 1531110 14110 ) M1M2_PR
NEW met1 ( 1620350 14110 ) M1M2_PR
NEW met1 ( 1602410 14110 ) M1M2_PR
NEW met1 ( 1584930 14110 ) M1M2_PR
NEW met1 ( 1709590 14110 ) M1M2_PR
NEW met1 ( 1691650 14110 ) M1M2_PR
NEW met1 ( 1780890 14110 ) M1M2_PR
NEW met1 ( 1798830 14110 ) M1M2_PR
NEW met1 ( 1816770 14110 ) M1M2_PR
NEW met1 ( 1906010 14110 ) M1M2_PR
NEW met1 ( 1888070 14110 ) M1M2_PR
NEW met1 ( 1870130 14110 ) M1M2_PR
NEW met1 ( 1977310 14110 ) M1M2_PR
NEW met1 ( 1995250 14110 ) M1M2_PR
NEW met1 ( 2012730 14110 ) M1M2_PR
NEW met1 ( 2101970 14110 ) M1M2_PR
NEW met1 ( 2084490 14110 ) M1M2_PR
NEW met1 ( 2066550 14110 ) M1M2_PR
NEW met1 ( 2191210 14110 ) M1M2_PR
NEW met1 ( 2173270 14110 ) M1M2_PR
NEW met1 ( 2298390 14110 ) M1M2_PR
NEW met1 ( 2280450 14110 ) M1M2_PR
NEW met1 ( 2262510 14110 ) M1M2_PR
NEW met1 ( 2369690 14110 ) M1M2_PR
NEW met1 ( 2387630 14110 ) M1M2_PR
NEW met1 ( 2458930 14110 ) M1M2_PR
NEW met1 ( 2476870 14110 ) M1M2_PR
NEW met1 ( 2494810 14110 ) M1M2_PR
NEW met1 ( 2584050 14110 ) M1M2_PR
NEW met1 ( 2566110 14110 ) M1M2_PR
NEW met1 ( 2548170 14110 ) M1M2_PR
NEW met1 ( 2655350 14110 ) M1M2_PR
NEW met1 ( 2672830 14110 ) M1M2_PR
NEW met1 ( 2690770 14110 ) M1M2_PR
NEW met1 ( 2780010 14110 ) M1M2_PR
NEW met1 ( 2762070 14110 ) M1M2_PR
NEW met1 ( 2744590 14110 ) M1M2_PR
NEW met1 ( 2851310 14110 ) M1M2_PR
NEW met1 ( 2869250 14110 ) M1M2_PR
NEW met1 ( 653890 1681470 ) M1M2_PR
NEW met1 ( 1055930 1681470 ) M1M2_PR
NEW met1 ( 1055930 1683850 ) M1M2_PR
NEW met1 ( 1085830 1683850 ) M1M2_PR
+ USE SIGNAL ;
END NETS
END DESIGN