blob: 820a195e1bc65829e4268e3c6ceb135ae0c747f9 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO digital_pll
CLASS BLOCK ;
FOREIGN digital_pll ;
ORIGIN 0.000 0.000 ;
SIZE 226.840 BY 226.960 ;
PIN clockp[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 157.210 179.045 157.490 183.045 ;
RECT 157.280 172.960 157.420 179.045 ;
END
END clockp[0]
PIN clockp[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 120.020 52.690 120.160 54.000 ;
RECT 120.020 52.550 120.620 52.690 ;
RECT 120.480 48.120 120.620 52.550 ;
RECT 120.410 44.120 120.690 48.120 ;
END
END clockp[1]
PIN dco
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 63.440 48.120 63.580 54.000 ;
RECT 63.370 44.120 63.650 48.120 ;
END
END dco
PIN div[0]
DIRECTION INPUT ;
PORT
LAYER met1 ;
RECT 52.310 69.860 52.630 69.920 ;
RECT 52.310 69.720 54.000 69.860 ;
RECT 52.310 69.660 52.630 69.720 ;
LAYER via ;
RECT 52.340 69.660 52.600 69.920 ;
LAYER met2 ;
RECT 52.340 69.630 52.600 69.950 ;
RECT 52.400 48.120 52.540 69.630 ;
RECT 52.330 44.120 52.610 48.120 ;
END
END div[0]
PIN div[1]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 99.010 53.480 99.160 ;
RECT 49.480 98.710 54.000 99.010 ;
RECT 49.480 98.560 53.480 98.710 ;
END
END div[1]
PIN div[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 154.520 48.120 154.660 54.000 ;
RECT 154.450 44.120 154.730 48.120 ;
END
END div[2]
PIN div[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 153.140 52.805 153.280 54.000 ;
RECT 153.070 52.435 153.350 52.805 ;
LAYER via2 ;
RECT 153.070 52.480 153.350 52.760 ;
LAYER met3 ;
RECT 153.045 52.770 153.375 52.785 ;
RECT 173.685 52.770 177.685 52.920 ;
RECT 153.045 52.470 177.685 52.770 ;
RECT 153.045 52.455 153.375 52.470 ;
RECT 173.685 52.320 177.685 52.470 ;
END
END div[3]
PIN div[4]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 65.010 53.480 65.160 ;
RECT 49.480 64.710 54.000 65.010 ;
RECT 49.480 64.560 53.480 64.710 ;
END
END div[4]
PIN enable
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 111.210 179.045 111.490 183.045 ;
RECT 111.280 178.490 111.420 179.045 ;
RECT 110.360 178.350 111.420 178.490 ;
RECT 110.360 172.960 110.500 178.350 ;
END
END enable
PIN ext_trim[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 77.170 179.045 77.450 183.045 ;
RECT 77.240 172.960 77.380 179.045 ;
END
END ext_trim[0]
PIN ext_trim[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 134.210 179.045 134.490 183.045 ;
RECT 134.280 172.960 134.420 179.045 ;
END
END ext_trim[10]
PIN ext_trim[11]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 131.650 53.480 131.800 ;
RECT 49.480 131.350 54.000 131.650 ;
RECT 49.480 131.200 53.480 131.350 ;
END
END ext_trim[11]
PIN ext_trim[12]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 69.090 177.685 69.240 ;
RECT 172.840 68.790 177.685 69.090 ;
RECT 173.685 68.640 177.685 68.790 ;
END
END ext_trim[12]
PIN ext_trim[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 165.560 48.120 165.700 54.000 ;
RECT 165.490 44.120 165.770 48.120 ;
END
END ext_trim[13]
PIN ext_trim[14]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 115.330 53.480 115.480 ;
RECT 49.480 115.030 54.000 115.330 ;
RECT 49.480 114.880 53.480 115.030 ;
END
END ext_trim[14]
PIN ext_trim[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 74.480 48.120 74.620 54.000 ;
RECT 74.410 44.120 74.690 48.120 ;
END
END ext_trim[15]
PIN ext_trim[16]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 153.410 177.685 153.560 ;
RECT 172.840 153.110 177.685 153.410 ;
RECT 173.685 152.960 177.685 153.110 ;
END
END ext_trim[16]
PIN ext_trim[17]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 149.330 53.480 149.480 ;
RECT 49.480 149.030 54.000 149.330 ;
RECT 49.480 148.880 53.480 149.030 ;
END
END ext_trim[17]
PIN ext_trim[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 168.250 179.045 168.530 183.045 ;
RECT 168.320 172.960 168.460 179.045 ;
END
END ext_trim[18]
PIN ext_trim[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 100.170 179.045 100.450 183.045 ;
RECT 100.240 172.960 100.380 179.045 ;
END
END ext_trim[19]
PIN ext_trim[1]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 137.090 177.685 137.240 ;
RECT 172.840 136.790 177.685 137.090 ;
RECT 173.685 136.640 177.685 136.790 ;
END
END ext_trim[1]
PIN ext_trim[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 143.480 48.120 143.620 54.000 ;
RECT 143.410 44.120 143.690 48.120 ;
END
END ext_trim[20]
PIN ext_trim[21]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 165.650 53.480 165.800 ;
RECT 49.480 165.350 54.000 165.650 ;
RECT 49.480 165.200 53.480 165.350 ;
END
END ext_trim[21]
PIN ext_trim[22]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 119.410 177.685 119.560 ;
RECT 172.840 119.110 177.685 119.410 ;
RECT 173.685 118.960 177.685 119.110 ;
END
END ext_trim[22]
PIN ext_trim[23]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 49.480 81.330 53.480 81.480 ;
RECT 49.480 81.030 54.000 81.330 ;
RECT 49.480 80.880 53.480 81.030 ;
END
END ext_trim[23]
PIN ext_trim[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 108.520 48.120 108.660 54.000 ;
RECT 108.450 44.120 108.730 48.120 ;
END
END ext_trim[24]
PIN ext_trim[25]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 85.410 177.685 85.560 ;
RECT 172.840 85.110 177.685 85.410 ;
RECT 173.685 84.960 177.685 85.110 ;
END
END ext_trim[25]
PIN ext_trim[2]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 103.090 177.685 103.240 ;
RECT 172.840 102.790 177.685 103.090 ;
RECT 173.685 102.640 177.685 102.790 ;
END
END ext_trim[2]
PIN ext_trim[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 66.130 179.045 66.410 183.045 ;
RECT 66.200 172.960 66.340 179.045 ;
END
END ext_trim[3]
PIN ext_trim[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 146.170 179.045 146.450 183.045 ;
RECT 146.240 173.050 146.380 179.045 ;
RECT 146.240 172.960 147.760 173.050 ;
END
END ext_trim[4]
PIN ext_trim[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 131.520 48.120 131.660 54.000 ;
RECT 131.450 44.120 131.730 48.120 ;
END
END ext_trim[5]
PIN ext_trim[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 123.170 179.045 123.450 183.045 ;
RECT 123.240 172.960 123.380 179.045 ;
END
END ext_trim[6]
PIN ext_trim[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 55.090 179.045 55.370 183.045 ;
RECT 55.160 172.960 55.300 179.045 ;
END
END ext_trim[7]
PIN ext_trim[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 89.130 179.045 89.410 183.045 ;
RECT 89.200 172.960 89.340 179.045 ;
END
END ext_trim[8]
PIN ext_trim[9]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 173.685 169.730 177.685 169.880 ;
RECT 172.840 169.430 177.685 169.730 ;
RECT 173.685 169.280 177.685 169.430 ;
END
END ext_trim[9]
PIN osc
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 97.480 48.120 97.620 54.000 ;
RECT 97.410 44.120 97.690 48.120 ;
END
END osc
PIN resetb
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 86.440 48.120 86.580 54.000 ;
RECT 86.370 44.120 86.650 48.120 ;
END
END resetb
PIN VPWR
DIRECTION INPUT ;
USE POWER ;
PORT
LAYER met3 ;
RECT 25.000 181.960 201.840 201.960 ;
RECT 25.000 25.000 201.840 45.000 ;
LAYER via3 ;
RECT 25.040 201.600 25.360 201.920 ;
RECT 25.440 201.600 25.760 201.920 ;
RECT 25.840 201.600 26.160 201.920 ;
RECT 26.240 201.600 26.560 201.920 ;
RECT 26.640 201.600 26.960 201.920 ;
RECT 27.040 201.600 27.360 201.920 ;
RECT 27.440 201.600 27.760 201.920 ;
RECT 27.840 201.600 28.160 201.920 ;
RECT 28.240 201.600 28.560 201.920 ;
RECT 28.640 201.600 28.960 201.920 ;
RECT 29.040 201.600 29.360 201.920 ;
RECT 29.440 201.600 29.760 201.920 ;
RECT 29.840 201.600 30.160 201.920 ;
RECT 30.240 201.600 30.560 201.920 ;
RECT 30.640 201.600 30.960 201.920 ;
RECT 31.040 201.600 31.360 201.920 ;
RECT 31.440 201.600 31.760 201.920 ;
RECT 31.840 201.600 32.160 201.920 ;
RECT 32.240 201.600 32.560 201.920 ;
RECT 32.640 201.600 32.960 201.920 ;
RECT 33.040 201.600 33.360 201.920 ;
RECT 33.440 201.600 33.760 201.920 ;
RECT 33.840 201.600 34.160 201.920 ;
RECT 34.240 201.600 34.560 201.920 ;
RECT 34.640 201.600 34.960 201.920 ;
RECT 35.040 201.600 35.360 201.920 ;
RECT 35.440 201.600 35.760 201.920 ;
RECT 35.840 201.600 36.160 201.920 ;
RECT 36.240 201.600 36.560 201.920 ;
RECT 36.640 201.600 36.960 201.920 ;
RECT 37.040 201.600 37.360 201.920 ;
RECT 37.440 201.600 37.760 201.920 ;
RECT 37.840 201.600 38.160 201.920 ;
RECT 38.240 201.600 38.560 201.920 ;
RECT 38.640 201.600 38.960 201.920 ;
RECT 39.040 201.600 39.360 201.920 ;
RECT 39.440 201.600 39.760 201.920 ;
RECT 39.840 201.600 40.160 201.920 ;
RECT 40.240 201.600 40.560 201.920 ;
RECT 40.640 201.600 40.960 201.920 ;
RECT 41.040 201.600 41.360 201.920 ;
RECT 41.440 201.600 41.760 201.920 ;
RECT 41.840 201.600 42.160 201.920 ;
RECT 42.240 201.600 42.560 201.920 ;
RECT 42.640 201.600 42.960 201.920 ;
RECT 43.040 201.600 43.360 201.920 ;
RECT 43.440 201.600 43.760 201.920 ;
RECT 43.840 201.600 44.160 201.920 ;
RECT 44.240 201.600 44.560 201.920 ;
RECT 44.640 201.600 44.960 201.920 ;
RECT 70.560 201.600 70.880 201.920 ;
RECT 70.960 201.600 71.280 201.920 ;
RECT 71.360 201.600 71.680 201.920 ;
RECT 71.760 201.600 72.080 201.920 ;
RECT 120.560 201.600 120.880 201.920 ;
RECT 120.960 201.600 121.280 201.920 ;
RECT 121.360 201.600 121.680 201.920 ;
RECT 121.760 201.600 122.080 201.920 ;
RECT 181.880 201.600 182.200 201.920 ;
RECT 182.280 201.600 182.600 201.920 ;
RECT 182.680 201.600 183.000 201.920 ;
RECT 183.080 201.600 183.400 201.920 ;
RECT 183.480 201.600 183.800 201.920 ;
RECT 183.880 201.600 184.200 201.920 ;
RECT 184.280 201.600 184.600 201.920 ;
RECT 184.680 201.600 185.000 201.920 ;
RECT 185.080 201.600 185.400 201.920 ;
RECT 185.480 201.600 185.800 201.920 ;
RECT 185.880 201.600 186.200 201.920 ;
RECT 186.280 201.600 186.600 201.920 ;
RECT 186.680 201.600 187.000 201.920 ;
RECT 187.080 201.600 187.400 201.920 ;
RECT 187.480 201.600 187.800 201.920 ;
RECT 187.880 201.600 188.200 201.920 ;
RECT 188.280 201.600 188.600 201.920 ;
RECT 188.680 201.600 189.000 201.920 ;
RECT 189.080 201.600 189.400 201.920 ;
RECT 189.480 201.600 189.800 201.920 ;
RECT 189.880 201.600 190.200 201.920 ;
RECT 190.280 201.600 190.600 201.920 ;
RECT 190.680 201.600 191.000 201.920 ;
RECT 191.080 201.600 191.400 201.920 ;
RECT 191.480 201.600 191.800 201.920 ;
RECT 191.880 201.600 192.200 201.920 ;
RECT 192.280 201.600 192.600 201.920 ;
RECT 192.680 201.600 193.000 201.920 ;
RECT 193.080 201.600 193.400 201.920 ;
RECT 193.480 201.600 193.800 201.920 ;
RECT 193.880 201.600 194.200 201.920 ;
RECT 194.280 201.600 194.600 201.920 ;
RECT 194.680 201.600 195.000 201.920 ;
RECT 195.080 201.600 195.400 201.920 ;
RECT 195.480 201.600 195.800 201.920 ;
RECT 195.880 201.600 196.200 201.920 ;
RECT 196.280 201.600 196.600 201.920 ;
RECT 196.680 201.600 197.000 201.920 ;
RECT 197.080 201.600 197.400 201.920 ;
RECT 197.480 201.600 197.800 201.920 ;
RECT 197.880 201.600 198.200 201.920 ;
RECT 198.280 201.600 198.600 201.920 ;
RECT 198.680 201.600 199.000 201.920 ;
RECT 199.080 201.600 199.400 201.920 ;
RECT 199.480 201.600 199.800 201.920 ;
RECT 199.880 201.600 200.200 201.920 ;
RECT 200.280 201.600 200.600 201.920 ;
RECT 200.680 201.600 201.000 201.920 ;
RECT 201.080 201.600 201.400 201.920 ;
RECT 201.480 201.600 201.800 201.920 ;
RECT 25.040 201.200 25.360 201.520 ;
RECT 25.440 201.200 25.760 201.520 ;
RECT 25.840 201.200 26.160 201.520 ;
RECT 26.240 201.200 26.560 201.520 ;
RECT 26.640 201.200 26.960 201.520 ;
RECT 27.040 201.200 27.360 201.520 ;
RECT 27.440 201.200 27.760 201.520 ;
RECT 27.840 201.200 28.160 201.520 ;
RECT 28.240 201.200 28.560 201.520 ;
RECT 28.640 201.200 28.960 201.520 ;
RECT 29.040 201.200 29.360 201.520 ;
RECT 29.440 201.200 29.760 201.520 ;
RECT 29.840 201.200 30.160 201.520 ;
RECT 30.240 201.200 30.560 201.520 ;
RECT 30.640 201.200 30.960 201.520 ;
RECT 31.040 201.200 31.360 201.520 ;
RECT 31.440 201.200 31.760 201.520 ;
RECT 31.840 201.200 32.160 201.520 ;
RECT 32.240 201.200 32.560 201.520 ;
RECT 32.640 201.200 32.960 201.520 ;
RECT 33.040 201.200 33.360 201.520 ;
RECT 33.440 201.200 33.760 201.520 ;
RECT 33.840 201.200 34.160 201.520 ;
RECT 34.240 201.200 34.560 201.520 ;
RECT 34.640 201.200 34.960 201.520 ;
RECT 35.040 201.200 35.360 201.520 ;
RECT 35.440 201.200 35.760 201.520 ;
RECT 35.840 201.200 36.160 201.520 ;
RECT 36.240 201.200 36.560 201.520 ;
RECT 36.640 201.200 36.960 201.520 ;
RECT 37.040 201.200 37.360 201.520 ;
RECT 37.440 201.200 37.760 201.520 ;
RECT 37.840 201.200 38.160 201.520 ;
RECT 38.240 201.200 38.560 201.520 ;
RECT 38.640 201.200 38.960 201.520 ;
RECT 39.040 201.200 39.360 201.520 ;
RECT 39.440 201.200 39.760 201.520 ;
RECT 39.840 201.200 40.160 201.520 ;
RECT 40.240 201.200 40.560 201.520 ;
RECT 40.640 201.200 40.960 201.520 ;
RECT 41.040 201.200 41.360 201.520 ;
RECT 41.440 201.200 41.760 201.520 ;
RECT 41.840 201.200 42.160 201.520 ;
RECT 42.240 201.200 42.560 201.520 ;
RECT 42.640 201.200 42.960 201.520 ;
RECT 43.040 201.200 43.360 201.520 ;
RECT 43.440 201.200 43.760 201.520 ;
RECT 43.840 201.200 44.160 201.520 ;
RECT 44.240 201.200 44.560 201.520 ;
RECT 44.640 201.200 44.960 201.520 ;
RECT 70.560 201.200 70.880 201.520 ;
RECT 70.960 201.200 71.280 201.520 ;
RECT 71.360 201.200 71.680 201.520 ;
RECT 71.760 201.200 72.080 201.520 ;
RECT 120.560 201.200 120.880 201.520 ;
RECT 120.960 201.200 121.280 201.520 ;
RECT 121.360 201.200 121.680 201.520 ;
RECT 121.760 201.200 122.080 201.520 ;
RECT 181.880 201.200 182.200 201.520 ;
RECT 182.280 201.200 182.600 201.520 ;
RECT 182.680 201.200 183.000 201.520 ;
RECT 183.080 201.200 183.400 201.520 ;
RECT 183.480 201.200 183.800 201.520 ;
RECT 183.880 201.200 184.200 201.520 ;
RECT 184.280 201.200 184.600 201.520 ;
RECT 184.680 201.200 185.000 201.520 ;
RECT 185.080 201.200 185.400 201.520 ;
RECT 185.480 201.200 185.800 201.520 ;
RECT 185.880 201.200 186.200 201.520 ;
RECT 186.280 201.200 186.600 201.520 ;
RECT 186.680 201.200 187.000 201.520 ;
RECT 187.080 201.200 187.400 201.520 ;
RECT 187.480 201.200 187.800 201.520 ;
RECT 187.880 201.200 188.200 201.520 ;
RECT 188.280 201.200 188.600 201.520 ;
RECT 188.680 201.200 189.000 201.520 ;
RECT 189.080 201.200 189.400 201.520 ;
RECT 189.480 201.200 189.800 201.520 ;
RECT 189.880 201.200 190.200 201.520 ;
RECT 190.280 201.200 190.600 201.520 ;
RECT 190.680 201.200 191.000 201.520 ;
RECT 191.080 201.200 191.400 201.520 ;
RECT 191.480 201.200 191.800 201.520 ;
RECT 191.880 201.200 192.200 201.520 ;
RECT 192.280 201.200 192.600 201.520 ;
RECT 192.680 201.200 193.000 201.520 ;
RECT 193.080 201.200 193.400 201.520 ;
RECT 193.480 201.200 193.800 201.520 ;
RECT 193.880 201.200 194.200 201.520 ;
RECT 194.280 201.200 194.600 201.520 ;
RECT 194.680 201.200 195.000 201.520 ;
RECT 195.080 201.200 195.400 201.520 ;
RECT 195.480 201.200 195.800 201.520 ;
RECT 195.880 201.200 196.200 201.520 ;
RECT 196.280 201.200 196.600 201.520 ;
RECT 196.680 201.200 197.000 201.520 ;
RECT 197.080 201.200 197.400 201.520 ;
RECT 197.480 201.200 197.800 201.520 ;
RECT 197.880 201.200 198.200 201.520 ;
RECT 198.280 201.200 198.600 201.520 ;
RECT 198.680 201.200 199.000 201.520 ;
RECT 199.080 201.200 199.400 201.520 ;
RECT 199.480 201.200 199.800 201.520 ;
RECT 199.880 201.200 200.200 201.520 ;
RECT 200.280 201.200 200.600 201.520 ;
RECT 200.680 201.200 201.000 201.520 ;
RECT 201.080 201.200 201.400 201.520 ;
RECT 201.480 201.200 201.800 201.520 ;
RECT 25.040 200.800 25.360 201.120 ;
RECT 25.440 200.800 25.760 201.120 ;
RECT 25.840 200.800 26.160 201.120 ;
RECT 26.240 200.800 26.560 201.120 ;
RECT 26.640 200.800 26.960 201.120 ;
RECT 27.040 200.800 27.360 201.120 ;
RECT 27.440 200.800 27.760 201.120 ;
RECT 27.840 200.800 28.160 201.120 ;
RECT 28.240 200.800 28.560 201.120 ;
RECT 28.640 200.800 28.960 201.120 ;
RECT 29.040 200.800 29.360 201.120 ;
RECT 29.440 200.800 29.760 201.120 ;
RECT 29.840 200.800 30.160 201.120 ;
RECT 30.240 200.800 30.560 201.120 ;
RECT 30.640 200.800 30.960 201.120 ;
RECT 31.040 200.800 31.360 201.120 ;
RECT 31.440 200.800 31.760 201.120 ;
RECT 31.840 200.800 32.160 201.120 ;
RECT 32.240 200.800 32.560 201.120 ;
RECT 32.640 200.800 32.960 201.120 ;
RECT 33.040 200.800 33.360 201.120 ;
RECT 33.440 200.800 33.760 201.120 ;
RECT 33.840 200.800 34.160 201.120 ;
RECT 34.240 200.800 34.560 201.120 ;
RECT 34.640 200.800 34.960 201.120 ;
RECT 35.040 200.800 35.360 201.120 ;
RECT 35.440 200.800 35.760 201.120 ;
RECT 35.840 200.800 36.160 201.120 ;
RECT 36.240 200.800 36.560 201.120 ;
RECT 36.640 200.800 36.960 201.120 ;
RECT 37.040 200.800 37.360 201.120 ;
RECT 37.440 200.800 37.760 201.120 ;
RECT 37.840 200.800 38.160 201.120 ;
RECT 38.240 200.800 38.560 201.120 ;
RECT 38.640 200.800 38.960 201.120 ;
RECT 39.040 200.800 39.360 201.120 ;
RECT 39.440 200.800 39.760 201.120 ;
RECT 39.840 200.800 40.160 201.120 ;
RECT 40.240 200.800 40.560 201.120 ;
RECT 40.640 200.800 40.960 201.120 ;
RECT 41.040 200.800 41.360 201.120 ;
RECT 41.440 200.800 41.760 201.120 ;
RECT 41.840 200.800 42.160 201.120 ;
RECT 42.240 200.800 42.560 201.120 ;
RECT 42.640 200.800 42.960 201.120 ;
RECT 43.040 200.800 43.360 201.120 ;
RECT 43.440 200.800 43.760 201.120 ;
RECT 43.840 200.800 44.160 201.120 ;
RECT 44.240 200.800 44.560 201.120 ;
RECT 44.640 200.800 44.960 201.120 ;
RECT 70.560 200.800 70.880 201.120 ;
RECT 70.960 200.800 71.280 201.120 ;
RECT 71.360 200.800 71.680 201.120 ;
RECT 71.760 200.800 72.080 201.120 ;
RECT 120.560 200.800 120.880 201.120 ;
RECT 120.960 200.800 121.280 201.120 ;
RECT 121.360 200.800 121.680 201.120 ;
RECT 121.760 200.800 122.080 201.120 ;
RECT 181.880 200.800 182.200 201.120 ;
RECT 182.280 200.800 182.600 201.120 ;
RECT 182.680 200.800 183.000 201.120 ;
RECT 183.080 200.800 183.400 201.120 ;
RECT 183.480 200.800 183.800 201.120 ;
RECT 183.880 200.800 184.200 201.120 ;
RECT 184.280 200.800 184.600 201.120 ;
RECT 184.680 200.800 185.000 201.120 ;
RECT 185.080 200.800 185.400 201.120 ;
RECT 185.480 200.800 185.800 201.120 ;
RECT 185.880 200.800 186.200 201.120 ;
RECT 186.280 200.800 186.600 201.120 ;
RECT 186.680 200.800 187.000 201.120 ;
RECT 187.080 200.800 187.400 201.120 ;
RECT 187.480 200.800 187.800 201.120 ;
RECT 187.880 200.800 188.200 201.120 ;
RECT 188.280 200.800 188.600 201.120 ;
RECT 188.680 200.800 189.000 201.120 ;
RECT 189.080 200.800 189.400 201.120 ;
RECT 189.480 200.800 189.800 201.120 ;
RECT 189.880 200.800 190.200 201.120 ;
RECT 190.280 200.800 190.600 201.120 ;
RECT 190.680 200.800 191.000 201.120 ;
RECT 191.080 200.800 191.400 201.120 ;
RECT 191.480 200.800 191.800 201.120 ;
RECT 191.880 200.800 192.200 201.120 ;
RECT 192.280 200.800 192.600 201.120 ;
RECT 192.680 200.800 193.000 201.120 ;
RECT 193.080 200.800 193.400 201.120 ;
RECT 193.480 200.800 193.800 201.120 ;
RECT 193.880 200.800 194.200 201.120 ;
RECT 194.280 200.800 194.600 201.120 ;
RECT 194.680 200.800 195.000 201.120 ;
RECT 195.080 200.800 195.400 201.120 ;
RECT 195.480 200.800 195.800 201.120 ;
RECT 195.880 200.800 196.200 201.120 ;
RECT 196.280 200.800 196.600 201.120 ;
RECT 196.680 200.800 197.000 201.120 ;
RECT 197.080 200.800 197.400 201.120 ;
RECT 197.480 200.800 197.800 201.120 ;
RECT 197.880 200.800 198.200 201.120 ;
RECT 198.280 200.800 198.600 201.120 ;
RECT 198.680 200.800 199.000 201.120 ;
RECT 199.080 200.800 199.400 201.120 ;
RECT 199.480 200.800 199.800 201.120 ;
RECT 199.880 200.800 200.200 201.120 ;
RECT 200.280 200.800 200.600 201.120 ;
RECT 200.680 200.800 201.000 201.120 ;
RECT 201.080 200.800 201.400 201.120 ;
RECT 201.480 200.800 201.800 201.120 ;
RECT 25.040 200.400 25.360 200.720 ;
RECT 25.440 200.400 25.760 200.720 ;
RECT 25.840 200.400 26.160 200.720 ;
RECT 26.240 200.400 26.560 200.720 ;
RECT 26.640 200.400 26.960 200.720 ;
RECT 27.040 200.400 27.360 200.720 ;
RECT 27.440 200.400 27.760 200.720 ;
RECT 27.840 200.400 28.160 200.720 ;
RECT 28.240 200.400 28.560 200.720 ;
RECT 28.640 200.400 28.960 200.720 ;
RECT 29.040 200.400 29.360 200.720 ;
RECT 29.440 200.400 29.760 200.720 ;
RECT 29.840 200.400 30.160 200.720 ;
RECT 30.240 200.400 30.560 200.720 ;
RECT 30.640 200.400 30.960 200.720 ;
RECT 31.040 200.400 31.360 200.720 ;
RECT 31.440 200.400 31.760 200.720 ;
RECT 31.840 200.400 32.160 200.720 ;
RECT 32.240 200.400 32.560 200.720 ;
RECT 32.640 200.400 32.960 200.720 ;
RECT 33.040 200.400 33.360 200.720 ;
RECT 33.440 200.400 33.760 200.720 ;
RECT 33.840 200.400 34.160 200.720 ;
RECT 34.240 200.400 34.560 200.720 ;
RECT 34.640 200.400 34.960 200.720 ;
RECT 35.040 200.400 35.360 200.720 ;
RECT 35.440 200.400 35.760 200.720 ;
RECT 35.840 200.400 36.160 200.720 ;
RECT 36.240 200.400 36.560 200.720 ;
RECT 36.640 200.400 36.960 200.720 ;
RECT 37.040 200.400 37.360 200.720 ;
RECT 37.440 200.400 37.760 200.720 ;
RECT 37.840 200.400 38.160 200.720 ;
RECT 38.240 200.400 38.560 200.720 ;
RECT 38.640 200.400 38.960 200.720 ;
RECT 39.040 200.400 39.360 200.720 ;
RECT 39.440 200.400 39.760 200.720 ;
RECT 39.840 200.400 40.160 200.720 ;
RECT 40.240 200.400 40.560 200.720 ;
RECT 40.640 200.400 40.960 200.720 ;
RECT 41.040 200.400 41.360 200.720 ;
RECT 41.440 200.400 41.760 200.720 ;
RECT 41.840 200.400 42.160 200.720 ;
RECT 42.240 200.400 42.560 200.720 ;
RECT 42.640 200.400 42.960 200.720 ;
RECT 43.040 200.400 43.360 200.720 ;
RECT 43.440 200.400 43.760 200.720 ;
RECT 43.840 200.400 44.160 200.720 ;
RECT 44.240 200.400 44.560 200.720 ;
RECT 44.640 200.400 44.960 200.720 ;
RECT 70.560 200.400 70.880 200.720 ;
RECT 70.960 200.400 71.280 200.720 ;
RECT 71.360 200.400 71.680 200.720 ;
RECT 71.760 200.400 72.080 200.720 ;
RECT 120.560 200.400 120.880 200.720 ;
RECT 120.960 200.400 121.280 200.720 ;
RECT 121.360 200.400 121.680 200.720 ;
RECT 121.760 200.400 122.080 200.720 ;
RECT 181.880 200.400 182.200 200.720 ;
RECT 182.280 200.400 182.600 200.720 ;
RECT 182.680 200.400 183.000 200.720 ;
RECT 183.080 200.400 183.400 200.720 ;
RECT 183.480 200.400 183.800 200.720 ;
RECT 183.880 200.400 184.200 200.720 ;
RECT 184.280 200.400 184.600 200.720 ;
RECT 184.680 200.400 185.000 200.720 ;
RECT 185.080 200.400 185.400 200.720 ;
RECT 185.480 200.400 185.800 200.720 ;
RECT 185.880 200.400 186.200 200.720 ;
RECT 186.280 200.400 186.600 200.720 ;
RECT 186.680 200.400 187.000 200.720 ;
RECT 187.080 200.400 187.400 200.720 ;
RECT 187.480 200.400 187.800 200.720 ;
RECT 187.880 200.400 188.200 200.720 ;
RECT 188.280 200.400 188.600 200.720 ;
RECT 188.680 200.400 189.000 200.720 ;
RECT 189.080 200.400 189.400 200.720 ;
RECT 189.480 200.400 189.800 200.720 ;
RECT 189.880 200.400 190.200 200.720 ;
RECT 190.280 200.400 190.600 200.720 ;
RECT 190.680 200.400 191.000 200.720 ;
RECT 191.080 200.400 191.400 200.720 ;
RECT 191.480 200.400 191.800 200.720 ;
RECT 191.880 200.400 192.200 200.720 ;
RECT 192.280 200.400 192.600 200.720 ;
RECT 192.680 200.400 193.000 200.720 ;
RECT 193.080 200.400 193.400 200.720 ;
RECT 193.480 200.400 193.800 200.720 ;
RECT 193.880 200.400 194.200 200.720 ;
RECT 194.280 200.400 194.600 200.720 ;
RECT 194.680 200.400 195.000 200.720 ;
RECT 195.080 200.400 195.400 200.720 ;
RECT 195.480 200.400 195.800 200.720 ;
RECT 195.880 200.400 196.200 200.720 ;
RECT 196.280 200.400 196.600 200.720 ;
RECT 196.680 200.400 197.000 200.720 ;
RECT 197.080 200.400 197.400 200.720 ;
RECT 197.480 200.400 197.800 200.720 ;
RECT 197.880 200.400 198.200 200.720 ;
RECT 198.280 200.400 198.600 200.720 ;
RECT 198.680 200.400 199.000 200.720 ;
RECT 199.080 200.400 199.400 200.720 ;
RECT 199.480 200.400 199.800 200.720 ;
RECT 199.880 200.400 200.200 200.720 ;
RECT 200.280 200.400 200.600 200.720 ;
RECT 200.680 200.400 201.000 200.720 ;
RECT 201.080 200.400 201.400 200.720 ;
RECT 201.480 200.400 201.800 200.720 ;
RECT 25.040 200.000 25.360 200.320 ;
RECT 25.440 200.000 25.760 200.320 ;
RECT 25.840 200.000 26.160 200.320 ;
RECT 26.240 200.000 26.560 200.320 ;
RECT 26.640 200.000 26.960 200.320 ;
RECT 27.040 200.000 27.360 200.320 ;
RECT 27.440 200.000 27.760 200.320 ;
RECT 27.840 200.000 28.160 200.320 ;
RECT 28.240 200.000 28.560 200.320 ;
RECT 28.640 200.000 28.960 200.320 ;
RECT 29.040 200.000 29.360 200.320 ;
RECT 29.440 200.000 29.760 200.320 ;
RECT 29.840 200.000 30.160 200.320 ;
RECT 30.240 200.000 30.560 200.320 ;
RECT 30.640 200.000 30.960 200.320 ;
RECT 31.040 200.000 31.360 200.320 ;
RECT 31.440 200.000 31.760 200.320 ;
RECT 31.840 200.000 32.160 200.320 ;
RECT 32.240 200.000 32.560 200.320 ;
RECT 32.640 200.000 32.960 200.320 ;
RECT 33.040 200.000 33.360 200.320 ;
RECT 33.440 200.000 33.760 200.320 ;
RECT 33.840 200.000 34.160 200.320 ;
RECT 34.240 200.000 34.560 200.320 ;
RECT 34.640 200.000 34.960 200.320 ;
RECT 35.040 200.000 35.360 200.320 ;
RECT 35.440 200.000 35.760 200.320 ;
RECT 35.840 200.000 36.160 200.320 ;
RECT 36.240 200.000 36.560 200.320 ;
RECT 36.640 200.000 36.960 200.320 ;
RECT 37.040 200.000 37.360 200.320 ;
RECT 37.440 200.000 37.760 200.320 ;
RECT 37.840 200.000 38.160 200.320 ;
RECT 38.240 200.000 38.560 200.320 ;
RECT 38.640 200.000 38.960 200.320 ;
RECT 39.040 200.000 39.360 200.320 ;
RECT 39.440 200.000 39.760 200.320 ;
RECT 39.840 200.000 40.160 200.320 ;
RECT 40.240 200.000 40.560 200.320 ;
RECT 40.640 200.000 40.960 200.320 ;
RECT 41.040 200.000 41.360 200.320 ;
RECT 41.440 200.000 41.760 200.320 ;
RECT 41.840 200.000 42.160 200.320 ;
RECT 42.240 200.000 42.560 200.320 ;
RECT 42.640 200.000 42.960 200.320 ;
RECT 43.040 200.000 43.360 200.320 ;
RECT 43.440 200.000 43.760 200.320 ;
RECT 43.840 200.000 44.160 200.320 ;
RECT 44.240 200.000 44.560 200.320 ;
RECT 44.640 200.000 44.960 200.320 ;
RECT 70.560 200.000 70.880 200.320 ;
RECT 70.960 200.000 71.280 200.320 ;
RECT 71.360 200.000 71.680 200.320 ;
RECT 71.760 200.000 72.080 200.320 ;
RECT 120.560 200.000 120.880 200.320 ;
RECT 120.960 200.000 121.280 200.320 ;
RECT 121.360 200.000 121.680 200.320 ;
RECT 121.760 200.000 122.080 200.320 ;
RECT 181.880 200.000 182.200 200.320 ;
RECT 182.280 200.000 182.600 200.320 ;
RECT 182.680 200.000 183.000 200.320 ;
RECT 183.080 200.000 183.400 200.320 ;
RECT 183.480 200.000 183.800 200.320 ;
RECT 183.880 200.000 184.200 200.320 ;
RECT 184.280 200.000 184.600 200.320 ;
RECT 184.680 200.000 185.000 200.320 ;
RECT 185.080 200.000 185.400 200.320 ;
RECT 185.480 200.000 185.800 200.320 ;
RECT 185.880 200.000 186.200 200.320 ;
RECT 186.280 200.000 186.600 200.320 ;
RECT 186.680 200.000 187.000 200.320 ;
RECT 187.080 200.000 187.400 200.320 ;
RECT 187.480 200.000 187.800 200.320 ;
RECT 187.880 200.000 188.200 200.320 ;
RECT 188.280 200.000 188.600 200.320 ;
RECT 188.680 200.000 189.000 200.320 ;
RECT 189.080 200.000 189.400 200.320 ;
RECT 189.480 200.000 189.800 200.320 ;
RECT 189.880 200.000 190.200 200.320 ;
RECT 190.280 200.000 190.600 200.320 ;
RECT 190.680 200.000 191.000 200.320 ;
RECT 191.080 200.000 191.400 200.320 ;
RECT 191.480 200.000 191.800 200.320 ;
RECT 191.880 200.000 192.200 200.320 ;
RECT 192.280 200.000 192.600 200.320 ;
RECT 192.680 200.000 193.000 200.320 ;
RECT 193.080 200.000 193.400 200.320 ;
RECT 193.480 200.000 193.800 200.320 ;
RECT 193.880 200.000 194.200 200.320 ;
RECT 194.280 200.000 194.600 200.320 ;
RECT 194.680 200.000 195.000 200.320 ;
RECT 195.080 200.000 195.400 200.320 ;
RECT 195.480 200.000 195.800 200.320 ;
RECT 195.880 200.000 196.200 200.320 ;
RECT 196.280 200.000 196.600 200.320 ;
RECT 196.680 200.000 197.000 200.320 ;
RECT 197.080 200.000 197.400 200.320 ;
RECT 197.480 200.000 197.800 200.320 ;
RECT 197.880 200.000 198.200 200.320 ;
RECT 198.280 200.000 198.600 200.320 ;
RECT 198.680 200.000 199.000 200.320 ;
RECT 199.080 200.000 199.400 200.320 ;
RECT 199.480 200.000 199.800 200.320 ;
RECT 199.880 200.000 200.200 200.320 ;
RECT 200.280 200.000 200.600 200.320 ;
RECT 200.680 200.000 201.000 200.320 ;
RECT 201.080 200.000 201.400 200.320 ;
RECT 201.480 200.000 201.800 200.320 ;
RECT 25.040 199.600 25.360 199.920 ;
RECT 25.440 199.600 25.760 199.920 ;
RECT 25.840 199.600 26.160 199.920 ;
RECT 26.240 199.600 26.560 199.920 ;
RECT 26.640 199.600 26.960 199.920 ;
RECT 27.040 199.600 27.360 199.920 ;
RECT 27.440 199.600 27.760 199.920 ;
RECT 27.840 199.600 28.160 199.920 ;
RECT 28.240 199.600 28.560 199.920 ;
RECT 28.640 199.600 28.960 199.920 ;
RECT 29.040 199.600 29.360 199.920 ;
RECT 29.440 199.600 29.760 199.920 ;
RECT 29.840 199.600 30.160 199.920 ;
RECT 30.240 199.600 30.560 199.920 ;
RECT 30.640 199.600 30.960 199.920 ;
RECT 31.040 199.600 31.360 199.920 ;
RECT 31.440 199.600 31.760 199.920 ;
RECT 31.840 199.600 32.160 199.920 ;
RECT 32.240 199.600 32.560 199.920 ;
RECT 32.640 199.600 32.960 199.920 ;
RECT 33.040 199.600 33.360 199.920 ;
RECT 33.440 199.600 33.760 199.920 ;
RECT 33.840 199.600 34.160 199.920 ;
RECT 34.240 199.600 34.560 199.920 ;
RECT 34.640 199.600 34.960 199.920 ;
RECT 35.040 199.600 35.360 199.920 ;
RECT 35.440 199.600 35.760 199.920 ;
RECT 35.840 199.600 36.160 199.920 ;
RECT 36.240 199.600 36.560 199.920 ;
RECT 36.640 199.600 36.960 199.920 ;
RECT 37.040 199.600 37.360 199.920 ;
RECT 37.440 199.600 37.760 199.920 ;
RECT 37.840 199.600 38.160 199.920 ;
RECT 38.240 199.600 38.560 199.920 ;
RECT 38.640 199.600 38.960 199.920 ;
RECT 39.040 199.600 39.360 199.920 ;
RECT 39.440 199.600 39.760 199.920 ;
RECT 39.840 199.600 40.160 199.920 ;
RECT 40.240 199.600 40.560 199.920 ;
RECT 40.640 199.600 40.960 199.920 ;
RECT 41.040 199.600 41.360 199.920 ;
RECT 41.440 199.600 41.760 199.920 ;
RECT 41.840 199.600 42.160 199.920 ;
RECT 42.240 199.600 42.560 199.920 ;
RECT 42.640 199.600 42.960 199.920 ;
RECT 43.040 199.600 43.360 199.920 ;
RECT 43.440 199.600 43.760 199.920 ;
RECT 43.840 199.600 44.160 199.920 ;
RECT 44.240 199.600 44.560 199.920 ;
RECT 44.640 199.600 44.960 199.920 ;
RECT 70.560 199.600 70.880 199.920 ;
RECT 70.960 199.600 71.280 199.920 ;
RECT 71.360 199.600 71.680 199.920 ;
RECT 71.760 199.600 72.080 199.920 ;
RECT 120.560 199.600 120.880 199.920 ;
RECT 120.960 199.600 121.280 199.920 ;
RECT 121.360 199.600 121.680 199.920 ;
RECT 121.760 199.600 122.080 199.920 ;
RECT 181.880 199.600 182.200 199.920 ;
RECT 182.280 199.600 182.600 199.920 ;
RECT 182.680 199.600 183.000 199.920 ;
RECT 183.080 199.600 183.400 199.920 ;
RECT 183.480 199.600 183.800 199.920 ;
RECT 183.880 199.600 184.200 199.920 ;
RECT 184.280 199.600 184.600 199.920 ;
RECT 184.680 199.600 185.000 199.920 ;
RECT 185.080 199.600 185.400 199.920 ;
RECT 185.480 199.600 185.800 199.920 ;
RECT 185.880 199.600 186.200 199.920 ;
RECT 186.280 199.600 186.600 199.920 ;
RECT 186.680 199.600 187.000 199.920 ;
RECT 187.080 199.600 187.400 199.920 ;
RECT 187.480 199.600 187.800 199.920 ;
RECT 187.880 199.600 188.200 199.920 ;
RECT 188.280 199.600 188.600 199.920 ;
RECT 188.680 199.600 189.000 199.920 ;
RECT 189.080 199.600 189.400 199.920 ;
RECT 189.480 199.600 189.800 199.920 ;
RECT 189.880 199.600 190.200 199.920 ;
RECT 190.280 199.600 190.600 199.920 ;
RECT 190.680 199.600 191.000 199.920 ;
RECT 191.080 199.600 191.400 199.920 ;
RECT 191.480 199.600 191.800 199.920 ;
RECT 191.880 199.600 192.200 199.920 ;
RECT 192.280 199.600 192.600 199.920 ;
RECT 192.680 199.600 193.000 199.920 ;
RECT 193.080 199.600 193.400 199.920 ;
RECT 193.480 199.600 193.800 199.920 ;
RECT 193.880 199.600 194.200 199.920 ;
RECT 194.280 199.600 194.600 199.920 ;
RECT 194.680 199.600 195.000 199.920 ;
RECT 195.080 199.600 195.400 199.920 ;
RECT 195.480 199.600 195.800 199.920 ;
RECT 195.880 199.600 196.200 199.920 ;
RECT 196.280 199.600 196.600 199.920 ;
RECT 196.680 199.600 197.000 199.920 ;
RECT 197.080 199.600 197.400 199.920 ;
RECT 197.480 199.600 197.800 199.920 ;
RECT 197.880 199.600 198.200 199.920 ;
RECT 198.280 199.600 198.600 199.920 ;
RECT 198.680 199.600 199.000 199.920 ;
RECT 199.080 199.600 199.400 199.920 ;
RECT 199.480 199.600 199.800 199.920 ;
RECT 199.880 199.600 200.200 199.920 ;
RECT 200.280 199.600 200.600 199.920 ;
RECT 200.680 199.600 201.000 199.920 ;
RECT 201.080 199.600 201.400 199.920 ;
RECT 201.480 199.600 201.800 199.920 ;
RECT 25.040 199.200 25.360 199.520 ;
RECT 25.440 199.200 25.760 199.520 ;
RECT 25.840 199.200 26.160 199.520 ;
RECT 26.240 199.200 26.560 199.520 ;
RECT 26.640 199.200 26.960 199.520 ;
RECT 27.040 199.200 27.360 199.520 ;
RECT 27.440 199.200 27.760 199.520 ;
RECT 27.840 199.200 28.160 199.520 ;
RECT 28.240 199.200 28.560 199.520 ;
RECT 28.640 199.200 28.960 199.520 ;
RECT 29.040 199.200 29.360 199.520 ;
RECT 29.440 199.200 29.760 199.520 ;
RECT 29.840 199.200 30.160 199.520 ;
RECT 30.240 199.200 30.560 199.520 ;
RECT 30.640 199.200 30.960 199.520 ;
RECT 31.040 199.200 31.360 199.520 ;
RECT 31.440 199.200 31.760 199.520 ;
RECT 31.840 199.200 32.160 199.520 ;
RECT 32.240 199.200 32.560 199.520 ;
RECT 32.640 199.200 32.960 199.520 ;
RECT 33.040 199.200 33.360 199.520 ;
RECT 33.440 199.200 33.760 199.520 ;
RECT 33.840 199.200 34.160 199.520 ;
RECT 34.240 199.200 34.560 199.520 ;
RECT 34.640 199.200 34.960 199.520 ;
RECT 35.040 199.200 35.360 199.520 ;
RECT 35.440 199.200 35.760 199.520 ;
RECT 35.840 199.200 36.160 199.520 ;
RECT 36.240 199.200 36.560 199.520 ;
RECT 36.640 199.200 36.960 199.520 ;
RECT 37.040 199.200 37.360 199.520 ;
RECT 37.440 199.200 37.760 199.520 ;
RECT 37.840 199.200 38.160 199.520 ;
RECT 38.240 199.200 38.560 199.520 ;
RECT 38.640 199.200 38.960 199.520 ;
RECT 39.040 199.200 39.360 199.520 ;
RECT 39.440 199.200 39.760 199.520 ;
RECT 39.840 199.200 40.160 199.520 ;
RECT 40.240 199.200 40.560 199.520 ;
RECT 40.640 199.200 40.960 199.520 ;
RECT 41.040 199.200 41.360 199.520 ;
RECT 41.440 199.200 41.760 199.520 ;
RECT 41.840 199.200 42.160 199.520 ;
RECT 42.240 199.200 42.560 199.520 ;
RECT 42.640 199.200 42.960 199.520 ;
RECT 43.040 199.200 43.360 199.520 ;
RECT 43.440 199.200 43.760 199.520 ;
RECT 43.840 199.200 44.160 199.520 ;
RECT 44.240 199.200 44.560 199.520 ;
RECT 44.640 199.200 44.960 199.520 ;
RECT 70.560 199.200 70.880 199.520 ;
RECT 70.960 199.200 71.280 199.520 ;
RECT 71.360 199.200 71.680 199.520 ;
RECT 71.760 199.200 72.080 199.520 ;
RECT 120.560 199.200 120.880 199.520 ;
RECT 120.960 199.200 121.280 199.520 ;
RECT 121.360 199.200 121.680 199.520 ;
RECT 121.760 199.200 122.080 199.520 ;
RECT 181.880 199.200 182.200 199.520 ;
RECT 182.280 199.200 182.600 199.520 ;
RECT 182.680 199.200 183.000 199.520 ;
RECT 183.080 199.200 183.400 199.520 ;
RECT 183.480 199.200 183.800 199.520 ;
RECT 183.880 199.200 184.200 199.520 ;
RECT 184.280 199.200 184.600 199.520 ;
RECT 184.680 199.200 185.000 199.520 ;
RECT 185.080 199.200 185.400 199.520 ;
RECT 185.480 199.200 185.800 199.520 ;
RECT 185.880 199.200 186.200 199.520 ;
RECT 186.280 199.200 186.600 199.520 ;
RECT 186.680 199.200 187.000 199.520 ;
RECT 187.080 199.200 187.400 199.520 ;
RECT 187.480 199.200 187.800 199.520 ;
RECT 187.880 199.200 188.200 199.520 ;
RECT 188.280 199.200 188.600 199.520 ;
RECT 188.680 199.200 189.000 199.520 ;
RECT 189.080 199.200 189.400 199.520 ;
RECT 189.480 199.200 189.800 199.520 ;
RECT 189.880 199.200 190.200 199.520 ;
RECT 190.280 199.200 190.600 199.520 ;
RECT 190.680 199.200 191.000 199.520 ;
RECT 191.080 199.200 191.400 199.520 ;
RECT 191.480 199.200 191.800 199.520 ;
RECT 191.880 199.200 192.200 199.520 ;
RECT 192.280 199.200 192.600 199.520 ;
RECT 192.680 199.200 193.000 199.520 ;
RECT 193.080 199.200 193.400 199.520 ;
RECT 193.480 199.200 193.800 199.520 ;
RECT 193.880 199.200 194.200 199.520 ;
RECT 194.280 199.200 194.600 199.520 ;
RECT 194.680 199.200 195.000 199.520 ;
RECT 195.080 199.200 195.400 199.520 ;
RECT 195.480 199.200 195.800 199.520 ;
RECT 195.880 199.200 196.200 199.520 ;
RECT 196.280 199.200 196.600 199.520 ;
RECT 196.680 199.200 197.000 199.520 ;
RECT 197.080 199.200 197.400 199.520 ;
RECT 197.480 199.200 197.800 199.520 ;
RECT 197.880 199.200 198.200 199.520 ;
RECT 198.280 199.200 198.600 199.520 ;
RECT 198.680 199.200 199.000 199.520 ;
RECT 199.080 199.200 199.400 199.520 ;
RECT 199.480 199.200 199.800 199.520 ;
RECT 199.880 199.200 200.200 199.520 ;
RECT 200.280 199.200 200.600 199.520 ;
RECT 200.680 199.200 201.000 199.520 ;
RECT 201.080 199.200 201.400 199.520 ;
RECT 201.480 199.200 201.800 199.520 ;
RECT 25.040 198.800 25.360 199.120 ;
RECT 25.440 198.800 25.760 199.120 ;
RECT 25.840 198.800 26.160 199.120 ;
RECT 26.240 198.800 26.560 199.120 ;
RECT 26.640 198.800 26.960 199.120 ;
RECT 27.040 198.800 27.360 199.120 ;
RECT 27.440 198.800 27.760 199.120 ;
RECT 27.840 198.800 28.160 199.120 ;
RECT 28.240 198.800 28.560 199.120 ;
RECT 28.640 198.800 28.960 199.120 ;
RECT 29.040 198.800 29.360 199.120 ;
RECT 29.440 198.800 29.760 199.120 ;
RECT 29.840 198.800 30.160 199.120 ;
RECT 30.240 198.800 30.560 199.120 ;
RECT 30.640 198.800 30.960 199.120 ;
RECT 31.040 198.800 31.360 199.120 ;
RECT 31.440 198.800 31.760 199.120 ;
RECT 31.840 198.800 32.160 199.120 ;
RECT 32.240 198.800 32.560 199.120 ;
RECT 32.640 198.800 32.960 199.120 ;
RECT 33.040 198.800 33.360 199.120 ;
RECT 33.440 198.800 33.760 199.120 ;
RECT 33.840 198.800 34.160 199.120 ;
RECT 34.240 198.800 34.560 199.120 ;
RECT 34.640 198.800 34.960 199.120 ;
RECT 35.040 198.800 35.360 199.120 ;
RECT 35.440 198.800 35.760 199.120 ;
RECT 35.840 198.800 36.160 199.120 ;
RECT 36.240 198.800 36.560 199.120 ;
RECT 36.640 198.800 36.960 199.120 ;
RECT 37.040 198.800 37.360 199.120 ;
RECT 37.440 198.800 37.760 199.120 ;
RECT 37.840 198.800 38.160 199.120 ;
RECT 38.240 198.800 38.560 199.120 ;
RECT 38.640 198.800 38.960 199.120 ;
RECT 39.040 198.800 39.360 199.120 ;
RECT 39.440 198.800 39.760 199.120 ;
RECT 39.840 198.800 40.160 199.120 ;
RECT 40.240 198.800 40.560 199.120 ;
RECT 40.640 198.800 40.960 199.120 ;
RECT 41.040 198.800 41.360 199.120 ;
RECT 41.440 198.800 41.760 199.120 ;
RECT 41.840 198.800 42.160 199.120 ;
RECT 42.240 198.800 42.560 199.120 ;
RECT 42.640 198.800 42.960 199.120 ;
RECT 43.040 198.800 43.360 199.120 ;
RECT 43.440 198.800 43.760 199.120 ;
RECT 43.840 198.800 44.160 199.120 ;
RECT 44.240 198.800 44.560 199.120 ;
RECT 44.640 198.800 44.960 199.120 ;
RECT 70.560 198.800 70.880 199.120 ;
RECT 70.960 198.800 71.280 199.120 ;
RECT 71.360 198.800 71.680 199.120 ;
RECT 71.760 198.800 72.080 199.120 ;
RECT 120.560 198.800 120.880 199.120 ;
RECT 120.960 198.800 121.280 199.120 ;
RECT 121.360 198.800 121.680 199.120 ;
RECT 121.760 198.800 122.080 199.120 ;
RECT 181.880 198.800 182.200 199.120 ;
RECT 182.280 198.800 182.600 199.120 ;
RECT 182.680 198.800 183.000 199.120 ;
RECT 183.080 198.800 183.400 199.120 ;
RECT 183.480 198.800 183.800 199.120 ;
RECT 183.880 198.800 184.200 199.120 ;
RECT 184.280 198.800 184.600 199.120 ;
RECT 184.680 198.800 185.000 199.120 ;
RECT 185.080 198.800 185.400 199.120 ;
RECT 185.480 198.800 185.800 199.120 ;
RECT 185.880 198.800 186.200 199.120 ;
RECT 186.280 198.800 186.600 199.120 ;
RECT 186.680 198.800 187.000 199.120 ;
RECT 187.080 198.800 187.400 199.120 ;
RECT 187.480 198.800 187.800 199.120 ;
RECT 187.880 198.800 188.200 199.120 ;
RECT 188.280 198.800 188.600 199.120 ;
RECT 188.680 198.800 189.000 199.120 ;
RECT 189.080 198.800 189.400 199.120 ;
RECT 189.480 198.800 189.800 199.120 ;
RECT 189.880 198.800 190.200 199.120 ;
RECT 190.280 198.800 190.600 199.120 ;
RECT 190.680 198.800 191.000 199.120 ;
RECT 191.080 198.800 191.400 199.120 ;
RECT 191.480 198.800 191.800 199.120 ;
RECT 191.880 198.800 192.200 199.120 ;
RECT 192.280 198.800 192.600 199.120 ;
RECT 192.680 198.800 193.000 199.120 ;
RECT 193.080 198.800 193.400 199.120 ;
RECT 193.480 198.800 193.800 199.120 ;
RECT 193.880 198.800 194.200 199.120 ;
RECT 194.280 198.800 194.600 199.120 ;
RECT 194.680 198.800 195.000 199.120 ;
RECT 195.080 198.800 195.400 199.120 ;
RECT 195.480 198.800 195.800 199.120 ;
RECT 195.880 198.800 196.200 199.120 ;
RECT 196.280 198.800 196.600 199.120 ;
RECT 196.680 198.800 197.000 199.120 ;
RECT 197.080 198.800 197.400 199.120 ;
RECT 197.480 198.800 197.800 199.120 ;
RECT 197.880 198.800 198.200 199.120 ;
RECT 198.280 198.800 198.600 199.120 ;
RECT 198.680 198.800 199.000 199.120 ;
RECT 199.080 198.800 199.400 199.120 ;
RECT 199.480 198.800 199.800 199.120 ;
RECT 199.880 198.800 200.200 199.120 ;
RECT 200.280 198.800 200.600 199.120 ;
RECT 200.680 198.800 201.000 199.120 ;
RECT 201.080 198.800 201.400 199.120 ;
RECT 201.480 198.800 201.800 199.120 ;
RECT 25.040 198.400 25.360 198.720 ;
RECT 25.440 198.400 25.760 198.720 ;
RECT 25.840 198.400 26.160 198.720 ;
RECT 26.240 198.400 26.560 198.720 ;
RECT 26.640 198.400 26.960 198.720 ;
RECT 27.040 198.400 27.360 198.720 ;
RECT 27.440 198.400 27.760 198.720 ;
RECT 27.840 198.400 28.160 198.720 ;
RECT 28.240 198.400 28.560 198.720 ;
RECT 28.640 198.400 28.960 198.720 ;
RECT 29.040 198.400 29.360 198.720 ;
RECT 29.440 198.400 29.760 198.720 ;
RECT 29.840 198.400 30.160 198.720 ;
RECT 30.240 198.400 30.560 198.720 ;
RECT 30.640 198.400 30.960 198.720 ;
RECT 31.040 198.400 31.360 198.720 ;
RECT 31.440 198.400 31.760 198.720 ;
RECT 31.840 198.400 32.160 198.720 ;
RECT 32.240 198.400 32.560 198.720 ;
RECT 32.640 198.400 32.960 198.720 ;
RECT 33.040 198.400 33.360 198.720 ;
RECT 33.440 198.400 33.760 198.720 ;
RECT 33.840 198.400 34.160 198.720 ;
RECT 34.240 198.400 34.560 198.720 ;
RECT 34.640 198.400 34.960 198.720 ;
RECT 35.040 198.400 35.360 198.720 ;
RECT 35.440 198.400 35.760 198.720 ;
RECT 35.840 198.400 36.160 198.720 ;
RECT 36.240 198.400 36.560 198.720 ;
RECT 36.640 198.400 36.960 198.720 ;
RECT 37.040 198.400 37.360 198.720 ;
RECT 37.440 198.400 37.760 198.720 ;
RECT 37.840 198.400 38.160 198.720 ;
RECT 38.240 198.400 38.560 198.720 ;
RECT 38.640 198.400 38.960 198.720 ;
RECT 39.040 198.400 39.360 198.720 ;
RECT 39.440 198.400 39.760 198.720 ;
RECT 39.840 198.400 40.160 198.720 ;
RECT 40.240 198.400 40.560 198.720 ;
RECT 40.640 198.400 40.960 198.720 ;
RECT 41.040 198.400 41.360 198.720 ;
RECT 41.440 198.400 41.760 198.720 ;
RECT 41.840 198.400 42.160 198.720 ;
RECT 42.240 198.400 42.560 198.720 ;
RECT 42.640 198.400 42.960 198.720 ;
RECT 43.040 198.400 43.360 198.720 ;
RECT 43.440 198.400 43.760 198.720 ;
RECT 43.840 198.400 44.160 198.720 ;
RECT 44.240 198.400 44.560 198.720 ;
RECT 44.640 198.400 44.960 198.720 ;
RECT 70.560 198.400 70.880 198.720 ;
RECT 70.960 198.400 71.280 198.720 ;
RECT 71.360 198.400 71.680 198.720 ;
RECT 71.760 198.400 72.080 198.720 ;
RECT 120.560 198.400 120.880 198.720 ;
RECT 120.960 198.400 121.280 198.720 ;
RECT 121.360 198.400 121.680 198.720 ;
RECT 121.760 198.400 122.080 198.720 ;
RECT 181.880 198.400 182.200 198.720 ;
RECT 182.280 198.400 182.600 198.720 ;
RECT 182.680 198.400 183.000 198.720 ;
RECT 183.080 198.400 183.400 198.720 ;
RECT 183.480 198.400 183.800 198.720 ;
RECT 183.880 198.400 184.200 198.720 ;
RECT 184.280 198.400 184.600 198.720 ;
RECT 184.680 198.400 185.000 198.720 ;
RECT 185.080 198.400 185.400 198.720 ;
RECT 185.480 198.400 185.800 198.720 ;
RECT 185.880 198.400 186.200 198.720 ;
RECT 186.280 198.400 186.600 198.720 ;
RECT 186.680 198.400 187.000 198.720 ;
RECT 187.080 198.400 187.400 198.720 ;
RECT 187.480 198.400 187.800 198.720 ;
RECT 187.880 198.400 188.200 198.720 ;
RECT 188.280 198.400 188.600 198.720 ;
RECT 188.680 198.400 189.000 198.720 ;
RECT 189.080 198.400 189.400 198.720 ;
RECT 189.480 198.400 189.800 198.720 ;
RECT 189.880 198.400 190.200 198.720 ;
RECT 190.280 198.400 190.600 198.720 ;
RECT 190.680 198.400 191.000 198.720 ;
RECT 191.080 198.400 191.400 198.720 ;
RECT 191.480 198.400 191.800 198.720 ;
RECT 191.880 198.400 192.200 198.720 ;
RECT 192.280 198.400 192.600 198.720 ;
RECT 192.680 198.400 193.000 198.720 ;
RECT 193.080 198.400 193.400 198.720 ;
RECT 193.480 198.400 193.800 198.720 ;
RECT 193.880 198.400 194.200 198.720 ;
RECT 194.280 198.400 194.600 198.720 ;
RECT 194.680 198.400 195.000 198.720 ;
RECT 195.080 198.400 195.400 198.720 ;
RECT 195.480 198.400 195.800 198.720 ;
RECT 195.880 198.400 196.200 198.720 ;
RECT 196.280 198.400 196.600 198.720 ;
RECT 196.680 198.400 197.000 198.720 ;
RECT 197.080 198.400 197.400 198.720 ;
RECT 197.480 198.400 197.800 198.720 ;
RECT 197.880 198.400 198.200 198.720 ;
RECT 198.280 198.400 198.600 198.720 ;
RECT 198.680 198.400 199.000 198.720 ;
RECT 199.080 198.400 199.400 198.720 ;
RECT 199.480 198.400 199.800 198.720 ;
RECT 199.880 198.400 200.200 198.720 ;
RECT 200.280 198.400 200.600 198.720 ;
RECT 200.680 198.400 201.000 198.720 ;
RECT 201.080 198.400 201.400 198.720 ;
RECT 201.480 198.400 201.800 198.720 ;
RECT 25.040 198.000 25.360 198.320 ;
RECT 25.440 198.000 25.760 198.320 ;
RECT 25.840 198.000 26.160 198.320 ;
RECT 26.240 198.000 26.560 198.320 ;
RECT 26.640 198.000 26.960 198.320 ;
RECT 27.040 198.000 27.360 198.320 ;
RECT 27.440 198.000 27.760 198.320 ;
RECT 27.840 198.000 28.160 198.320 ;
RECT 28.240 198.000 28.560 198.320 ;
RECT 28.640 198.000 28.960 198.320 ;
RECT 29.040 198.000 29.360 198.320 ;
RECT 29.440 198.000 29.760 198.320 ;
RECT 29.840 198.000 30.160 198.320 ;
RECT 30.240 198.000 30.560 198.320 ;
RECT 30.640 198.000 30.960 198.320 ;
RECT 31.040 198.000 31.360 198.320 ;
RECT 31.440 198.000 31.760 198.320 ;
RECT 31.840 198.000 32.160 198.320 ;
RECT 32.240 198.000 32.560 198.320 ;
RECT 32.640 198.000 32.960 198.320 ;
RECT 33.040 198.000 33.360 198.320 ;
RECT 33.440 198.000 33.760 198.320 ;
RECT 33.840 198.000 34.160 198.320 ;
RECT 34.240 198.000 34.560 198.320 ;
RECT 34.640 198.000 34.960 198.320 ;
RECT 35.040 198.000 35.360 198.320 ;
RECT 35.440 198.000 35.760 198.320 ;
RECT 35.840 198.000 36.160 198.320 ;
RECT 36.240 198.000 36.560 198.320 ;
RECT 36.640 198.000 36.960 198.320 ;
RECT 37.040 198.000 37.360 198.320 ;
RECT 37.440 198.000 37.760 198.320 ;
RECT 37.840 198.000 38.160 198.320 ;
RECT 38.240 198.000 38.560 198.320 ;
RECT 38.640 198.000 38.960 198.320 ;
RECT 39.040 198.000 39.360 198.320 ;
RECT 39.440 198.000 39.760 198.320 ;
RECT 39.840 198.000 40.160 198.320 ;
RECT 40.240 198.000 40.560 198.320 ;
RECT 40.640 198.000 40.960 198.320 ;
RECT 41.040 198.000 41.360 198.320 ;
RECT 41.440 198.000 41.760 198.320 ;
RECT 41.840 198.000 42.160 198.320 ;
RECT 42.240 198.000 42.560 198.320 ;
RECT 42.640 198.000 42.960 198.320 ;
RECT 43.040 198.000 43.360 198.320 ;
RECT 43.440 198.000 43.760 198.320 ;
RECT 43.840 198.000 44.160 198.320 ;
RECT 44.240 198.000 44.560 198.320 ;
RECT 44.640 198.000 44.960 198.320 ;
RECT 70.560 198.000 70.880 198.320 ;
RECT 70.960 198.000 71.280 198.320 ;
RECT 71.360 198.000 71.680 198.320 ;
RECT 71.760 198.000 72.080 198.320 ;
RECT 120.560 198.000 120.880 198.320 ;
RECT 120.960 198.000 121.280 198.320 ;
RECT 121.360 198.000 121.680 198.320 ;
RECT 121.760 198.000 122.080 198.320 ;
RECT 181.880 198.000 182.200 198.320 ;
RECT 182.280 198.000 182.600 198.320 ;
RECT 182.680 198.000 183.000 198.320 ;
RECT 183.080 198.000 183.400 198.320 ;
RECT 183.480 198.000 183.800 198.320 ;
RECT 183.880 198.000 184.200 198.320 ;
RECT 184.280 198.000 184.600 198.320 ;
RECT 184.680 198.000 185.000 198.320 ;
RECT 185.080 198.000 185.400 198.320 ;
RECT 185.480 198.000 185.800 198.320 ;
RECT 185.880 198.000 186.200 198.320 ;
RECT 186.280 198.000 186.600 198.320 ;
RECT 186.680 198.000 187.000 198.320 ;
RECT 187.080 198.000 187.400 198.320 ;
RECT 187.480 198.000 187.800 198.320 ;
RECT 187.880 198.000 188.200 198.320 ;
RECT 188.280 198.000 188.600 198.320 ;
RECT 188.680 198.000 189.000 198.320 ;
RECT 189.080 198.000 189.400 198.320 ;
RECT 189.480 198.000 189.800 198.320 ;
RECT 189.880 198.000 190.200 198.320 ;
RECT 190.280 198.000 190.600 198.320 ;
RECT 190.680 198.000 191.000 198.320 ;
RECT 191.080 198.000 191.400 198.320 ;
RECT 191.480 198.000 191.800 198.320 ;
RECT 191.880 198.000 192.200 198.320 ;
RECT 192.280 198.000 192.600 198.320 ;
RECT 192.680 198.000 193.000 198.320 ;
RECT 193.080 198.000 193.400 198.320 ;
RECT 193.480 198.000 193.800 198.320 ;
RECT 193.880 198.000 194.200 198.320 ;
RECT 194.280 198.000 194.600 198.320 ;
RECT 194.680 198.000 195.000 198.320 ;
RECT 195.080 198.000 195.400 198.320 ;
RECT 195.480 198.000 195.800 198.320 ;
RECT 195.880 198.000 196.200 198.320 ;
RECT 196.280 198.000 196.600 198.320 ;
RECT 196.680 198.000 197.000 198.320 ;
RECT 197.080 198.000 197.400 198.320 ;
RECT 197.480 198.000 197.800 198.320 ;
RECT 197.880 198.000 198.200 198.320 ;
RECT 198.280 198.000 198.600 198.320 ;
RECT 198.680 198.000 199.000 198.320 ;
RECT 199.080 198.000 199.400 198.320 ;
RECT 199.480 198.000 199.800 198.320 ;
RECT 199.880 198.000 200.200 198.320 ;
RECT 200.280 198.000 200.600 198.320 ;
RECT 200.680 198.000 201.000 198.320 ;
RECT 201.080 198.000 201.400 198.320 ;
RECT 201.480 198.000 201.800 198.320 ;
RECT 25.040 197.600 25.360 197.920 ;
RECT 25.440 197.600 25.760 197.920 ;
RECT 25.840 197.600 26.160 197.920 ;
RECT 26.240 197.600 26.560 197.920 ;
RECT 26.640 197.600 26.960 197.920 ;
RECT 27.040 197.600 27.360 197.920 ;
RECT 27.440 197.600 27.760 197.920 ;
RECT 27.840 197.600 28.160 197.920 ;
RECT 28.240 197.600 28.560 197.920 ;
RECT 28.640 197.600 28.960 197.920 ;
RECT 29.040 197.600 29.360 197.920 ;
RECT 29.440 197.600 29.760 197.920 ;
RECT 29.840 197.600 30.160 197.920 ;
RECT 30.240 197.600 30.560 197.920 ;
RECT 30.640 197.600 30.960 197.920 ;
RECT 31.040 197.600 31.360 197.920 ;
RECT 31.440 197.600 31.760 197.920 ;
RECT 31.840 197.600 32.160 197.920 ;
RECT 32.240 197.600 32.560 197.920 ;
RECT 32.640 197.600 32.960 197.920 ;
RECT 33.040 197.600 33.360 197.920 ;
RECT 33.440 197.600 33.760 197.920 ;
RECT 33.840 197.600 34.160 197.920 ;
RECT 34.240 197.600 34.560 197.920 ;
RECT 34.640 197.600 34.960 197.920 ;
RECT 35.040 197.600 35.360 197.920 ;
RECT 35.440 197.600 35.760 197.920 ;
RECT 35.840 197.600 36.160 197.920 ;
RECT 36.240 197.600 36.560 197.920 ;
RECT 36.640 197.600 36.960 197.920 ;
RECT 37.040 197.600 37.360 197.920 ;
RECT 37.440 197.600 37.760 197.920 ;
RECT 37.840 197.600 38.160 197.920 ;
RECT 38.240 197.600 38.560 197.920 ;
RECT 38.640 197.600 38.960 197.920 ;
RECT 39.040 197.600 39.360 197.920 ;
RECT 39.440 197.600 39.760 197.920 ;
RECT 39.840 197.600 40.160 197.920 ;
RECT 40.240 197.600 40.560 197.920 ;
RECT 40.640 197.600 40.960 197.920 ;
RECT 41.040 197.600 41.360 197.920 ;
RECT 41.440 197.600 41.760 197.920 ;
RECT 41.840 197.600 42.160 197.920 ;
RECT 42.240 197.600 42.560 197.920 ;
RECT 42.640 197.600 42.960 197.920 ;
RECT 43.040 197.600 43.360 197.920 ;
RECT 43.440 197.600 43.760 197.920 ;
RECT 43.840 197.600 44.160 197.920 ;
RECT 44.240 197.600 44.560 197.920 ;
RECT 44.640 197.600 44.960 197.920 ;
RECT 70.560 197.600 70.880 197.920 ;
RECT 70.960 197.600 71.280 197.920 ;
RECT 71.360 197.600 71.680 197.920 ;
RECT 71.760 197.600 72.080 197.920 ;
RECT 120.560 197.600 120.880 197.920 ;
RECT 120.960 197.600 121.280 197.920 ;
RECT 121.360 197.600 121.680 197.920 ;
RECT 121.760 197.600 122.080 197.920 ;
RECT 181.880 197.600 182.200 197.920 ;
RECT 182.280 197.600 182.600 197.920 ;
RECT 182.680 197.600 183.000 197.920 ;
RECT 183.080 197.600 183.400 197.920 ;
RECT 183.480 197.600 183.800 197.920 ;
RECT 183.880 197.600 184.200 197.920 ;
RECT 184.280 197.600 184.600 197.920 ;
RECT 184.680 197.600 185.000 197.920 ;
RECT 185.080 197.600 185.400 197.920 ;
RECT 185.480 197.600 185.800 197.920 ;
RECT 185.880 197.600 186.200 197.920 ;
RECT 186.280 197.600 186.600 197.920 ;
RECT 186.680 197.600 187.000 197.920 ;
RECT 187.080 197.600 187.400 197.920 ;
RECT 187.480 197.600 187.800 197.920 ;
RECT 187.880 197.600 188.200 197.920 ;
RECT 188.280 197.600 188.600 197.920 ;
RECT 188.680 197.600 189.000 197.920 ;
RECT 189.080 197.600 189.400 197.920 ;
RECT 189.480 197.600 189.800 197.920 ;
RECT 189.880 197.600 190.200 197.920 ;
RECT 190.280 197.600 190.600 197.920 ;
RECT 190.680 197.600 191.000 197.920 ;
RECT 191.080 197.600 191.400 197.920 ;
RECT 191.480 197.600 191.800 197.920 ;
RECT 191.880 197.600 192.200 197.920 ;
RECT 192.280 197.600 192.600 197.920 ;
RECT 192.680 197.600 193.000 197.920 ;
RECT 193.080 197.600 193.400 197.920 ;
RECT 193.480 197.600 193.800 197.920 ;
RECT 193.880 197.600 194.200 197.920 ;
RECT 194.280 197.600 194.600 197.920 ;
RECT 194.680 197.600 195.000 197.920 ;
RECT 195.080 197.600 195.400 197.920 ;
RECT 195.480 197.600 195.800 197.920 ;
RECT 195.880 197.600 196.200 197.920 ;
RECT 196.280 197.600 196.600 197.920 ;
RECT 196.680 197.600 197.000 197.920 ;
RECT 197.080 197.600 197.400 197.920 ;
RECT 197.480 197.600 197.800 197.920 ;
RECT 197.880 197.600 198.200 197.920 ;
RECT 198.280 197.600 198.600 197.920 ;
RECT 198.680 197.600 199.000 197.920 ;
RECT 199.080 197.600 199.400 197.920 ;
RECT 199.480 197.600 199.800 197.920 ;
RECT 199.880 197.600 200.200 197.920 ;
RECT 200.280 197.600 200.600 197.920 ;
RECT 200.680 197.600 201.000 197.920 ;
RECT 201.080 197.600 201.400 197.920 ;
RECT 201.480 197.600 201.800 197.920 ;
RECT 25.040 197.200 25.360 197.520 ;
RECT 25.440 197.200 25.760 197.520 ;
RECT 25.840 197.200 26.160 197.520 ;
RECT 26.240 197.200 26.560 197.520 ;
RECT 26.640 197.200 26.960 197.520 ;
RECT 27.040 197.200 27.360 197.520 ;
RECT 27.440 197.200 27.760 197.520 ;
RECT 27.840 197.200 28.160 197.520 ;
RECT 28.240 197.200 28.560 197.520 ;
RECT 28.640 197.200 28.960 197.520 ;
RECT 29.040 197.200 29.360 197.520 ;
RECT 29.440 197.200 29.760 197.520 ;
RECT 29.840 197.200 30.160 197.520 ;
RECT 30.240 197.200 30.560 197.520 ;
RECT 30.640 197.200 30.960 197.520 ;
RECT 31.040 197.200 31.360 197.520 ;
RECT 31.440 197.200 31.760 197.520 ;
RECT 31.840 197.200 32.160 197.520 ;
RECT 32.240 197.200 32.560 197.520 ;
RECT 32.640 197.200 32.960 197.520 ;
RECT 33.040 197.200 33.360 197.520 ;
RECT 33.440 197.200 33.760 197.520 ;
RECT 33.840 197.200 34.160 197.520 ;
RECT 34.240 197.200 34.560 197.520 ;
RECT 34.640 197.200 34.960 197.520 ;
RECT 35.040 197.200 35.360 197.520 ;
RECT 35.440 197.200 35.760 197.520 ;
RECT 35.840 197.200 36.160 197.520 ;
RECT 36.240 197.200 36.560 197.520 ;
RECT 36.640 197.200 36.960 197.520 ;
RECT 37.040 197.200 37.360 197.520 ;
RECT 37.440 197.200 37.760 197.520 ;
RECT 37.840 197.200 38.160 197.520 ;
RECT 38.240 197.200 38.560 197.520 ;
RECT 38.640 197.200 38.960 197.520 ;
RECT 39.040 197.200 39.360 197.520 ;
RECT 39.440 197.200 39.760 197.520 ;
RECT 39.840 197.200 40.160 197.520 ;
RECT 40.240 197.200 40.560 197.520 ;
RECT 40.640 197.200 40.960 197.520 ;
RECT 41.040 197.200 41.360 197.520 ;
RECT 41.440 197.200 41.760 197.520 ;
RECT 41.840 197.200 42.160 197.520 ;
RECT 42.240 197.200 42.560 197.520 ;
RECT 42.640 197.200 42.960 197.520 ;
RECT 43.040 197.200 43.360 197.520 ;
RECT 43.440 197.200 43.760 197.520 ;
RECT 43.840 197.200 44.160 197.520 ;
RECT 44.240 197.200 44.560 197.520 ;
RECT 44.640 197.200 44.960 197.520 ;
RECT 70.560 197.200 70.880 197.520 ;
RECT 70.960 197.200 71.280 197.520 ;
RECT 71.360 197.200 71.680 197.520 ;
RECT 71.760 197.200 72.080 197.520 ;
RECT 120.560 197.200 120.880 197.520 ;
RECT 120.960 197.200 121.280 197.520 ;
RECT 121.360 197.200 121.680 197.520 ;
RECT 121.760 197.200 122.080 197.520 ;
RECT 181.880 197.200 182.200 197.520 ;
RECT 182.280 197.200 182.600 197.520 ;
RECT 182.680 197.200 183.000 197.520 ;
RECT 183.080 197.200 183.400 197.520 ;
RECT 183.480 197.200 183.800 197.520 ;
RECT 183.880 197.200 184.200 197.520 ;
RECT 184.280 197.200 184.600 197.520 ;
RECT 184.680 197.200 185.000 197.520 ;
RECT 185.080 197.200 185.400 197.520 ;
RECT 185.480 197.200 185.800 197.520 ;
RECT 185.880 197.200 186.200 197.520 ;
RECT 186.280 197.200 186.600 197.520 ;
RECT 186.680 197.200 187.000 197.520 ;
RECT 187.080 197.200 187.400 197.520 ;
RECT 187.480 197.200 187.800 197.520 ;
RECT 187.880 197.200 188.200 197.520 ;
RECT 188.280 197.200 188.600 197.520 ;
RECT 188.680 197.200 189.000 197.520 ;
RECT 189.080 197.200 189.400 197.520 ;
RECT 189.480 197.200 189.800 197.520 ;
RECT 189.880 197.200 190.200 197.520 ;
RECT 190.280 197.200 190.600 197.520 ;
RECT 190.680 197.200 191.000 197.520 ;
RECT 191.080 197.200 191.400 197.520 ;
RECT 191.480 197.200 191.800 197.520 ;
RECT 191.880 197.200 192.200 197.520 ;
RECT 192.280 197.200 192.600 197.520 ;
RECT 192.680 197.200 193.000 197.520 ;
RECT 193.080 197.200 193.400 197.520 ;
RECT 193.480 197.200 193.800 197.520 ;
RECT 193.880 197.200 194.200 197.520 ;
RECT 194.280 197.200 194.600 197.520 ;
RECT 194.680 197.200 195.000 197.520 ;
RECT 195.080 197.200 195.400 197.520 ;
RECT 195.480 197.200 195.800 197.520 ;
RECT 195.880 197.200 196.200 197.520 ;
RECT 196.280 197.200 196.600 197.520 ;
RECT 196.680 197.200 197.000 197.520 ;
RECT 197.080 197.200 197.400 197.520 ;
RECT 197.480 197.200 197.800 197.520 ;
RECT 197.880 197.200 198.200 197.520 ;
RECT 198.280 197.200 198.600 197.520 ;
RECT 198.680 197.200 199.000 197.520 ;
RECT 199.080 197.200 199.400 197.520 ;
RECT 199.480 197.200 199.800 197.520 ;
RECT 199.880 197.200 200.200 197.520 ;
RECT 200.280 197.200 200.600 197.520 ;
RECT 200.680 197.200 201.000 197.520 ;
RECT 201.080 197.200 201.400 197.520 ;
RECT 201.480 197.200 201.800 197.520 ;
RECT 25.040 196.800 25.360 197.120 ;
RECT 25.440 196.800 25.760 197.120 ;
RECT 25.840 196.800 26.160 197.120 ;
RECT 26.240 196.800 26.560 197.120 ;
RECT 26.640 196.800 26.960 197.120 ;
RECT 27.040 196.800 27.360 197.120 ;
RECT 27.440 196.800 27.760 197.120 ;
RECT 27.840 196.800 28.160 197.120 ;
RECT 28.240 196.800 28.560 197.120 ;
RECT 28.640 196.800 28.960 197.120 ;
RECT 29.040 196.800 29.360 197.120 ;
RECT 29.440 196.800 29.760 197.120 ;
RECT 29.840 196.800 30.160 197.120 ;
RECT 30.240 196.800 30.560 197.120 ;
RECT 30.640 196.800 30.960 197.120 ;
RECT 31.040 196.800 31.360 197.120 ;
RECT 31.440 196.800 31.760 197.120 ;
RECT 31.840 196.800 32.160 197.120 ;
RECT 32.240 196.800 32.560 197.120 ;
RECT 32.640 196.800 32.960 197.120 ;
RECT 33.040 196.800 33.360 197.120 ;
RECT 33.440 196.800 33.760 197.120 ;
RECT 33.840 196.800 34.160 197.120 ;
RECT 34.240 196.800 34.560 197.120 ;
RECT 34.640 196.800 34.960 197.120 ;
RECT 35.040 196.800 35.360 197.120 ;
RECT 35.440 196.800 35.760 197.120 ;
RECT 35.840 196.800 36.160 197.120 ;
RECT 36.240 196.800 36.560 197.120 ;
RECT 36.640 196.800 36.960 197.120 ;
RECT 37.040 196.800 37.360 197.120 ;
RECT 37.440 196.800 37.760 197.120 ;
RECT 37.840 196.800 38.160 197.120 ;
RECT 38.240 196.800 38.560 197.120 ;
RECT 38.640 196.800 38.960 197.120 ;
RECT 39.040 196.800 39.360 197.120 ;
RECT 39.440 196.800 39.760 197.120 ;
RECT 39.840 196.800 40.160 197.120 ;
RECT 40.240 196.800 40.560 197.120 ;
RECT 40.640 196.800 40.960 197.120 ;
RECT 41.040 196.800 41.360 197.120 ;
RECT 41.440 196.800 41.760 197.120 ;
RECT 41.840 196.800 42.160 197.120 ;
RECT 42.240 196.800 42.560 197.120 ;
RECT 42.640 196.800 42.960 197.120 ;
RECT 43.040 196.800 43.360 197.120 ;
RECT 43.440 196.800 43.760 197.120 ;
RECT 43.840 196.800 44.160 197.120 ;
RECT 44.240 196.800 44.560 197.120 ;
RECT 44.640 196.800 44.960 197.120 ;
RECT 70.560 196.800 70.880 197.120 ;
RECT 70.960 196.800 71.280 197.120 ;
RECT 71.360 196.800 71.680 197.120 ;
RECT 71.760 196.800 72.080 197.120 ;
RECT 120.560 196.800 120.880 197.120 ;
RECT 120.960 196.800 121.280 197.120 ;
RECT 121.360 196.800 121.680 197.120 ;
RECT 121.760 196.800 122.080 197.120 ;
RECT 181.880 196.800 182.200 197.120 ;
RECT 182.280 196.800 182.600 197.120 ;
RECT 182.680 196.800 183.000 197.120 ;
RECT 183.080 196.800 183.400 197.120 ;
RECT 183.480 196.800 183.800 197.120 ;
RECT 183.880 196.800 184.200 197.120 ;
RECT 184.280 196.800 184.600 197.120 ;
RECT 184.680 196.800 185.000 197.120 ;
RECT 185.080 196.800 185.400 197.120 ;
RECT 185.480 196.800 185.800 197.120 ;
RECT 185.880 196.800 186.200 197.120 ;
RECT 186.280 196.800 186.600 197.120 ;
RECT 186.680 196.800 187.000 197.120 ;
RECT 187.080 196.800 187.400 197.120 ;
RECT 187.480 196.800 187.800 197.120 ;
RECT 187.880 196.800 188.200 197.120 ;
RECT 188.280 196.800 188.600 197.120 ;
RECT 188.680 196.800 189.000 197.120 ;
RECT 189.080 196.800 189.400 197.120 ;
RECT 189.480 196.800 189.800 197.120 ;
RECT 189.880 196.800 190.200 197.120 ;
RECT 190.280 196.800 190.600 197.120 ;
RECT 190.680 196.800 191.000 197.120 ;
RECT 191.080 196.800 191.400 197.120 ;
RECT 191.480 196.800 191.800 197.120 ;
RECT 191.880 196.800 192.200 197.120 ;
RECT 192.280 196.800 192.600 197.120 ;
RECT 192.680 196.800 193.000 197.120 ;
RECT 193.080 196.800 193.400 197.120 ;
RECT 193.480 196.800 193.800 197.120 ;
RECT 193.880 196.800 194.200 197.120 ;
RECT 194.280 196.800 194.600 197.120 ;
RECT 194.680 196.800 195.000 197.120 ;
RECT 195.080 196.800 195.400 197.120 ;
RECT 195.480 196.800 195.800 197.120 ;
RECT 195.880 196.800 196.200 197.120 ;
RECT 196.280 196.800 196.600 197.120 ;
RECT 196.680 196.800 197.000 197.120 ;
RECT 197.080 196.800 197.400 197.120 ;
RECT 197.480 196.800 197.800 197.120 ;
RECT 197.880 196.800 198.200 197.120 ;
RECT 198.280 196.800 198.600 197.120 ;
RECT 198.680 196.800 199.000 197.120 ;
RECT 199.080 196.800 199.400 197.120 ;
RECT 199.480 196.800 199.800 197.120 ;
RECT 199.880 196.800 200.200 197.120 ;
RECT 200.280 196.800 200.600 197.120 ;
RECT 200.680 196.800 201.000 197.120 ;
RECT 201.080 196.800 201.400 197.120 ;
RECT 201.480 196.800 201.800 197.120 ;
RECT 25.040 196.400 25.360 196.720 ;
RECT 25.440 196.400 25.760 196.720 ;
RECT 25.840 196.400 26.160 196.720 ;
RECT 26.240 196.400 26.560 196.720 ;
RECT 26.640 196.400 26.960 196.720 ;
RECT 27.040 196.400 27.360 196.720 ;
RECT 27.440 196.400 27.760 196.720 ;
RECT 27.840 196.400 28.160 196.720 ;
RECT 28.240 196.400 28.560 196.720 ;
RECT 28.640 196.400 28.960 196.720 ;
RECT 29.040 196.400 29.360 196.720 ;
RECT 29.440 196.400 29.760 196.720 ;
RECT 29.840 196.400 30.160 196.720 ;
RECT 30.240 196.400 30.560 196.720 ;
RECT 30.640 196.400 30.960 196.720 ;
RECT 31.040 196.400 31.360 196.720 ;
RECT 31.440 196.400 31.760 196.720 ;
RECT 31.840 196.400 32.160 196.720 ;
RECT 32.240 196.400 32.560 196.720 ;
RECT 32.640 196.400 32.960 196.720 ;
RECT 33.040 196.400 33.360 196.720 ;
RECT 33.440 196.400 33.760 196.720 ;
RECT 33.840 196.400 34.160 196.720 ;
RECT 34.240 196.400 34.560 196.720 ;
RECT 34.640 196.400 34.960 196.720 ;
RECT 35.040 196.400 35.360 196.720 ;
RECT 35.440 196.400 35.760 196.720 ;
RECT 35.840 196.400 36.160 196.720 ;
RECT 36.240 196.400 36.560 196.720 ;
RECT 36.640 196.400 36.960 196.720 ;
RECT 37.040 196.400 37.360 196.720 ;
RECT 37.440 196.400 37.760 196.720 ;
RECT 37.840 196.400 38.160 196.720 ;
RECT 38.240 196.400 38.560 196.720 ;
RECT 38.640 196.400 38.960 196.720 ;
RECT 39.040 196.400 39.360 196.720 ;
RECT 39.440 196.400 39.760 196.720 ;
RECT 39.840 196.400 40.160 196.720 ;
RECT 40.240 196.400 40.560 196.720 ;
RECT 40.640 196.400 40.960 196.720 ;
RECT 41.040 196.400 41.360 196.720 ;
RECT 41.440 196.400 41.760 196.720 ;
RECT 41.840 196.400 42.160 196.720 ;
RECT 42.240 196.400 42.560 196.720 ;
RECT 42.640 196.400 42.960 196.720 ;
RECT 43.040 196.400 43.360 196.720 ;
RECT 43.440 196.400 43.760 196.720 ;
RECT 43.840 196.400 44.160 196.720 ;
RECT 44.240 196.400 44.560 196.720 ;
RECT 44.640 196.400 44.960 196.720 ;
RECT 70.560 196.400 70.880 196.720 ;
RECT 70.960 196.400 71.280 196.720 ;
RECT 71.360 196.400 71.680 196.720 ;
RECT 71.760 196.400 72.080 196.720 ;
RECT 120.560 196.400 120.880 196.720 ;
RECT 120.960 196.400 121.280 196.720 ;
RECT 121.360 196.400 121.680 196.720 ;
RECT 121.760 196.400 122.080 196.720 ;
RECT 181.880 196.400 182.200 196.720 ;
RECT 182.280 196.400 182.600 196.720 ;
RECT 182.680 196.400 183.000 196.720 ;
RECT 183.080 196.400 183.400 196.720 ;
RECT 183.480 196.400 183.800 196.720 ;
RECT 183.880 196.400 184.200 196.720 ;
RECT 184.280 196.400 184.600 196.720 ;
RECT 184.680 196.400 185.000 196.720 ;
RECT 185.080 196.400 185.400 196.720 ;
RECT 185.480 196.400 185.800 196.720 ;
RECT 185.880 196.400 186.200 196.720 ;
RECT 186.280 196.400 186.600 196.720 ;
RECT 186.680 196.400 187.000 196.720 ;
RECT 187.080 196.400 187.400 196.720 ;
RECT 187.480 196.400 187.800 196.720 ;
RECT 187.880 196.400 188.200 196.720 ;
RECT 188.280 196.400 188.600 196.720 ;
RECT 188.680 196.400 189.000 196.720 ;
RECT 189.080 196.400 189.400 196.720 ;
RECT 189.480 196.400 189.800 196.720 ;
RECT 189.880 196.400 190.200 196.720 ;
RECT 190.280 196.400 190.600 196.720 ;
RECT 190.680 196.400 191.000 196.720 ;
RECT 191.080 196.400 191.400 196.720 ;
RECT 191.480 196.400 191.800 196.720 ;
RECT 191.880 196.400 192.200 196.720 ;
RECT 192.280 196.400 192.600 196.720 ;
RECT 192.680 196.400 193.000 196.720 ;
RECT 193.080 196.400 193.400 196.720 ;
RECT 193.480 196.400 193.800 196.720 ;
RECT 193.880 196.400 194.200 196.720 ;
RECT 194.280 196.400 194.600 196.720 ;
RECT 194.680 196.400 195.000 196.720 ;
RECT 195.080 196.400 195.400 196.720 ;
RECT 195.480 196.400 195.800 196.720 ;
RECT 195.880 196.400 196.200 196.720 ;
RECT 196.280 196.400 196.600 196.720 ;
RECT 196.680 196.400 197.000 196.720 ;
RECT 197.080 196.400 197.400 196.720 ;
RECT 197.480 196.400 197.800 196.720 ;
RECT 197.880 196.400 198.200 196.720 ;
RECT 198.280 196.400 198.600 196.720 ;
RECT 198.680 196.400 199.000 196.720 ;
RECT 199.080 196.400 199.400 196.720 ;
RECT 199.480 196.400 199.800 196.720 ;
RECT 199.880 196.400 200.200 196.720 ;
RECT 200.280 196.400 200.600 196.720 ;
RECT 200.680 196.400 201.000 196.720 ;
RECT 201.080 196.400 201.400 196.720 ;
RECT 201.480 196.400 201.800 196.720 ;
RECT 25.040 196.000 25.360 196.320 ;
RECT 25.440 196.000 25.760 196.320 ;
RECT 25.840 196.000 26.160 196.320 ;
RECT 26.240 196.000 26.560 196.320 ;
RECT 26.640 196.000 26.960 196.320 ;
RECT 27.040 196.000 27.360 196.320 ;
RECT 27.440 196.000 27.760 196.320 ;
RECT 27.840 196.000 28.160 196.320 ;
RECT 28.240 196.000 28.560 196.320 ;
RECT 28.640 196.000 28.960 196.320 ;
RECT 29.040 196.000 29.360 196.320 ;
RECT 29.440 196.000 29.760 196.320 ;
RECT 29.840 196.000 30.160 196.320 ;
RECT 30.240 196.000 30.560 196.320 ;
RECT 30.640 196.000 30.960 196.320 ;
RECT 31.040 196.000 31.360 196.320 ;
RECT 31.440 196.000 31.760 196.320 ;
RECT 31.840 196.000 32.160 196.320 ;
RECT 32.240 196.000 32.560 196.320 ;
RECT 32.640 196.000 32.960 196.320 ;
RECT 33.040 196.000 33.360 196.320 ;
RECT 33.440 196.000 33.760 196.320 ;
RECT 33.840 196.000 34.160 196.320 ;
RECT 34.240 196.000 34.560 196.320 ;
RECT 34.640 196.000 34.960 196.320 ;
RECT 35.040 196.000 35.360 196.320 ;
RECT 35.440 196.000 35.760 196.320 ;
RECT 35.840 196.000 36.160 196.320 ;
RECT 36.240 196.000 36.560 196.320 ;
RECT 36.640 196.000 36.960 196.320 ;
RECT 37.040 196.000 37.360 196.320 ;
RECT 37.440 196.000 37.760 196.320 ;
RECT 37.840 196.000 38.160 196.320 ;
RECT 38.240 196.000 38.560 196.320 ;
RECT 38.640 196.000 38.960 196.320 ;
RECT 39.040 196.000 39.360 196.320 ;
RECT 39.440 196.000 39.760 196.320 ;
RECT 39.840 196.000 40.160 196.320 ;
RECT 40.240 196.000 40.560 196.320 ;
RECT 40.640 196.000 40.960 196.320 ;
RECT 41.040 196.000 41.360 196.320 ;
RECT 41.440 196.000 41.760 196.320 ;
RECT 41.840 196.000 42.160 196.320 ;
RECT 42.240 196.000 42.560 196.320 ;
RECT 42.640 196.000 42.960 196.320 ;
RECT 43.040 196.000 43.360 196.320 ;
RECT 43.440 196.000 43.760 196.320 ;
RECT 43.840 196.000 44.160 196.320 ;
RECT 44.240 196.000 44.560 196.320 ;
RECT 44.640 196.000 44.960 196.320 ;
RECT 70.560 196.000 70.880 196.320 ;
RECT 70.960 196.000 71.280 196.320 ;
RECT 71.360 196.000 71.680 196.320 ;
RECT 71.760 196.000 72.080 196.320 ;
RECT 120.560 196.000 120.880 196.320 ;
RECT 120.960 196.000 121.280 196.320 ;
RECT 121.360 196.000 121.680 196.320 ;
RECT 121.760 196.000 122.080 196.320 ;
RECT 181.880 196.000 182.200 196.320 ;
RECT 182.280 196.000 182.600 196.320 ;
RECT 182.680 196.000 183.000 196.320 ;
RECT 183.080 196.000 183.400 196.320 ;
RECT 183.480 196.000 183.800 196.320 ;
RECT 183.880 196.000 184.200 196.320 ;
RECT 184.280 196.000 184.600 196.320 ;
RECT 184.680 196.000 185.000 196.320 ;
RECT 185.080 196.000 185.400 196.320 ;
RECT 185.480 196.000 185.800 196.320 ;
RECT 185.880 196.000 186.200 196.320 ;
RECT 186.280 196.000 186.600 196.320 ;
RECT 186.680 196.000 187.000 196.320 ;
RECT 187.080 196.000 187.400 196.320 ;
RECT 187.480 196.000 187.800 196.320 ;
RECT 187.880 196.000 188.200 196.320 ;
RECT 188.280 196.000 188.600 196.320 ;
RECT 188.680 196.000 189.000 196.320 ;
RECT 189.080 196.000 189.400 196.320 ;
RECT 189.480 196.000 189.800 196.320 ;
RECT 189.880 196.000 190.200 196.320 ;
RECT 190.280 196.000 190.600 196.320 ;
RECT 190.680 196.000 191.000 196.320 ;
RECT 191.080 196.000 191.400 196.320 ;
RECT 191.480 196.000 191.800 196.320 ;
RECT 191.880 196.000 192.200 196.320 ;
RECT 192.280 196.000 192.600 196.320 ;
RECT 192.680 196.000 193.000 196.320 ;
RECT 193.080 196.000 193.400 196.320 ;
RECT 193.480 196.000 193.800 196.320 ;
RECT 193.880 196.000 194.200 196.320 ;
RECT 194.280 196.000 194.600 196.320 ;
RECT 194.680 196.000 195.000 196.320 ;
RECT 195.080 196.000 195.400 196.320 ;
RECT 195.480 196.000 195.800 196.320 ;
RECT 195.880 196.000 196.200 196.320 ;
RECT 196.280 196.000 196.600 196.320 ;
RECT 196.680 196.000 197.000 196.320 ;
RECT 197.080 196.000 197.400 196.320 ;
RECT 197.480 196.000 197.800 196.320 ;
RECT 197.880 196.000 198.200 196.320 ;
RECT 198.280 196.000 198.600 196.320 ;
RECT 198.680 196.000 199.000 196.320 ;
RECT 199.080 196.000 199.400 196.320 ;
RECT 199.480 196.000 199.800 196.320 ;
RECT 199.880 196.000 200.200 196.320 ;
RECT 200.280 196.000 200.600 196.320 ;
RECT 200.680 196.000 201.000 196.320 ;
RECT 201.080 196.000 201.400 196.320 ;
RECT 201.480 196.000 201.800 196.320 ;
RECT 25.040 195.600 25.360 195.920 ;
RECT 25.440 195.600 25.760 195.920 ;
RECT 25.840 195.600 26.160 195.920 ;
RECT 26.240 195.600 26.560 195.920 ;
RECT 26.640 195.600 26.960 195.920 ;
RECT 27.040 195.600 27.360 195.920 ;
RECT 27.440 195.600 27.760 195.920 ;
RECT 27.840 195.600 28.160 195.920 ;
RECT 28.240 195.600 28.560 195.920 ;
RECT 28.640 195.600 28.960 195.920 ;
RECT 29.040 195.600 29.360 195.920 ;
RECT 29.440 195.600 29.760 195.920 ;
RECT 29.840 195.600 30.160 195.920 ;
RECT 30.240 195.600 30.560 195.920 ;
RECT 30.640 195.600 30.960 195.920 ;
RECT 31.040 195.600 31.360 195.920 ;
RECT 31.440 195.600 31.760 195.920 ;
RECT 31.840 195.600 32.160 195.920 ;
RECT 32.240 195.600 32.560 195.920 ;
RECT 32.640 195.600 32.960 195.920 ;
RECT 33.040 195.600 33.360 195.920 ;
RECT 33.440 195.600 33.760 195.920 ;
RECT 33.840 195.600 34.160 195.920 ;
RECT 34.240 195.600 34.560 195.920 ;
RECT 34.640 195.600 34.960 195.920 ;
RECT 35.040 195.600 35.360 195.920 ;
RECT 35.440 195.600 35.760 195.920 ;
RECT 35.840 195.600 36.160 195.920 ;
RECT 36.240 195.600 36.560 195.920 ;
RECT 36.640 195.600 36.960 195.920 ;
RECT 37.040 195.600 37.360 195.920 ;
RECT 37.440 195.600 37.760 195.920 ;
RECT 37.840 195.600 38.160 195.920 ;
RECT 38.240 195.600 38.560 195.920 ;
RECT 38.640 195.600 38.960 195.920 ;
RECT 39.040 195.600 39.360 195.920 ;
RECT 39.440 195.600 39.760 195.920 ;
RECT 39.840 195.600 40.160 195.920 ;
RECT 40.240 195.600 40.560 195.920 ;
RECT 40.640 195.600 40.960 195.920 ;
RECT 41.040 195.600 41.360 195.920 ;
RECT 41.440 195.600 41.760 195.920 ;
RECT 41.840 195.600 42.160 195.920 ;
RECT 42.240 195.600 42.560 195.920 ;
RECT 42.640 195.600 42.960 195.920 ;
RECT 43.040 195.600 43.360 195.920 ;
RECT 43.440 195.600 43.760 195.920 ;
RECT 43.840 195.600 44.160 195.920 ;
RECT 44.240 195.600 44.560 195.920 ;
RECT 44.640 195.600 44.960 195.920 ;
RECT 70.560 195.600 70.880 195.920 ;
RECT 70.960 195.600 71.280 195.920 ;
RECT 71.360 195.600 71.680 195.920 ;
RECT 71.760 195.600 72.080 195.920 ;
RECT 120.560 195.600 120.880 195.920 ;
RECT 120.960 195.600 121.280 195.920 ;
RECT 121.360 195.600 121.680 195.920 ;
RECT 121.760 195.600 122.080 195.920 ;
RECT 181.880 195.600 182.200 195.920 ;
RECT 182.280 195.600 182.600 195.920 ;
RECT 182.680 195.600 183.000 195.920 ;
RECT 183.080 195.600 183.400 195.920 ;
RECT 183.480 195.600 183.800 195.920 ;
RECT 183.880 195.600 184.200 195.920 ;
RECT 184.280 195.600 184.600 195.920 ;
RECT 184.680 195.600 185.000 195.920 ;
RECT 185.080 195.600 185.400 195.920 ;
RECT 185.480 195.600 185.800 195.920 ;
RECT 185.880 195.600 186.200 195.920 ;
RECT 186.280 195.600 186.600 195.920 ;
RECT 186.680 195.600 187.000 195.920 ;
RECT 187.080 195.600 187.400 195.920 ;
RECT 187.480 195.600 187.800 195.920 ;
RECT 187.880 195.600 188.200 195.920 ;
RECT 188.280 195.600 188.600 195.920 ;
RECT 188.680 195.600 189.000 195.920 ;
RECT 189.080 195.600 189.400 195.920 ;
RECT 189.480 195.600 189.800 195.920 ;
RECT 189.880 195.600 190.200 195.920 ;
RECT 190.280 195.600 190.600 195.920 ;
RECT 190.680 195.600 191.000 195.920 ;
RECT 191.080 195.600 191.400 195.920 ;
RECT 191.480 195.600 191.800 195.920 ;
RECT 191.880 195.600 192.200 195.920 ;
RECT 192.280 195.600 192.600 195.920 ;
RECT 192.680 195.600 193.000 195.920 ;
RECT 193.080 195.600 193.400 195.920 ;
RECT 193.480 195.600 193.800 195.920 ;
RECT 193.880 195.600 194.200 195.920 ;
RECT 194.280 195.600 194.600 195.920 ;
RECT 194.680 195.600 195.000 195.920 ;
RECT 195.080 195.600 195.400 195.920 ;
RECT 195.480 195.600 195.800 195.920 ;
RECT 195.880 195.600 196.200 195.920 ;
RECT 196.280 195.600 196.600 195.920 ;
RECT 196.680 195.600 197.000 195.920 ;
RECT 197.080 195.600 197.400 195.920 ;
RECT 197.480 195.600 197.800 195.920 ;
RECT 197.880 195.600 198.200 195.920 ;
RECT 198.280 195.600 198.600 195.920 ;
RECT 198.680 195.600 199.000 195.920 ;
RECT 199.080 195.600 199.400 195.920 ;
RECT 199.480 195.600 199.800 195.920 ;
RECT 199.880 195.600 200.200 195.920 ;
RECT 200.280 195.600 200.600 195.920 ;
RECT 200.680 195.600 201.000 195.920 ;
RECT 201.080 195.600 201.400 195.920 ;
RECT 201.480 195.600 201.800 195.920 ;
RECT 25.040 195.200 25.360 195.520 ;
RECT 25.440 195.200 25.760 195.520 ;
RECT 25.840 195.200 26.160 195.520 ;
RECT 26.240 195.200 26.560 195.520 ;
RECT 26.640 195.200 26.960 195.520 ;
RECT 27.040 195.200 27.360 195.520 ;
RECT 27.440 195.200 27.760 195.520 ;
RECT 27.840 195.200 28.160 195.520 ;
RECT 28.240 195.200 28.560 195.520 ;
RECT 28.640 195.200 28.960 195.520 ;
RECT 29.040 195.200 29.360 195.520 ;
RECT 29.440 195.200 29.760 195.520 ;
RECT 29.840 195.200 30.160 195.520 ;
RECT 30.240 195.200 30.560 195.520 ;
RECT 30.640 195.200 30.960 195.520 ;
RECT 31.040 195.200 31.360 195.520 ;
RECT 31.440 195.200 31.760 195.520 ;
RECT 31.840 195.200 32.160 195.520 ;
RECT 32.240 195.200 32.560 195.520 ;
RECT 32.640 195.200 32.960 195.520 ;
RECT 33.040 195.200 33.360 195.520 ;
RECT 33.440 195.200 33.760 195.520 ;
RECT 33.840 195.200 34.160 195.520 ;
RECT 34.240 195.200 34.560 195.520 ;
RECT 34.640 195.200 34.960 195.520 ;
RECT 35.040 195.200 35.360 195.520 ;
RECT 35.440 195.200 35.760 195.520 ;
RECT 35.840 195.200 36.160 195.520 ;
RECT 36.240 195.200 36.560 195.520 ;
RECT 36.640 195.200 36.960 195.520 ;
RECT 37.040 195.200 37.360 195.520 ;
RECT 37.440 195.200 37.760 195.520 ;
RECT 37.840 195.200 38.160 195.520 ;
RECT 38.240 195.200 38.560 195.520 ;
RECT 38.640 195.200 38.960 195.520 ;
RECT 39.040 195.200 39.360 195.520 ;
RECT 39.440 195.200 39.760 195.520 ;
RECT 39.840 195.200 40.160 195.520 ;
RECT 40.240 195.200 40.560 195.520 ;
RECT 40.640 195.200 40.960 195.520 ;
RECT 41.040 195.200 41.360 195.520 ;
RECT 41.440 195.200 41.760 195.520 ;
RECT 41.840 195.200 42.160 195.520 ;
RECT 42.240 195.200 42.560 195.520 ;
RECT 42.640 195.200 42.960 195.520 ;
RECT 43.040 195.200 43.360 195.520 ;
RECT 43.440 195.200 43.760 195.520 ;
RECT 43.840 195.200 44.160 195.520 ;
RECT 44.240 195.200 44.560 195.520 ;
RECT 44.640 195.200 44.960 195.520 ;
RECT 70.560 195.200 70.880 195.520 ;
RECT 70.960 195.200 71.280 195.520 ;
RECT 71.360 195.200 71.680 195.520 ;
RECT 71.760 195.200 72.080 195.520 ;
RECT 120.560 195.200 120.880 195.520 ;
RECT 120.960 195.200 121.280 195.520 ;
RECT 121.360 195.200 121.680 195.520 ;
RECT 121.760 195.200 122.080 195.520 ;
RECT 181.880 195.200 182.200 195.520 ;
RECT 182.280 195.200 182.600 195.520 ;
RECT 182.680 195.200 183.000 195.520 ;
RECT 183.080 195.200 183.400 195.520 ;
RECT 183.480 195.200 183.800 195.520 ;
RECT 183.880 195.200 184.200 195.520 ;
RECT 184.280 195.200 184.600 195.520 ;
RECT 184.680 195.200 185.000 195.520 ;
RECT 185.080 195.200 185.400 195.520 ;
RECT 185.480 195.200 185.800 195.520 ;
RECT 185.880 195.200 186.200 195.520 ;
RECT 186.280 195.200 186.600 195.520 ;
RECT 186.680 195.200 187.000 195.520 ;
RECT 187.080 195.200 187.400 195.520 ;
RECT 187.480 195.200 187.800 195.520 ;
RECT 187.880 195.200 188.200 195.520 ;
RECT 188.280 195.200 188.600 195.520 ;
RECT 188.680 195.200 189.000 195.520 ;
RECT 189.080 195.200 189.400 195.520 ;
RECT 189.480 195.200 189.800 195.520 ;
RECT 189.880 195.200 190.200 195.520 ;
RECT 190.280 195.200 190.600 195.520 ;
RECT 190.680 195.200 191.000 195.520 ;
RECT 191.080 195.200 191.400 195.520 ;
RECT 191.480 195.200 191.800 195.520 ;
RECT 191.880 195.200 192.200 195.520 ;
RECT 192.280 195.200 192.600 195.520 ;
RECT 192.680 195.200 193.000 195.520 ;
RECT 193.080 195.200 193.400 195.520 ;
RECT 193.480 195.200 193.800 195.520 ;
RECT 193.880 195.200 194.200 195.520 ;
RECT 194.280 195.200 194.600 195.520 ;
RECT 194.680 195.200 195.000 195.520 ;
RECT 195.080 195.200 195.400 195.520 ;
RECT 195.480 195.200 195.800 195.520 ;
RECT 195.880 195.200 196.200 195.520 ;
RECT 196.280 195.200 196.600 195.520 ;
RECT 196.680 195.200 197.000 195.520 ;
RECT 197.080 195.200 197.400 195.520 ;
RECT 197.480 195.200 197.800 195.520 ;
RECT 197.880 195.200 198.200 195.520 ;
RECT 198.280 195.200 198.600 195.520 ;
RECT 198.680 195.200 199.000 195.520 ;
RECT 199.080 195.200 199.400 195.520 ;
RECT 199.480 195.200 199.800 195.520 ;
RECT 199.880 195.200 200.200 195.520 ;
RECT 200.280 195.200 200.600 195.520 ;
RECT 200.680 195.200 201.000 195.520 ;
RECT 201.080 195.200 201.400 195.520 ;
RECT 201.480 195.200 201.800 195.520 ;
RECT 25.040 194.800 25.360 195.120 ;
RECT 25.440 194.800 25.760 195.120 ;
RECT 25.840 194.800 26.160 195.120 ;
RECT 26.240 194.800 26.560 195.120 ;
RECT 26.640 194.800 26.960 195.120 ;
RECT 27.040 194.800 27.360 195.120 ;
RECT 27.440 194.800 27.760 195.120 ;
RECT 27.840 194.800 28.160 195.120 ;
RECT 28.240 194.800 28.560 195.120 ;
RECT 28.640 194.800 28.960 195.120 ;
RECT 29.040 194.800 29.360 195.120 ;
RECT 29.440 194.800 29.760 195.120 ;
RECT 29.840 194.800 30.160 195.120 ;
RECT 30.240 194.800 30.560 195.120 ;
RECT 30.640 194.800 30.960 195.120 ;
RECT 31.040 194.800 31.360 195.120 ;
RECT 31.440 194.800 31.760 195.120 ;
RECT 31.840 194.800 32.160 195.120 ;
RECT 32.240 194.800 32.560 195.120 ;
RECT 32.640 194.800 32.960 195.120 ;
RECT 33.040 194.800 33.360 195.120 ;
RECT 33.440 194.800 33.760 195.120 ;
RECT 33.840 194.800 34.160 195.120 ;
RECT 34.240 194.800 34.560 195.120 ;
RECT 34.640 194.800 34.960 195.120 ;
RECT 35.040 194.800 35.360 195.120 ;
RECT 35.440 194.800 35.760 195.120 ;
RECT 35.840 194.800 36.160 195.120 ;
RECT 36.240 194.800 36.560 195.120 ;
RECT 36.640 194.800 36.960 195.120 ;
RECT 37.040 194.800 37.360 195.120 ;
RECT 37.440 194.800 37.760 195.120 ;
RECT 37.840 194.800 38.160 195.120 ;
RECT 38.240 194.800 38.560 195.120 ;
RECT 38.640 194.800 38.960 195.120 ;
RECT 39.040 194.800 39.360 195.120 ;
RECT 39.440 194.800 39.760 195.120 ;
RECT 39.840 194.800 40.160 195.120 ;
RECT 40.240 194.800 40.560 195.120 ;
RECT 40.640 194.800 40.960 195.120 ;
RECT 41.040 194.800 41.360 195.120 ;
RECT 41.440 194.800 41.760 195.120 ;
RECT 41.840 194.800 42.160 195.120 ;
RECT 42.240 194.800 42.560 195.120 ;
RECT 42.640 194.800 42.960 195.120 ;
RECT 43.040 194.800 43.360 195.120 ;
RECT 43.440 194.800 43.760 195.120 ;
RECT 43.840 194.800 44.160 195.120 ;
RECT 44.240 194.800 44.560 195.120 ;
RECT 44.640 194.800 44.960 195.120 ;
RECT 70.560 194.800 70.880 195.120 ;
RECT 70.960 194.800 71.280 195.120 ;
RECT 71.360 194.800 71.680 195.120 ;
RECT 71.760 194.800 72.080 195.120 ;
RECT 120.560 194.800 120.880 195.120 ;
RECT 120.960 194.800 121.280 195.120 ;
RECT 121.360 194.800 121.680 195.120 ;
RECT 121.760 194.800 122.080 195.120 ;
RECT 181.880 194.800 182.200 195.120 ;
RECT 182.280 194.800 182.600 195.120 ;
RECT 182.680 194.800 183.000 195.120 ;
RECT 183.080 194.800 183.400 195.120 ;
RECT 183.480 194.800 183.800 195.120 ;
RECT 183.880 194.800 184.200 195.120 ;
RECT 184.280 194.800 184.600 195.120 ;
RECT 184.680 194.800 185.000 195.120 ;
RECT 185.080 194.800 185.400 195.120 ;
RECT 185.480 194.800 185.800 195.120 ;
RECT 185.880 194.800 186.200 195.120 ;
RECT 186.280 194.800 186.600 195.120 ;
RECT 186.680 194.800 187.000 195.120 ;
RECT 187.080 194.800 187.400 195.120 ;
RECT 187.480 194.800 187.800 195.120 ;
RECT 187.880 194.800 188.200 195.120 ;
RECT 188.280 194.800 188.600 195.120 ;
RECT 188.680 194.800 189.000 195.120 ;
RECT 189.080 194.800 189.400 195.120 ;
RECT 189.480 194.800 189.800 195.120 ;
RECT 189.880 194.800 190.200 195.120 ;
RECT 190.280 194.800 190.600 195.120 ;
RECT 190.680 194.800 191.000 195.120 ;
RECT 191.080 194.800 191.400 195.120 ;
RECT 191.480 194.800 191.800 195.120 ;
RECT 191.880 194.800 192.200 195.120 ;
RECT 192.280 194.800 192.600 195.120 ;
RECT 192.680 194.800 193.000 195.120 ;
RECT 193.080 194.800 193.400 195.120 ;
RECT 193.480 194.800 193.800 195.120 ;
RECT 193.880 194.800 194.200 195.120 ;
RECT 194.280 194.800 194.600 195.120 ;
RECT 194.680 194.800 195.000 195.120 ;
RECT 195.080 194.800 195.400 195.120 ;
RECT 195.480 194.800 195.800 195.120 ;
RECT 195.880 194.800 196.200 195.120 ;
RECT 196.280 194.800 196.600 195.120 ;
RECT 196.680 194.800 197.000 195.120 ;
RECT 197.080 194.800 197.400 195.120 ;
RECT 197.480 194.800 197.800 195.120 ;
RECT 197.880 194.800 198.200 195.120 ;
RECT 198.280 194.800 198.600 195.120 ;
RECT 198.680 194.800 199.000 195.120 ;
RECT 199.080 194.800 199.400 195.120 ;
RECT 199.480 194.800 199.800 195.120 ;
RECT 199.880 194.800 200.200 195.120 ;
RECT 200.280 194.800 200.600 195.120 ;
RECT 200.680 194.800 201.000 195.120 ;
RECT 201.080 194.800 201.400 195.120 ;
RECT 201.480 194.800 201.800 195.120 ;
RECT 25.040 194.400 25.360 194.720 ;
RECT 25.440 194.400 25.760 194.720 ;
RECT 25.840 194.400 26.160 194.720 ;
RECT 26.240 194.400 26.560 194.720 ;
RECT 26.640 194.400 26.960 194.720 ;
RECT 27.040 194.400 27.360 194.720 ;
RECT 27.440 194.400 27.760 194.720 ;
RECT 27.840 194.400 28.160 194.720 ;
RECT 28.240 194.400 28.560 194.720 ;
RECT 28.640 194.400 28.960 194.720 ;
RECT 29.040 194.400 29.360 194.720 ;
RECT 29.440 194.400 29.760 194.720 ;
RECT 29.840 194.400 30.160 194.720 ;
RECT 30.240 194.400 30.560 194.720 ;
RECT 30.640 194.400 30.960 194.720 ;
RECT 31.040 194.400 31.360 194.720 ;
RECT 31.440 194.400 31.760 194.720 ;
RECT 31.840 194.400 32.160 194.720 ;
RECT 32.240 194.400 32.560 194.720 ;
RECT 32.640 194.400 32.960 194.720 ;
RECT 33.040 194.400 33.360 194.720 ;
RECT 33.440 194.400 33.760 194.720 ;
RECT 33.840 194.400 34.160 194.720 ;
RECT 34.240 194.400 34.560 194.720 ;
RECT 34.640 194.400 34.960 194.720 ;
RECT 35.040 194.400 35.360 194.720 ;
RECT 35.440 194.400 35.760 194.720 ;
RECT 35.840 194.400 36.160 194.720 ;
RECT 36.240 194.400 36.560 194.720 ;
RECT 36.640 194.400 36.960 194.720 ;
RECT 37.040 194.400 37.360 194.720 ;
RECT 37.440 194.400 37.760 194.720 ;
RECT 37.840 194.400 38.160 194.720 ;
RECT 38.240 194.400 38.560 194.720 ;
RECT 38.640 194.400 38.960 194.720 ;
RECT 39.040 194.400 39.360 194.720 ;
RECT 39.440 194.400 39.760 194.720 ;
RECT 39.840 194.400 40.160 194.720 ;
RECT 40.240 194.400 40.560 194.720 ;
RECT 40.640 194.400 40.960 194.720 ;
RECT 41.040 194.400 41.360 194.720 ;
RECT 41.440 194.400 41.760 194.720 ;
RECT 41.840 194.400 42.160 194.720 ;
RECT 42.240 194.400 42.560 194.720 ;
RECT 42.640 194.400 42.960 194.720 ;
RECT 43.040 194.400 43.360 194.720 ;
RECT 43.440 194.400 43.760 194.720 ;
RECT 43.840 194.400 44.160 194.720 ;
RECT 44.240 194.400 44.560 194.720 ;
RECT 44.640 194.400 44.960 194.720 ;
RECT 70.560 194.400 70.880 194.720 ;
RECT 70.960 194.400 71.280 194.720 ;
RECT 71.360 194.400 71.680 194.720 ;
RECT 71.760 194.400 72.080 194.720 ;
RECT 120.560 194.400 120.880 194.720 ;
RECT 120.960 194.400 121.280 194.720 ;
RECT 121.360 194.400 121.680 194.720 ;
RECT 121.760 194.400 122.080 194.720 ;
RECT 181.880 194.400 182.200 194.720 ;
RECT 182.280 194.400 182.600 194.720 ;
RECT 182.680 194.400 183.000 194.720 ;
RECT 183.080 194.400 183.400 194.720 ;
RECT 183.480 194.400 183.800 194.720 ;
RECT 183.880 194.400 184.200 194.720 ;
RECT 184.280 194.400 184.600 194.720 ;
RECT 184.680 194.400 185.000 194.720 ;
RECT 185.080 194.400 185.400 194.720 ;
RECT 185.480 194.400 185.800 194.720 ;
RECT 185.880 194.400 186.200 194.720 ;
RECT 186.280 194.400 186.600 194.720 ;
RECT 186.680 194.400 187.000 194.720 ;
RECT 187.080 194.400 187.400 194.720 ;
RECT 187.480 194.400 187.800 194.720 ;
RECT 187.880 194.400 188.200 194.720 ;
RECT 188.280 194.400 188.600 194.720 ;
RECT 188.680 194.400 189.000 194.720 ;
RECT 189.080 194.400 189.400 194.720 ;
RECT 189.480 194.400 189.800 194.720 ;
RECT 189.880 194.400 190.200 194.720 ;
RECT 190.280 194.400 190.600 194.720 ;
RECT 190.680 194.400 191.000 194.720 ;
RECT 191.080 194.400 191.400 194.720 ;
RECT 191.480 194.400 191.800 194.720 ;
RECT 191.880 194.400 192.200 194.720 ;
RECT 192.280 194.400 192.600 194.720 ;
RECT 192.680 194.400 193.000 194.720 ;
RECT 193.080 194.400 193.400 194.720 ;
RECT 193.480 194.400 193.800 194.720 ;
RECT 193.880 194.400 194.200 194.720 ;
RECT 194.280 194.400 194.600 194.720 ;
RECT 194.680 194.400 195.000 194.720 ;
RECT 195.080 194.400 195.400 194.720 ;
RECT 195.480 194.400 195.800 194.720 ;
RECT 195.880 194.400 196.200 194.720 ;
RECT 196.280 194.400 196.600 194.720 ;
RECT 196.680 194.400 197.000 194.720 ;
RECT 197.080 194.400 197.400 194.720 ;
RECT 197.480 194.400 197.800 194.720 ;
RECT 197.880 194.400 198.200 194.720 ;
RECT 198.280 194.400 198.600 194.720 ;
RECT 198.680 194.400 199.000 194.720 ;
RECT 199.080 194.400 199.400 194.720 ;
RECT 199.480 194.400 199.800 194.720 ;
RECT 199.880 194.400 200.200 194.720 ;
RECT 200.280 194.400 200.600 194.720 ;
RECT 200.680 194.400 201.000 194.720 ;
RECT 201.080 194.400 201.400 194.720 ;
RECT 201.480 194.400 201.800 194.720 ;
RECT 25.040 194.000 25.360 194.320 ;
RECT 25.440 194.000 25.760 194.320 ;
RECT 25.840 194.000 26.160 194.320 ;
RECT 26.240 194.000 26.560 194.320 ;
RECT 26.640 194.000 26.960 194.320 ;
RECT 27.040 194.000 27.360 194.320 ;
RECT 27.440 194.000 27.760 194.320 ;
RECT 27.840 194.000 28.160 194.320 ;
RECT 28.240 194.000 28.560 194.320 ;
RECT 28.640 194.000 28.960 194.320 ;
RECT 29.040 194.000 29.360 194.320 ;
RECT 29.440 194.000 29.760 194.320 ;
RECT 29.840 194.000 30.160 194.320 ;
RECT 30.240 194.000 30.560 194.320 ;
RECT 30.640 194.000 30.960 194.320 ;
RECT 31.040 194.000 31.360 194.320 ;
RECT 31.440 194.000 31.760 194.320 ;
RECT 31.840 194.000 32.160 194.320 ;
RECT 32.240 194.000 32.560 194.320 ;
RECT 32.640 194.000 32.960 194.320 ;
RECT 33.040 194.000 33.360 194.320 ;
RECT 33.440 194.000 33.760 194.320 ;
RECT 33.840 194.000 34.160 194.320 ;
RECT 34.240 194.000 34.560 194.320 ;
RECT 34.640 194.000 34.960 194.320 ;
RECT 35.040 194.000 35.360 194.320 ;
RECT 35.440 194.000 35.760 194.320 ;
RECT 35.840 194.000 36.160 194.320 ;
RECT 36.240 194.000 36.560 194.320 ;
RECT 36.640 194.000 36.960 194.320 ;
RECT 37.040 194.000 37.360 194.320 ;
RECT 37.440 194.000 37.760 194.320 ;
RECT 37.840 194.000 38.160 194.320 ;
RECT 38.240 194.000 38.560 194.320 ;
RECT 38.640 194.000 38.960 194.320 ;
RECT 39.040 194.000 39.360 194.320 ;
RECT 39.440 194.000 39.760 194.320 ;
RECT 39.840 194.000 40.160 194.320 ;
RECT 40.240 194.000 40.560 194.320 ;
RECT 40.640 194.000 40.960 194.320 ;
RECT 41.040 194.000 41.360 194.320 ;
RECT 41.440 194.000 41.760 194.320 ;
RECT 41.840 194.000 42.160 194.320 ;
RECT 42.240 194.000 42.560 194.320 ;
RECT 42.640 194.000 42.960 194.320 ;
RECT 43.040 194.000 43.360 194.320 ;
RECT 43.440 194.000 43.760 194.320 ;
RECT 43.840 194.000 44.160 194.320 ;
RECT 44.240 194.000 44.560 194.320 ;
RECT 44.640 194.000 44.960 194.320 ;
RECT 70.560 194.000 70.880 194.320 ;
RECT 70.960 194.000 71.280 194.320 ;
RECT 71.360 194.000 71.680 194.320 ;
RECT 71.760 194.000 72.080 194.320 ;
RECT 120.560 194.000 120.880 194.320 ;
RECT 120.960 194.000 121.280 194.320 ;
RECT 121.360 194.000 121.680 194.320 ;
RECT 121.760 194.000 122.080 194.320 ;
RECT 181.880 194.000 182.200 194.320 ;
RECT 182.280 194.000 182.600 194.320 ;
RECT 182.680 194.000 183.000 194.320 ;
RECT 183.080 194.000 183.400 194.320 ;
RECT 183.480 194.000 183.800 194.320 ;
RECT 183.880 194.000 184.200 194.320 ;
RECT 184.280 194.000 184.600 194.320 ;
RECT 184.680 194.000 185.000 194.320 ;
RECT 185.080 194.000 185.400 194.320 ;
RECT 185.480 194.000 185.800 194.320 ;
RECT 185.880 194.000 186.200 194.320 ;
RECT 186.280 194.000 186.600 194.320 ;
RECT 186.680 194.000 187.000 194.320 ;
RECT 187.080 194.000 187.400 194.320 ;
RECT 187.480 194.000 187.800 194.320 ;
RECT 187.880 194.000 188.200 194.320 ;
RECT 188.280 194.000 188.600 194.320 ;
RECT 188.680 194.000 189.000 194.320 ;
RECT 189.080 194.000 189.400 194.320 ;
RECT 189.480 194.000 189.800 194.320 ;
RECT 189.880 194.000 190.200 194.320 ;
RECT 190.280 194.000 190.600 194.320 ;
RECT 190.680 194.000 191.000 194.320 ;
RECT 191.080 194.000 191.400 194.320 ;
RECT 191.480 194.000 191.800 194.320 ;
RECT 191.880 194.000 192.200 194.320 ;
RECT 192.280 194.000 192.600 194.320 ;
RECT 192.680 194.000 193.000 194.320 ;
RECT 193.080 194.000 193.400 194.320 ;
RECT 193.480 194.000 193.800 194.320 ;
RECT 193.880 194.000 194.200 194.320 ;
RECT 194.280 194.000 194.600 194.320 ;
RECT 194.680 194.000 195.000 194.320 ;
RECT 195.080 194.000 195.400 194.320 ;
RECT 195.480 194.000 195.800 194.320 ;
RECT 195.880 194.000 196.200 194.320 ;
RECT 196.280 194.000 196.600 194.320 ;
RECT 196.680 194.000 197.000 194.320 ;
RECT 197.080 194.000 197.400 194.320 ;
RECT 197.480 194.000 197.800 194.320 ;
RECT 197.880 194.000 198.200 194.320 ;
RECT 198.280 194.000 198.600 194.320 ;
RECT 198.680 194.000 199.000 194.320 ;
RECT 199.080 194.000 199.400 194.320 ;
RECT 199.480 194.000 199.800 194.320 ;
RECT 199.880 194.000 200.200 194.320 ;
RECT 200.280 194.000 200.600 194.320 ;
RECT 200.680 194.000 201.000 194.320 ;
RECT 201.080 194.000 201.400 194.320 ;
RECT 201.480 194.000 201.800 194.320 ;
RECT 25.040 193.600 25.360 193.920 ;
RECT 25.440 193.600 25.760 193.920 ;
RECT 25.840 193.600 26.160 193.920 ;
RECT 26.240 193.600 26.560 193.920 ;
RECT 26.640 193.600 26.960 193.920 ;
RECT 27.040 193.600 27.360 193.920 ;
RECT 27.440 193.600 27.760 193.920 ;
RECT 27.840 193.600 28.160 193.920 ;
RECT 28.240 193.600 28.560 193.920 ;
RECT 28.640 193.600 28.960 193.920 ;
RECT 29.040 193.600 29.360 193.920 ;
RECT 29.440 193.600 29.760 193.920 ;
RECT 29.840 193.600 30.160 193.920 ;
RECT 30.240 193.600 30.560 193.920 ;
RECT 30.640 193.600 30.960 193.920 ;
RECT 31.040 193.600 31.360 193.920 ;
RECT 31.440 193.600 31.760 193.920 ;
RECT 31.840 193.600 32.160 193.920 ;
RECT 32.240 193.600 32.560 193.920 ;
RECT 32.640 193.600 32.960 193.920 ;
RECT 33.040 193.600 33.360 193.920 ;
RECT 33.440 193.600 33.760 193.920 ;
RECT 33.840 193.600 34.160 193.920 ;
RECT 34.240 193.600 34.560 193.920 ;
RECT 34.640 193.600 34.960 193.920 ;
RECT 35.040 193.600 35.360 193.920 ;
RECT 35.440 193.600 35.760 193.920 ;
RECT 35.840 193.600 36.160 193.920 ;
RECT 36.240 193.600 36.560 193.920 ;
RECT 36.640 193.600 36.960 193.920 ;
RECT 37.040 193.600 37.360 193.920 ;
RECT 37.440 193.600 37.760 193.920 ;
RECT 37.840 193.600 38.160 193.920 ;
RECT 38.240 193.600 38.560 193.920 ;
RECT 38.640 193.600 38.960 193.920 ;
RECT 39.040 193.600 39.360 193.920 ;
RECT 39.440 193.600 39.760 193.920 ;
RECT 39.840 193.600 40.160 193.920 ;
RECT 40.240 193.600 40.560 193.920 ;
RECT 40.640 193.600 40.960 193.920 ;
RECT 41.040 193.600 41.360 193.920 ;
RECT 41.440 193.600 41.760 193.920 ;
RECT 41.840 193.600 42.160 193.920 ;
RECT 42.240 193.600 42.560 193.920 ;
RECT 42.640 193.600 42.960 193.920 ;
RECT 43.040 193.600 43.360 193.920 ;
RECT 43.440 193.600 43.760 193.920 ;
RECT 43.840 193.600 44.160 193.920 ;
RECT 44.240 193.600 44.560 193.920 ;
RECT 44.640 193.600 44.960 193.920 ;
RECT 70.560 193.600 70.880 193.920 ;
RECT 70.960 193.600 71.280 193.920 ;
RECT 71.360 193.600 71.680 193.920 ;
RECT 71.760 193.600 72.080 193.920 ;
RECT 120.560 193.600 120.880 193.920 ;
RECT 120.960 193.600 121.280 193.920 ;
RECT 121.360 193.600 121.680 193.920 ;
RECT 121.760 193.600 122.080 193.920 ;
RECT 181.880 193.600 182.200 193.920 ;
RECT 182.280 193.600 182.600 193.920 ;
RECT 182.680 193.600 183.000 193.920 ;
RECT 183.080 193.600 183.400 193.920 ;
RECT 183.480 193.600 183.800 193.920 ;
RECT 183.880 193.600 184.200 193.920 ;
RECT 184.280 193.600 184.600 193.920 ;
RECT 184.680 193.600 185.000 193.920 ;
RECT 185.080 193.600 185.400 193.920 ;
RECT 185.480 193.600 185.800 193.920 ;
RECT 185.880 193.600 186.200 193.920 ;
RECT 186.280 193.600 186.600 193.920 ;
RECT 186.680 193.600 187.000 193.920 ;
RECT 187.080 193.600 187.400 193.920 ;
RECT 187.480 193.600 187.800 193.920 ;
RECT 187.880 193.600 188.200 193.920 ;
RECT 188.280 193.600 188.600 193.920 ;
RECT 188.680 193.600 189.000 193.920 ;
RECT 189.080 193.600 189.400 193.920 ;
RECT 189.480 193.600 189.800 193.920 ;
RECT 189.880 193.600 190.200 193.920 ;
RECT 190.280 193.600 190.600 193.920 ;
RECT 190.680 193.600 191.000 193.920 ;
RECT 191.080 193.600 191.400 193.920 ;
RECT 191.480 193.600 191.800 193.920 ;
RECT 191.880 193.600 192.200 193.920 ;
RECT 192.280 193.600 192.600 193.920 ;
RECT 192.680 193.600 193.000 193.920 ;
RECT 193.080 193.600 193.400 193.920 ;
RECT 193.480 193.600 193.800 193.920 ;
RECT 193.880 193.600 194.200 193.920 ;
RECT 194.280 193.600 194.600 193.920 ;
RECT 194.680 193.600 195.000 193.920 ;
RECT 195.080 193.600 195.400 193.920 ;
RECT 195.480 193.600 195.800 193.920 ;
RECT 195.880 193.600 196.200 193.920 ;
RECT 196.280 193.600 196.600 193.920 ;
RECT 196.680 193.600 197.000 193.920 ;
RECT 197.080 193.600 197.400 193.920 ;
RECT 197.480 193.600 197.800 193.920 ;
RECT 197.880 193.600 198.200 193.920 ;
RECT 198.280 193.600 198.600 193.920 ;
RECT 198.680 193.600 199.000 193.920 ;
RECT 199.080 193.600 199.400 193.920 ;
RECT 199.480 193.600 199.800 193.920 ;
RECT 199.880 193.600 200.200 193.920 ;
RECT 200.280 193.600 200.600 193.920 ;
RECT 200.680 193.600 201.000 193.920 ;
RECT 201.080 193.600 201.400 193.920 ;
RECT 201.480 193.600 201.800 193.920 ;
RECT 25.040 193.200 25.360 193.520 ;
RECT 25.440 193.200 25.760 193.520 ;
RECT 25.840 193.200 26.160 193.520 ;
RECT 26.240 193.200 26.560 193.520 ;
RECT 26.640 193.200 26.960 193.520 ;
RECT 27.040 193.200 27.360 193.520 ;
RECT 27.440 193.200 27.760 193.520 ;
RECT 27.840 193.200 28.160 193.520 ;
RECT 28.240 193.200 28.560 193.520 ;
RECT 28.640 193.200 28.960 193.520 ;
RECT 29.040 193.200 29.360 193.520 ;
RECT 29.440 193.200 29.760 193.520 ;
RECT 29.840 193.200 30.160 193.520 ;
RECT 30.240 193.200 30.560 193.520 ;
RECT 30.640 193.200 30.960 193.520 ;
RECT 31.040 193.200 31.360 193.520 ;
RECT 31.440 193.200 31.760 193.520 ;
RECT 31.840 193.200 32.160 193.520 ;
RECT 32.240 193.200 32.560 193.520 ;
RECT 32.640 193.200 32.960 193.520 ;
RECT 33.040 193.200 33.360 193.520 ;
RECT 33.440 193.200 33.760 193.520 ;
RECT 33.840 193.200 34.160 193.520 ;
RECT 34.240 193.200 34.560 193.520 ;
RECT 34.640 193.200 34.960 193.520 ;
RECT 35.040 193.200 35.360 193.520 ;
RECT 35.440 193.200 35.760 193.520 ;
RECT 35.840 193.200 36.160 193.520 ;
RECT 36.240 193.200 36.560 193.520 ;
RECT 36.640 193.200 36.960 193.520 ;
RECT 37.040 193.200 37.360 193.520 ;
RECT 37.440 193.200 37.760 193.520 ;
RECT 37.840 193.200 38.160 193.520 ;
RECT 38.240 193.200 38.560 193.520 ;
RECT 38.640 193.200 38.960 193.520 ;
RECT 39.040 193.200 39.360 193.520 ;
RECT 39.440 193.200 39.760 193.520 ;
RECT 39.840 193.200 40.160 193.520 ;
RECT 40.240 193.200 40.560 193.520 ;
RECT 40.640 193.200 40.960 193.520 ;
RECT 41.040 193.200 41.360 193.520 ;
RECT 41.440 193.200 41.760 193.520 ;
RECT 41.840 193.200 42.160 193.520 ;
RECT 42.240 193.200 42.560 193.520 ;
RECT 42.640 193.200 42.960 193.520 ;
RECT 43.040 193.200 43.360 193.520 ;
RECT 43.440 193.200 43.760 193.520 ;
RECT 43.840 193.200 44.160 193.520 ;
RECT 44.240 193.200 44.560 193.520 ;
RECT 44.640 193.200 44.960 193.520 ;
RECT 70.560 193.200 70.880 193.520 ;
RECT 70.960 193.200 71.280 193.520 ;
RECT 71.360 193.200 71.680 193.520 ;
RECT 71.760 193.200 72.080 193.520 ;
RECT 120.560 193.200 120.880 193.520 ;
RECT 120.960 193.200 121.280 193.520 ;
RECT 121.360 193.200 121.680 193.520 ;
RECT 121.760 193.200 122.080 193.520 ;
RECT 181.880 193.200 182.200 193.520 ;
RECT 182.280 193.200 182.600 193.520 ;
RECT 182.680 193.200 183.000 193.520 ;
RECT 183.080 193.200 183.400 193.520 ;
RECT 183.480 193.200 183.800 193.520 ;
RECT 183.880 193.200 184.200 193.520 ;
RECT 184.280 193.200 184.600 193.520 ;
RECT 184.680 193.200 185.000 193.520 ;
RECT 185.080 193.200 185.400 193.520 ;
RECT 185.480 193.200 185.800 193.520 ;
RECT 185.880 193.200 186.200 193.520 ;
RECT 186.280 193.200 186.600 193.520 ;
RECT 186.680 193.200 187.000 193.520 ;
RECT 187.080 193.200 187.400 193.520 ;
RECT 187.480 193.200 187.800 193.520 ;
RECT 187.880 193.200 188.200 193.520 ;
RECT 188.280 193.200 188.600 193.520 ;
RECT 188.680 193.200 189.000 193.520 ;
RECT 189.080 193.200 189.400 193.520 ;
RECT 189.480 193.200 189.800 193.520 ;
RECT 189.880 193.200 190.200 193.520 ;
RECT 190.280 193.200 190.600 193.520 ;
RECT 190.680 193.200 191.000 193.520 ;
RECT 191.080 193.200 191.400 193.520 ;
RECT 191.480 193.200 191.800 193.520 ;
RECT 191.880 193.200 192.200 193.520 ;
RECT 192.280 193.200 192.600 193.520 ;
RECT 192.680 193.200 193.000 193.520 ;
RECT 193.080 193.200 193.400 193.520 ;
RECT 193.480 193.200 193.800 193.520 ;
RECT 193.880 193.200 194.200 193.520 ;
RECT 194.280 193.200 194.600 193.520 ;
RECT 194.680 193.200 195.000 193.520 ;
RECT 195.080 193.200 195.400 193.520 ;
RECT 195.480 193.200 195.800 193.520 ;
RECT 195.880 193.200 196.200 193.520 ;
RECT 196.280 193.200 196.600 193.520 ;
RECT 196.680 193.200 197.000 193.520 ;
RECT 197.080 193.200 197.400 193.520 ;
RECT 197.480 193.200 197.800 193.520 ;
RECT 197.880 193.200 198.200 193.520 ;
RECT 198.280 193.200 198.600 193.520 ;
RECT 198.680 193.200 199.000 193.520 ;
RECT 199.080 193.200 199.400 193.520 ;
RECT 199.480 193.200 199.800 193.520 ;
RECT 199.880 193.200 200.200 193.520 ;
RECT 200.280 193.200 200.600 193.520 ;
RECT 200.680 193.200 201.000 193.520 ;
RECT 201.080 193.200 201.400 193.520 ;
RECT 201.480 193.200 201.800 193.520 ;
RECT 25.040 192.800 25.360 193.120 ;
RECT 25.440 192.800 25.760 193.120 ;
RECT 25.840 192.800 26.160 193.120 ;
RECT 26.240 192.800 26.560 193.120 ;
RECT 26.640 192.800 26.960 193.120 ;
RECT 27.040 192.800 27.360 193.120 ;
RECT 27.440 192.800 27.760 193.120 ;
RECT 27.840 192.800 28.160 193.120 ;
RECT 28.240 192.800 28.560 193.120 ;
RECT 28.640 192.800 28.960 193.120 ;
RECT 29.040 192.800 29.360 193.120 ;
RECT 29.440 192.800 29.760 193.120 ;
RECT 29.840 192.800 30.160 193.120 ;
RECT 30.240 192.800 30.560 193.120 ;
RECT 30.640 192.800 30.960 193.120 ;
RECT 31.040 192.800 31.360 193.120 ;
RECT 31.440 192.800 31.760 193.120 ;
RECT 31.840 192.800 32.160 193.120 ;
RECT 32.240 192.800 32.560 193.120 ;
RECT 32.640 192.800 32.960 193.120 ;
RECT 33.040 192.800 33.360 193.120 ;
RECT 33.440 192.800 33.760 193.120 ;
RECT 33.840 192.800 34.160 193.120 ;
RECT 34.240 192.800 34.560 193.120 ;
RECT 34.640 192.800 34.960 193.120 ;
RECT 35.040 192.800 35.360 193.120 ;
RECT 35.440 192.800 35.760 193.120 ;
RECT 35.840 192.800 36.160 193.120 ;
RECT 36.240 192.800 36.560 193.120 ;
RECT 36.640 192.800 36.960 193.120 ;
RECT 37.040 192.800 37.360 193.120 ;
RECT 37.440 192.800 37.760 193.120 ;
RECT 37.840 192.800 38.160 193.120 ;
RECT 38.240 192.800 38.560 193.120 ;
RECT 38.640 192.800 38.960 193.120 ;
RECT 39.040 192.800 39.360 193.120 ;
RECT 39.440 192.800 39.760 193.120 ;
RECT 39.840 192.800 40.160 193.120 ;
RECT 40.240 192.800 40.560 193.120 ;
RECT 40.640 192.800 40.960 193.120 ;
RECT 41.040 192.800 41.360 193.120 ;
RECT 41.440 192.800 41.760 193.120 ;
RECT 41.840 192.800 42.160 193.120 ;
RECT 42.240 192.800 42.560 193.120 ;
RECT 42.640 192.800 42.960 193.120 ;
RECT 43.040 192.800 43.360 193.120 ;
RECT 43.440 192.800 43.760 193.120 ;
RECT 43.840 192.800 44.160 193.120 ;
RECT 44.240 192.800 44.560 193.120 ;
RECT 44.640 192.800 44.960 193.120 ;
RECT 70.560 192.800 70.880 193.120 ;
RECT 70.960 192.800 71.280 193.120 ;
RECT 71.360 192.800 71.680 193.120 ;
RECT 71.760 192.800 72.080 193.120 ;
RECT 120.560 192.800 120.880 193.120 ;
RECT 120.960 192.800 121.280 193.120 ;
RECT 121.360 192.800 121.680 193.120 ;
RECT 121.760 192.800 122.080 193.120 ;
RECT 181.880 192.800 182.200 193.120 ;
RECT 182.280 192.800 182.600 193.120 ;
RECT 182.680 192.800 183.000 193.120 ;
RECT 183.080 192.800 183.400 193.120 ;
RECT 183.480 192.800 183.800 193.120 ;
RECT 183.880 192.800 184.200 193.120 ;
RECT 184.280 192.800 184.600 193.120 ;
RECT 184.680 192.800 185.000 193.120 ;
RECT 185.080 192.800 185.400 193.120 ;
RECT 185.480 192.800 185.800 193.120 ;
RECT 185.880 192.800 186.200 193.120 ;
RECT 186.280 192.800 186.600 193.120 ;
RECT 186.680 192.800 187.000 193.120 ;
RECT 187.080 192.800 187.400 193.120 ;
RECT 187.480 192.800 187.800 193.120 ;
RECT 187.880 192.800 188.200 193.120 ;
RECT 188.280 192.800 188.600 193.120 ;
RECT 188.680 192.800 189.000 193.120 ;
RECT 189.080 192.800 189.400 193.120 ;
RECT 189.480 192.800 189.800 193.120 ;
RECT 189.880 192.800 190.200 193.120 ;
RECT 190.280 192.800 190.600 193.120 ;
RECT 190.680 192.800 191.000 193.120 ;
RECT 191.080 192.800 191.400 193.120 ;
RECT 191.480 192.800 191.800 193.120 ;
RECT 191.880 192.800 192.200 193.120 ;
RECT 192.280 192.800 192.600 193.120 ;
RECT 192.680 192.800 193.000 193.120 ;
RECT 193.080 192.800 193.400 193.120 ;
RECT 193.480 192.800 193.800 193.120 ;
RECT 193.880 192.800 194.200 193.120 ;
RECT 194.280 192.800 194.600 193.120 ;
RECT 194.680 192.800 195.000 193.120 ;
RECT 195.080 192.800 195.400 193.120 ;
RECT 195.480 192.800 195.800 193.120 ;
RECT 195.880 192.800 196.200 193.120 ;
RECT 196.280 192.800 196.600 193.120 ;
RECT 196.680 192.800 197.000 193.120 ;
RECT 197.080 192.800 197.400 193.120 ;
RECT 197.480 192.800 197.800 193.120 ;
RECT 197.880 192.800 198.200 193.120 ;
RECT 198.280 192.800 198.600 193.120 ;
RECT 198.680 192.800 199.000 193.120 ;
RECT 199.080 192.800 199.400 193.120 ;
RECT 199.480 192.800 199.800 193.120 ;
RECT 199.880 192.800 200.200 193.120 ;
RECT 200.280 192.800 200.600 193.120 ;
RECT 200.680 192.800 201.000 193.120 ;
RECT 201.080 192.800 201.400 193.120 ;
RECT 201.480 192.800 201.800 193.120 ;
RECT 25.040 192.400 25.360 192.720 ;
RECT 25.440 192.400 25.760 192.720 ;
RECT 25.840 192.400 26.160 192.720 ;
RECT 26.240 192.400 26.560 192.720 ;
RECT 26.640 192.400 26.960 192.720 ;
RECT 27.040 192.400 27.360 192.720 ;
RECT 27.440 192.400 27.760 192.720 ;
RECT 27.840 192.400 28.160 192.720 ;
RECT 28.240 192.400 28.560 192.720 ;
RECT 28.640 192.400 28.960 192.720 ;
RECT 29.040 192.400 29.360 192.720 ;
RECT 29.440 192.400 29.760 192.720 ;
RECT 29.840 192.400 30.160 192.720 ;
RECT 30.240 192.400 30.560 192.720 ;
RECT 30.640 192.400 30.960 192.720 ;
RECT 31.040 192.400 31.360 192.720 ;
RECT 31.440 192.400 31.760 192.720 ;
RECT 31.840 192.400 32.160 192.720 ;
RECT 32.240 192.400 32.560 192.720 ;
RECT 32.640 192.400 32.960 192.720 ;
RECT 33.040 192.400 33.360 192.720 ;
RECT 33.440 192.400 33.760 192.720 ;
RECT 33.840 192.400 34.160 192.720 ;
RECT 34.240 192.400 34.560 192.720 ;
RECT 34.640 192.400 34.960 192.720 ;
RECT 35.040 192.400 35.360 192.720 ;
RECT 35.440 192.400 35.760 192.720 ;
RECT 35.840 192.400 36.160 192.720 ;
RECT 36.240 192.400 36.560 192.720 ;
RECT 36.640 192.400 36.960 192.720 ;
RECT 37.040 192.400 37.360 192.720 ;
RECT 37.440 192.400 37.760 192.720 ;
RECT 37.840 192.400 38.160 192.720 ;
RECT 38.240 192.400 38.560 192.720 ;
RECT 38.640 192.400 38.960 192.720 ;
RECT 39.040 192.400 39.360 192.720 ;
RECT 39.440 192.400 39.760 192.720 ;
RECT 39.840 192.400 40.160 192.720 ;
RECT 40.240 192.400 40.560 192.720 ;
RECT 40.640 192.400 40.960 192.720 ;
RECT 41.040 192.400 41.360 192.720 ;
RECT 41.440 192.400 41.760 192.720 ;
RECT 41.840 192.400 42.160 192.720 ;
RECT 42.240 192.400 42.560 192.720 ;
RECT 42.640 192.400 42.960 192.720 ;
RECT 43.040 192.400 43.360 192.720 ;
RECT 43.440 192.400 43.760 192.720 ;
RECT 43.840 192.400 44.160 192.720 ;
RECT 44.240 192.400 44.560 192.720 ;
RECT 44.640 192.400 44.960 192.720 ;
RECT 70.560 192.400 70.880 192.720 ;
RECT 70.960 192.400 71.280 192.720 ;
RECT 71.360 192.400 71.680 192.720 ;
RECT 71.760 192.400 72.080 192.720 ;
RECT 120.560 192.400 120.880 192.720 ;
RECT 120.960 192.400 121.280 192.720 ;
RECT 121.360 192.400 121.680 192.720 ;
RECT 121.760 192.400 122.080 192.720 ;
RECT 181.880 192.400 182.200 192.720 ;
RECT 182.280 192.400 182.600 192.720 ;
RECT 182.680 192.400 183.000 192.720 ;
RECT 183.080 192.400 183.400 192.720 ;
RECT 183.480 192.400 183.800 192.720 ;
RECT 183.880 192.400 184.200 192.720 ;
RECT 184.280 192.400 184.600 192.720 ;
RECT 184.680 192.400 185.000 192.720 ;
RECT 185.080 192.400 185.400 192.720 ;
RECT 185.480 192.400 185.800 192.720 ;
RECT 185.880 192.400 186.200 192.720 ;
RECT 186.280 192.400 186.600 192.720 ;
RECT 186.680 192.400 187.000 192.720 ;
RECT 187.080 192.400 187.400 192.720 ;
RECT 187.480 192.400 187.800 192.720 ;
RECT 187.880 192.400 188.200 192.720 ;
RECT 188.280 192.400 188.600 192.720 ;
RECT 188.680 192.400 189.000 192.720 ;
RECT 189.080 192.400 189.400 192.720 ;
RECT 189.480 192.400 189.800 192.720 ;
RECT 189.880 192.400 190.200 192.720 ;
RECT 190.280 192.400 190.600 192.720 ;
RECT 190.680 192.400 191.000 192.720 ;
RECT 191.080 192.400 191.400 192.720 ;
RECT 191.480 192.400 191.800 192.720 ;
RECT 191.880 192.400 192.200 192.720 ;
RECT 192.280 192.400 192.600 192.720 ;
RECT 192.680 192.400 193.000 192.720 ;
RECT 193.080 192.400 193.400 192.720 ;
RECT 193.480 192.400 193.800 192.720 ;
RECT 193.880 192.400 194.200 192.720 ;
RECT 194.280 192.400 194.600 192.720 ;
RECT 194.680 192.400 195.000 192.720 ;
RECT 195.080 192.400 195.400 192.720 ;
RECT 195.480 192.400 195.800 192.720 ;
RECT 195.880 192.400 196.200 192.720 ;
RECT 196.280 192.400 196.600 192.720 ;
RECT 196.680 192.400 197.000 192.720 ;
RECT 197.080 192.400 197.400 192.720 ;
RECT 197.480 192.400 197.800 192.720 ;
RECT 197.880 192.400 198.200 192.720 ;
RECT 198.280 192.400 198.600 192.720 ;
RECT 198.680 192.400 199.000 192.720 ;
RECT 199.080 192.400 199.400 192.720 ;
RECT 199.480 192.400 199.800 192.720 ;
RECT 199.880 192.400 200.200 192.720 ;
RECT 200.280 192.400 200.600 192.720 ;
RECT 200.680 192.400 201.000 192.720 ;
RECT 201.080 192.400 201.400 192.720 ;
RECT 201.480 192.400 201.800 192.720 ;
RECT 25.040 192.000 25.360 192.320 ;
RECT 25.440 192.000 25.760 192.320 ;
RECT 25.840 192.000 26.160 192.320 ;
RECT 26.240 192.000 26.560 192.320 ;
RECT 26.640 192.000 26.960 192.320 ;
RECT 27.040 192.000 27.360 192.320 ;
RECT 27.440 192.000 27.760 192.320 ;
RECT 27.840 192.000 28.160 192.320 ;
RECT 28.240 192.000 28.560 192.320 ;
RECT 28.640 192.000 28.960 192.320 ;
RECT 29.040 192.000 29.360 192.320 ;
RECT 29.440 192.000 29.760 192.320 ;
RECT 29.840 192.000 30.160 192.320 ;
RECT 30.240 192.000 30.560 192.320 ;
RECT 30.640 192.000 30.960 192.320 ;
RECT 31.040 192.000 31.360 192.320 ;
RECT 31.440 192.000 31.760 192.320 ;
RECT 31.840 192.000 32.160 192.320 ;
RECT 32.240 192.000 32.560 192.320 ;
RECT 32.640 192.000 32.960 192.320 ;
RECT 33.040 192.000 33.360 192.320 ;
RECT 33.440 192.000 33.760 192.320 ;
RECT 33.840 192.000 34.160 192.320 ;
RECT 34.240 192.000 34.560 192.320 ;
RECT 34.640 192.000 34.960 192.320 ;
RECT 35.040 192.000 35.360 192.320 ;
RECT 35.440 192.000 35.760 192.320 ;
RECT 35.840 192.000 36.160 192.320 ;
RECT 36.240 192.000 36.560 192.320 ;
RECT 36.640 192.000 36.960 192.320 ;
RECT 37.040 192.000 37.360 192.320 ;
RECT 37.440 192.000 37.760 192.320 ;
RECT 37.840 192.000 38.160 192.320 ;
RECT 38.240 192.000 38.560 192.320 ;
RECT 38.640 192.000 38.960 192.320 ;
RECT 39.040 192.000 39.360 192.320 ;
RECT 39.440 192.000 39.760 192.320 ;
RECT 39.840 192.000 40.160 192.320 ;
RECT 40.240 192.000 40.560 192.320 ;
RECT 40.640 192.000 40.960 192.320 ;
RECT 41.040 192.000 41.360 192.320 ;
RECT 41.440 192.000 41.760 192.320 ;
RECT 41.840 192.000 42.160 192.320 ;
RECT 42.240 192.000 42.560 192.320 ;
RECT 42.640 192.000 42.960 192.320 ;
RECT 43.040 192.000 43.360 192.320 ;
RECT 43.440 192.000 43.760 192.320 ;
RECT 43.840 192.000 44.160 192.320 ;
RECT 44.240 192.000 44.560 192.320 ;
RECT 44.640 192.000 44.960 192.320 ;
RECT 70.560 192.000 70.880 192.320 ;
RECT 70.960 192.000 71.280 192.320 ;
RECT 71.360 192.000 71.680 192.320 ;
RECT 71.760 192.000 72.080 192.320 ;
RECT 120.560 192.000 120.880 192.320 ;
RECT 120.960 192.000 121.280 192.320 ;
RECT 121.360 192.000 121.680 192.320 ;
RECT 121.760 192.000 122.080 192.320 ;
RECT 181.880 192.000 182.200 192.320 ;
RECT 182.280 192.000 182.600 192.320 ;
RECT 182.680 192.000 183.000 192.320 ;
RECT 183.080 192.000 183.400 192.320 ;
RECT 183.480 192.000 183.800 192.320 ;
RECT 183.880 192.000 184.200 192.320 ;
RECT 184.280 192.000 184.600 192.320 ;
RECT 184.680 192.000 185.000 192.320 ;
RECT 185.080 192.000 185.400 192.320 ;
RECT 185.480 192.000 185.800 192.320 ;
RECT 185.880 192.000 186.200 192.320 ;
RECT 186.280 192.000 186.600 192.320 ;
RECT 186.680 192.000 187.000 192.320 ;
RECT 187.080 192.000 187.400 192.320 ;
RECT 187.480 192.000 187.800 192.320 ;
RECT 187.880 192.000 188.200 192.320 ;
RECT 188.280 192.000 188.600 192.320 ;
RECT 188.680 192.000 189.000 192.320 ;
RECT 189.080 192.000 189.400 192.320 ;
RECT 189.480 192.000 189.800 192.320 ;
RECT 189.880 192.000 190.200 192.320 ;
RECT 190.280 192.000 190.600 192.320 ;
RECT 190.680 192.000 191.000 192.320 ;
RECT 191.080 192.000 191.400 192.320 ;
RECT 191.480 192.000 191.800 192.320 ;
RECT 191.880 192.000 192.200 192.320 ;
RECT 192.280 192.000 192.600 192.320 ;
RECT 192.680 192.000 193.000 192.320 ;
RECT 193.080 192.000 193.400 192.320 ;
RECT 193.480 192.000 193.800 192.320 ;
RECT 193.880 192.000 194.200 192.320 ;
RECT 194.280 192.000 194.600 192.320 ;
RECT 194.680 192.000 195.000 192.320 ;
RECT 195.080 192.000 195.400 192.320 ;
RECT 195.480 192.000 195.800 192.320 ;
RECT 195.880 192.000 196.200 192.320 ;
RECT 196.280 192.000 196.600 192.320 ;
RECT 196.680 192.000 197.000 192.320 ;
RECT 197.080 192.000 197.400 192.320 ;
RECT 197.480 192.000 197.800 192.320 ;
RECT 197.880 192.000 198.200 192.320 ;
RECT 198.280 192.000 198.600 192.320 ;
RECT 198.680 192.000 199.000 192.320 ;
RECT 199.080 192.000 199.400 192.320 ;
RECT 199.480 192.000 199.800 192.320 ;
RECT 199.880 192.000 200.200 192.320 ;
RECT 200.280 192.000 200.600 192.320 ;
RECT 200.680 192.000 201.000 192.320 ;
RECT 201.080 192.000 201.400 192.320 ;
RECT 201.480 192.000 201.800 192.320 ;
RECT 25.040 191.600 25.360 191.920 ;
RECT 25.440 191.600 25.760 191.920 ;
RECT 25.840 191.600 26.160 191.920 ;
RECT 26.240 191.600 26.560 191.920 ;
RECT 26.640 191.600 26.960 191.920 ;
RECT 27.040 191.600 27.360 191.920 ;
RECT 27.440 191.600 27.760 191.920 ;
RECT 27.840 191.600 28.160 191.920 ;
RECT 28.240 191.600 28.560 191.920 ;
RECT 28.640 191.600 28.960 191.920 ;
RECT 29.040 191.600 29.360 191.920 ;
RECT 29.440 191.600 29.760 191.920 ;
RECT 29.840 191.600 30.160 191.920 ;
RECT 30.240 191.600 30.560 191.920 ;
RECT 30.640 191.600 30.960 191.920 ;
RECT 31.040 191.600 31.360 191.920 ;
RECT 31.440 191.600 31.760 191.920 ;
RECT 31.840 191.600 32.160 191.920 ;
RECT 32.240 191.600 32.560 191.920 ;
RECT 32.640 191.600 32.960 191.920 ;
RECT 33.040 191.600 33.360 191.920 ;
RECT 33.440 191.600 33.760 191.920 ;
RECT 33.840 191.600 34.160 191.920 ;
RECT 34.240 191.600 34.560 191.920 ;
RECT 34.640 191.600 34.960 191.920 ;
RECT 35.040 191.600 35.360 191.920 ;
RECT 35.440 191.600 35.760 191.920 ;
RECT 35.840 191.600 36.160 191.920 ;
RECT 36.240 191.600 36.560 191.920 ;
RECT 36.640 191.600 36.960 191.920 ;
RECT 37.040 191.600 37.360 191.920 ;
RECT 37.440 191.600 37.760 191.920 ;
RECT 37.840 191.600 38.160 191.920 ;
RECT 38.240 191.600 38.560 191.920 ;
RECT 38.640 191.600 38.960 191.920 ;
RECT 39.040 191.600 39.360 191.920 ;
RECT 39.440 191.600 39.760 191.920 ;
RECT 39.840 191.600 40.160 191.920 ;
RECT 40.240 191.600 40.560 191.920 ;
RECT 40.640 191.600 40.960 191.920 ;
RECT 41.040 191.600 41.360 191.920 ;
RECT 41.440 191.600 41.760 191.920 ;
RECT 41.840 191.600 42.160 191.920 ;
RECT 42.240 191.600 42.560 191.920 ;
RECT 42.640 191.600 42.960 191.920 ;
RECT 43.040 191.600 43.360 191.920 ;
RECT 43.440 191.600 43.760 191.920 ;
RECT 43.840 191.600 44.160 191.920 ;
RECT 44.240 191.600 44.560 191.920 ;
RECT 44.640 191.600 44.960 191.920 ;
RECT 70.560 191.600 70.880 191.920 ;
RECT 70.960 191.600 71.280 191.920 ;
RECT 71.360 191.600 71.680 191.920 ;
RECT 71.760 191.600 72.080 191.920 ;
RECT 120.560 191.600 120.880 191.920 ;
RECT 120.960 191.600 121.280 191.920 ;
RECT 121.360 191.600 121.680 191.920 ;
RECT 121.760 191.600 122.080 191.920 ;
RECT 181.880 191.600 182.200 191.920 ;
RECT 182.280 191.600 182.600 191.920 ;
RECT 182.680 191.600 183.000 191.920 ;
RECT 183.080 191.600 183.400 191.920 ;
RECT 183.480 191.600 183.800 191.920 ;
RECT 183.880 191.600 184.200 191.920 ;
RECT 184.280 191.600 184.600 191.920 ;
RECT 184.680 191.600 185.000 191.920 ;
RECT 185.080 191.600 185.400 191.920 ;
RECT 185.480 191.600 185.800 191.920 ;
RECT 185.880 191.600 186.200 191.920 ;
RECT 186.280 191.600 186.600 191.920 ;
RECT 186.680 191.600 187.000 191.920 ;
RECT 187.080 191.600 187.400 191.920 ;
RECT 187.480 191.600 187.800 191.920 ;
RECT 187.880 191.600 188.200 191.920 ;
RECT 188.280 191.600 188.600 191.920 ;
RECT 188.680 191.600 189.000 191.920 ;
RECT 189.080 191.600 189.400 191.920 ;
RECT 189.480 191.600 189.800 191.920 ;
RECT 189.880 191.600 190.200 191.920 ;
RECT 190.280 191.600 190.600 191.920 ;
RECT 190.680 191.600 191.000 191.920 ;
RECT 191.080 191.600 191.400 191.920 ;
RECT 191.480 191.600 191.800 191.920 ;
RECT 191.880 191.600 192.200 191.920 ;
RECT 192.280 191.600 192.600 191.920 ;
RECT 192.680 191.600 193.000 191.920 ;
RECT 193.080 191.600 193.400 191.920 ;
RECT 193.480 191.600 193.800 191.920 ;
RECT 193.880 191.600 194.200 191.920 ;
RECT 194.280 191.600 194.600 191.920 ;
RECT 194.680 191.600 195.000 191.920 ;
RECT 195.080 191.600 195.400 191.920 ;
RECT 195.480 191.600 195.800 191.920 ;
RECT 195.880 191.600 196.200 191.920 ;
RECT 196.280 191.600 196.600 191.920 ;
RECT 196.680 191.600 197.000 191.920 ;
RECT 197.080 191.600 197.400 191.920 ;
RECT 197.480 191.600 197.800 191.920 ;
RECT 197.880 191.600 198.200 191.920 ;
RECT 198.280 191.600 198.600 191.920 ;
RECT 198.680 191.600 199.000 191.920 ;
RECT 199.080 191.600 199.400 191.920 ;
RECT 199.480 191.600 199.800 191.920 ;
RECT 199.880 191.600 200.200 191.920 ;
RECT 200.280 191.600 200.600 191.920 ;
RECT 200.680 191.600 201.000 191.920 ;
RECT 201.080 191.600 201.400 191.920 ;
RECT 201.480 191.600 201.800 191.920 ;
RECT 25.040 191.200 25.360 191.520 ;
RECT 25.440 191.200 25.760 191.520 ;
RECT 25.840 191.200 26.160 191.520 ;
RECT 26.240 191.200 26.560 191.520 ;
RECT 26.640 191.200 26.960 191.520 ;
RECT 27.040 191.200 27.360 191.520 ;
RECT 27.440 191.200 27.760 191.520 ;
RECT 27.840 191.200 28.160 191.520 ;
RECT 28.240 191.200 28.560 191.520 ;
RECT 28.640 191.200 28.960 191.520 ;
RECT 29.040 191.200 29.360 191.520 ;
RECT 29.440 191.200 29.760 191.520 ;
RECT 29.840 191.200 30.160 191.520 ;
RECT 30.240 191.200 30.560 191.520 ;
RECT 30.640 191.200 30.960 191.520 ;
RECT 31.040 191.200 31.360 191.520 ;
RECT 31.440 191.200 31.760 191.520 ;
RECT 31.840 191.200 32.160 191.520 ;
RECT 32.240 191.200 32.560 191.520 ;
RECT 32.640 191.200 32.960 191.520 ;
RECT 33.040 191.200 33.360 191.520 ;
RECT 33.440 191.200 33.760 191.520 ;
RECT 33.840 191.200 34.160 191.520 ;
RECT 34.240 191.200 34.560 191.520 ;
RECT 34.640 191.200 34.960 191.520 ;
RECT 35.040 191.200 35.360 191.520 ;
RECT 35.440 191.200 35.760 191.520 ;
RECT 35.840 191.200 36.160 191.520 ;
RECT 36.240 191.200 36.560 191.520 ;
RECT 36.640 191.200 36.960 191.520 ;
RECT 37.040 191.200 37.360 191.520 ;
RECT 37.440 191.200 37.760 191.520 ;
RECT 37.840 191.200 38.160 191.520 ;
RECT 38.240 191.200 38.560 191.520 ;
RECT 38.640 191.200 38.960 191.520 ;
RECT 39.040 191.200 39.360 191.520 ;
RECT 39.440 191.200 39.760 191.520 ;
RECT 39.840 191.200 40.160 191.520 ;
RECT 40.240 191.200 40.560 191.520 ;
RECT 40.640 191.200 40.960 191.520 ;
RECT 41.040 191.200 41.360 191.520 ;
RECT 41.440 191.200 41.760 191.520 ;
RECT 41.840 191.200 42.160 191.520 ;
RECT 42.240 191.200 42.560 191.520 ;
RECT 42.640 191.200 42.960 191.520 ;
RECT 43.040 191.200 43.360 191.520 ;
RECT 43.440 191.200 43.760 191.520 ;
RECT 43.840 191.200 44.160 191.520 ;
RECT 44.240 191.200 44.560 191.520 ;
RECT 44.640 191.200 44.960 191.520 ;
RECT 70.560 191.200 70.880 191.520 ;
RECT 70.960 191.200 71.280 191.520 ;
RECT 71.360 191.200 71.680 191.520 ;
RECT 71.760 191.200 72.080 191.520 ;
RECT 120.560 191.200 120.880 191.520 ;
RECT 120.960 191.200 121.280 191.520 ;
RECT 121.360 191.200 121.680 191.520 ;
RECT 121.760 191.200 122.080 191.520 ;
RECT 181.880 191.200 182.200 191.520 ;
RECT 182.280 191.200 182.600 191.520 ;
RECT 182.680 191.200 183.000 191.520 ;
RECT 183.080 191.200 183.400 191.520 ;
RECT 183.480 191.200 183.800 191.520 ;
RECT 183.880 191.200 184.200 191.520 ;
RECT 184.280 191.200 184.600 191.520 ;
RECT 184.680 191.200 185.000 191.520 ;
RECT 185.080 191.200 185.400 191.520 ;
RECT 185.480 191.200 185.800 191.520 ;
RECT 185.880 191.200 186.200 191.520 ;
RECT 186.280 191.200 186.600 191.520 ;
RECT 186.680 191.200 187.000 191.520 ;
RECT 187.080 191.200 187.400 191.520 ;
RECT 187.480 191.200 187.800 191.520 ;
RECT 187.880 191.200 188.200 191.520 ;
RECT 188.280 191.200 188.600 191.520 ;
RECT 188.680 191.200 189.000 191.520 ;
RECT 189.080 191.200 189.400 191.520 ;
RECT 189.480 191.200 189.800 191.520 ;
RECT 189.880 191.200 190.200 191.520 ;
RECT 190.280 191.200 190.600 191.520 ;
RECT 190.680 191.200 191.000 191.520 ;
RECT 191.080 191.200 191.400 191.520 ;
RECT 191.480 191.200 191.800 191.520 ;
RECT 191.880 191.200 192.200 191.520 ;
RECT 192.280 191.200 192.600 191.520 ;
RECT 192.680 191.200 193.000 191.520 ;
RECT 193.080 191.200 193.400 191.520 ;
RECT 193.480 191.200 193.800 191.520 ;
RECT 193.880 191.200 194.200 191.520 ;
RECT 194.280 191.200 194.600 191.520 ;
RECT 194.680 191.200 195.000 191.520 ;
RECT 195.080 191.200 195.400 191.520 ;
RECT 195.480 191.200 195.800 191.520 ;
RECT 195.880 191.200 196.200 191.520 ;
RECT 196.280 191.200 196.600 191.520 ;
RECT 196.680 191.200 197.000 191.520 ;
RECT 197.080 191.200 197.400 191.520 ;
RECT 197.480 191.200 197.800 191.520 ;
RECT 197.880 191.200 198.200 191.520 ;
RECT 198.280 191.200 198.600 191.520 ;
RECT 198.680 191.200 199.000 191.520 ;
RECT 199.080 191.200 199.400 191.520 ;
RECT 199.480 191.200 199.800 191.520 ;
RECT 199.880 191.200 200.200 191.520 ;
RECT 200.280 191.200 200.600 191.520 ;
RECT 200.680 191.200 201.000 191.520 ;
RECT 201.080 191.200 201.400 191.520 ;
RECT 201.480 191.200 201.800 191.520 ;
RECT 25.040 190.800 25.360 191.120 ;
RECT 25.440 190.800 25.760 191.120 ;
RECT 25.840 190.800 26.160 191.120 ;
RECT 26.240 190.800 26.560 191.120 ;
RECT 26.640 190.800 26.960 191.120 ;
RECT 27.040 190.800 27.360 191.120 ;
RECT 27.440 190.800 27.760 191.120 ;
RECT 27.840 190.800 28.160 191.120 ;
RECT 28.240 190.800 28.560 191.120 ;
RECT 28.640 190.800 28.960 191.120 ;
RECT 29.040 190.800 29.360 191.120 ;
RECT 29.440 190.800 29.760 191.120 ;
RECT 29.840 190.800 30.160 191.120 ;
RECT 30.240 190.800 30.560 191.120 ;
RECT 30.640 190.800 30.960 191.120 ;
RECT 31.040 190.800 31.360 191.120 ;
RECT 31.440 190.800 31.760 191.120 ;
RECT 31.840 190.800 32.160 191.120 ;
RECT 32.240 190.800 32.560 191.120 ;
RECT 32.640 190.800 32.960 191.120 ;
RECT 33.040 190.800 33.360 191.120 ;
RECT 33.440 190.800 33.760 191.120 ;
RECT 33.840 190.800 34.160 191.120 ;
RECT 34.240 190.800 34.560 191.120 ;
RECT 34.640 190.800 34.960 191.120 ;
RECT 35.040 190.800 35.360 191.120 ;
RECT 35.440 190.800 35.760 191.120 ;
RECT 35.840 190.800 36.160 191.120 ;
RECT 36.240 190.800 36.560 191.120 ;
RECT 36.640 190.800 36.960 191.120 ;
RECT 37.040 190.800 37.360 191.120 ;
RECT 37.440 190.800 37.760 191.120 ;
RECT 37.840 190.800 38.160 191.120 ;
RECT 38.240 190.800 38.560 191.120 ;
RECT 38.640 190.800 38.960 191.120 ;
RECT 39.040 190.800 39.360 191.120 ;
RECT 39.440 190.800 39.760 191.120 ;
RECT 39.840 190.800 40.160 191.120 ;
RECT 40.240 190.800 40.560 191.120 ;
RECT 40.640 190.800 40.960 191.120 ;
RECT 41.040 190.800 41.360 191.120 ;
RECT 41.440 190.800 41.760 191.120 ;
RECT 41.840 190.800 42.160 191.120 ;
RECT 42.240 190.800 42.560 191.120 ;
RECT 42.640 190.800 42.960 191.120 ;
RECT 43.040 190.800 43.360 191.120 ;
RECT 43.440 190.800 43.760 191.120 ;
RECT 43.840 190.800 44.160 191.120 ;
RECT 44.240 190.800 44.560 191.120 ;
RECT 44.640 190.800 44.960 191.120 ;
RECT 70.560 190.800 70.880 191.120 ;
RECT 70.960 190.800 71.280 191.120 ;
RECT 71.360 190.800 71.680 191.120 ;
RECT 71.760 190.800 72.080 191.120 ;
RECT 120.560 190.800 120.880 191.120 ;
RECT 120.960 190.800 121.280 191.120 ;
RECT 121.360 190.800 121.680 191.120 ;
RECT 121.760 190.800 122.080 191.120 ;
RECT 181.880 190.800 182.200 191.120 ;
RECT 182.280 190.800 182.600 191.120 ;
RECT 182.680 190.800 183.000 191.120 ;
RECT 183.080 190.800 183.400 191.120 ;
RECT 183.480 190.800 183.800 191.120 ;
RECT 183.880 190.800 184.200 191.120 ;
RECT 184.280 190.800 184.600 191.120 ;
RECT 184.680 190.800 185.000 191.120 ;
RECT 185.080 190.800 185.400 191.120 ;
RECT 185.480 190.800 185.800 191.120 ;
RECT 185.880 190.800 186.200 191.120 ;
RECT 186.280 190.800 186.600 191.120 ;
RECT 186.680 190.800 187.000 191.120 ;
RECT 187.080 190.800 187.400 191.120 ;
RECT 187.480 190.800 187.800 191.120 ;
RECT 187.880 190.800 188.200 191.120 ;
RECT 188.280 190.800 188.600 191.120 ;
RECT 188.680 190.800 189.000 191.120 ;
RECT 189.080 190.800 189.400 191.120 ;
RECT 189.480 190.800 189.800 191.120 ;
RECT 189.880 190.800 190.200 191.120 ;
RECT 190.280 190.800 190.600 191.120 ;
RECT 190.680 190.800 191.000 191.120 ;
RECT 191.080 190.800 191.400 191.120 ;
RECT 191.480 190.800 191.800 191.120 ;
RECT 191.880 190.800 192.200 191.120 ;
RECT 192.280 190.800 192.600 191.120 ;
RECT 192.680 190.800 193.000 191.120 ;
RECT 193.080 190.800 193.400 191.120 ;
RECT 193.480 190.800 193.800 191.120 ;
RECT 193.880 190.800 194.200 191.120 ;
RECT 194.280 190.800 194.600 191.120 ;
RECT 194.680 190.800 195.000 191.120 ;
RECT 195.080 190.800 195.400 191.120 ;
RECT 195.480 190.800 195.800 191.120 ;
RECT 195.880 190.800 196.200 191.120 ;
RECT 196.280 190.800 196.600 191.120 ;
RECT 196.680 190.800 197.000 191.120 ;
RECT 197.080 190.800 197.400 191.120 ;
RECT 197.480 190.800 197.800 191.120 ;
RECT 197.880 190.800 198.200 191.120 ;
RECT 198.280 190.800 198.600 191.120 ;
RECT 198.680 190.800 199.000 191.120 ;
RECT 199.080 190.800 199.400 191.120 ;
RECT 199.480 190.800 199.800 191.120 ;
RECT 199.880 190.800 200.200 191.120 ;
RECT 200.280 190.800 200.600 191.120 ;
RECT 200.680 190.800 201.000 191.120 ;
RECT 201.080 190.800 201.400 191.120 ;
RECT 201.480 190.800 201.800 191.120 ;
RECT 25.040 190.400 25.360 190.720 ;
RECT 25.440 190.400 25.760 190.720 ;
RECT 25.840 190.400 26.160 190.720 ;
RECT 26.240 190.400 26.560 190.720 ;
RECT 26.640 190.400 26.960 190.720 ;
RECT 27.040 190.400 27.360 190.720 ;
RECT 27.440 190.400 27.760 190.720 ;
RECT 27.840 190.400 28.160 190.720 ;
RECT 28.240 190.400 28.560 190.720 ;
RECT 28.640 190.400 28.960 190.720 ;
RECT 29.040 190.400 29.360 190.720 ;
RECT 29.440 190.400 29.760 190.720 ;
RECT 29.840 190.400 30.160 190.720 ;
RECT 30.240 190.400 30.560 190.720 ;
RECT 30.640 190.400 30.960 190.720 ;
RECT 31.040 190.400 31.360 190.720 ;
RECT 31.440 190.400 31.760 190.720 ;
RECT 31.840 190.400 32.160 190.720 ;
RECT 32.240 190.400 32.560 190.720 ;
RECT 32.640 190.400 32.960 190.720 ;
RECT 33.040 190.400 33.360 190.720 ;
RECT 33.440 190.400 33.760 190.720 ;
RECT 33.840 190.400 34.160 190.720 ;
RECT 34.240 190.400 34.560 190.720 ;
RECT 34.640 190.400 34.960 190.720 ;
RECT 35.040 190.400 35.360 190.720 ;
RECT 35.440 190.400 35.760 190.720 ;
RECT 35.840 190.400 36.160 190.720 ;
RECT 36.240 190.400 36.560 190.720 ;
RECT 36.640 190.400 36.960 190.720 ;
RECT 37.040 190.400 37.360 190.720 ;
RECT 37.440 190.400 37.760 190.720 ;
RECT 37.840 190.400 38.160 190.720 ;
RECT 38.240 190.400 38.560 190.720 ;
RECT 38.640 190.400 38.960 190.720 ;
RECT 39.040 190.400 39.360 190.720 ;
RECT 39.440 190.400 39.760 190.720 ;
RECT 39.840 190.400 40.160 190.720 ;
RECT 40.240 190.400 40.560 190.720 ;
RECT 40.640 190.400 40.960 190.720 ;
RECT 41.040 190.400 41.360 190.720 ;
RECT 41.440 190.400 41.760 190.720 ;
RECT 41.840 190.400 42.160 190.720 ;
RECT 42.240 190.400 42.560 190.720 ;
RECT 42.640 190.400 42.960 190.720 ;
RECT 43.040 190.400 43.360 190.720 ;
RECT 43.440 190.400 43.760 190.720 ;
RECT 43.840 190.400 44.160 190.720 ;
RECT 44.240 190.400 44.560 190.720 ;
RECT 44.640 190.400 44.960 190.720 ;
RECT 70.560 190.400 70.880 190.720 ;
RECT 70.960 190.400 71.280 190.720 ;
RECT 71.360 190.400 71.680 190.720 ;
RECT 71.760 190.400 72.080 190.720 ;
RECT 120.560 190.400 120.880 190.720 ;
RECT 120.960 190.400 121.280 190.720 ;
RECT 121.360 190.400 121.680 190.720 ;
RECT 121.760 190.400 122.080 190.720 ;
RECT 181.880 190.400 182.200 190.720 ;
RECT 182.280 190.400 182.600 190.720 ;
RECT 182.680 190.400 183.000 190.720 ;
RECT 183.080 190.400 183.400 190.720 ;
RECT 183.480 190.400 183.800 190.720 ;
RECT 183.880 190.400 184.200 190.720 ;
RECT 184.280 190.400 184.600 190.720 ;
RECT 184.680 190.400 185.000 190.720 ;
RECT 185.080 190.400 185.400 190.720 ;
RECT 185.480 190.400 185.800 190.720 ;
RECT 185.880 190.400 186.200 190.720 ;
RECT 186.280 190.400 186.600 190.720 ;
RECT 186.680 190.400 187.000 190.720 ;
RECT 187.080 190.400 187.400 190.720 ;
RECT 187.480 190.400 187.800 190.720 ;
RECT 187.880 190.400 188.200 190.720 ;
RECT 188.280 190.400 188.600 190.720 ;
RECT 188.680 190.400 189.000 190.720 ;
RECT 189.080 190.400 189.400 190.720 ;
RECT 189.480 190.400 189.800 190.720 ;
RECT 189.880 190.400 190.200 190.720 ;
RECT 190.280 190.400 190.600 190.720 ;
RECT 190.680 190.400 191.000 190.720 ;
RECT 191.080 190.400 191.400 190.720 ;
RECT 191.480 190.400 191.800 190.720 ;
RECT 191.880 190.400 192.200 190.720 ;
RECT 192.280 190.400 192.600 190.720 ;
RECT 192.680 190.400 193.000 190.720 ;
RECT 193.080 190.400 193.400 190.720 ;
RECT 193.480 190.400 193.800 190.720 ;
RECT 193.880 190.400 194.200 190.720 ;
RECT 194.280 190.400 194.600 190.720 ;
RECT 194.680 190.400 195.000 190.720 ;
RECT 195.080 190.400 195.400 190.720 ;
RECT 195.480 190.400 195.800 190.720 ;
RECT 195.880 190.400 196.200 190.720 ;
RECT 196.280 190.400 196.600 190.720 ;
RECT 196.680 190.400 197.000 190.720 ;
RECT 197.080 190.400 197.400 190.720 ;
RECT 197.480 190.400 197.800 190.720 ;
RECT 197.880 190.400 198.200 190.720 ;
RECT 198.280 190.400 198.600 190.720 ;
RECT 198.680 190.400 199.000 190.720 ;
RECT 199.080 190.400 199.400 190.720 ;
RECT 199.480 190.400 199.800 190.720 ;
RECT 199.880 190.400 200.200 190.720 ;
RECT 200.280 190.400 200.600 190.720 ;
RECT 200.680 190.400 201.000 190.720 ;
RECT 201.080 190.400 201.400 190.720 ;
RECT 201.480 190.400 201.800 190.720 ;
RECT 25.040 190.000 25.360 190.320 ;
RECT 25.440 190.000 25.760 190.320 ;
RECT 25.840 190.000 26.160 190.320 ;
RECT 26.240 190.000 26.560 190.320 ;
RECT 26.640 190.000 26.960 190.320 ;
RECT 27.040 190.000 27.360 190.320 ;
RECT 27.440 190.000 27.760 190.320 ;
RECT 27.840 190.000 28.160 190.320 ;
RECT 28.240 190.000 28.560 190.320 ;
RECT 28.640 190.000 28.960 190.320 ;
RECT 29.040 190.000 29.360 190.320 ;
RECT 29.440 190.000 29.760 190.320 ;
RECT 29.840 190.000 30.160 190.320 ;
RECT 30.240 190.000 30.560 190.320 ;
RECT 30.640 190.000 30.960 190.320 ;
RECT 31.040 190.000 31.360 190.320 ;
RECT 31.440 190.000 31.760 190.320 ;
RECT 31.840 190.000 32.160 190.320 ;
RECT 32.240 190.000 32.560 190.320 ;
RECT 32.640 190.000 32.960 190.320 ;
RECT 33.040 190.000 33.360 190.320 ;
RECT 33.440 190.000 33.760 190.320 ;
RECT 33.840 190.000 34.160 190.320 ;
RECT 34.240 190.000 34.560 190.320 ;
RECT 34.640 190.000 34.960 190.320 ;
RECT 35.040 190.000 35.360 190.320 ;
RECT 35.440 190.000 35.760 190.320 ;
RECT 35.840 190.000 36.160 190.320 ;
RECT 36.240 190.000 36.560 190.320 ;
RECT 36.640 190.000 36.960 190.320 ;
RECT 37.040 190.000 37.360 190.320 ;
RECT 37.440 190.000 37.760 190.320 ;
RECT 37.840 190.000 38.160 190.320 ;
RECT 38.240 190.000 38.560 190.320 ;
RECT 38.640 190.000 38.960 190.320 ;
RECT 39.040 190.000 39.360 190.320 ;
RECT 39.440 190.000 39.760 190.320 ;
RECT 39.840 190.000 40.160 190.320 ;
RECT 40.240 190.000 40.560 190.320 ;
RECT 40.640 190.000 40.960 190.320 ;
RECT 41.040 190.000 41.360 190.320 ;
RECT 41.440 190.000 41.760 190.320 ;
RECT 41.840 190.000 42.160 190.320 ;
RECT 42.240 190.000 42.560 190.320 ;
RECT 42.640 190.000 42.960 190.320 ;
RECT 43.040 190.000 43.360 190.320 ;
RECT 43.440 190.000 43.760 190.320 ;
RECT 43.840 190.000 44.160 190.320 ;
RECT 44.240 190.000 44.560 190.320 ;
RECT 44.640 190.000 44.960 190.320 ;
RECT 70.560 190.000 70.880 190.320 ;
RECT 70.960 190.000 71.280 190.320 ;
RECT 71.360 190.000 71.680 190.320 ;
RECT 71.760 190.000 72.080 190.320 ;
RECT 120.560 190.000 120.880 190.320 ;
RECT 120.960 190.000 121.280 190.320 ;
RECT 121.360 190.000 121.680 190.320 ;
RECT 121.760 190.000 122.080 190.320 ;
RECT 181.880 190.000 182.200 190.320 ;
RECT 182.280 190.000 182.600 190.320 ;
RECT 182.680 190.000 183.000 190.320 ;
RECT 183.080 190.000 183.400 190.320 ;
RECT 183.480 190.000 183.800 190.320 ;
RECT 183.880 190.000 184.200 190.320 ;
RECT 184.280 190.000 184.600 190.320 ;
RECT 184.680 190.000 185.000 190.320 ;
RECT 185.080 190.000 185.400 190.320 ;
RECT 185.480 190.000 185.800 190.320 ;
RECT 185.880 190.000 186.200 190.320 ;
RECT 186.280 190.000 186.600 190.320 ;
RECT 186.680 190.000 187.000 190.320 ;
RECT 187.080 190.000 187.400 190.320 ;
RECT 187.480 190.000 187.800 190.320 ;
RECT 187.880 190.000 188.200 190.320 ;
RECT 188.280 190.000 188.600 190.320 ;
RECT 188.680 190.000 189.000 190.320 ;
RECT 189.080 190.000 189.400 190.320 ;
RECT 189.480 190.000 189.800 190.320 ;
RECT 189.880 190.000 190.200 190.320 ;
RECT 190.280 190.000 190.600 190.320 ;
RECT 190.680 190.000 191.000 190.320 ;
RECT 191.080 190.000 191.400 190.320 ;
RECT 191.480 190.000 191.800 190.320 ;
RECT 191.880 190.000 192.200 190.320 ;
RECT 192.280 190.000 192.600 190.320 ;
RECT 192.680 190.000 193.000 190.320 ;
RECT 193.080 190.000 193.400 190.320 ;
RECT 193.480 190.000 193.800 190.320 ;
RECT 193.880 190.000 194.200 190.320 ;
RECT 194.280 190.000 194.600 190.320 ;
RECT 194.680 190.000 195.000 190.320 ;
RECT 195.080 190.000 195.400 190.320 ;
RECT 195.480 190.000 195.800 190.320 ;
RECT 195.880 190.000 196.200 190.320 ;
RECT 196.280 190.000 196.600 190.320 ;
RECT 196.680 190.000 197.000 190.320 ;
RECT 197.080 190.000 197.400 190.320 ;
RECT 197.480 190.000 197.800 190.320 ;
RECT 197.880 190.000 198.200 190.320 ;
RECT 198.280 190.000 198.600 190.320 ;
RECT 198.680 190.000 199.000 190.320 ;
RECT 199.080 190.000 199.400 190.320 ;
RECT 199.480 190.000 199.800 190.320 ;
RECT 199.880 190.000 200.200 190.320 ;
RECT 200.280 190.000 200.600 190.320 ;
RECT 200.680 190.000 201.000 190.320 ;
RECT 201.080 190.000 201.400 190.320 ;
RECT 201.480 190.000 201.800 190.320 ;
RECT 25.040 189.600 25.360 189.920 ;
RECT 25.440 189.600 25.760 189.920 ;
RECT 25.840 189.600 26.160 189.920 ;
RECT 26.240 189.600 26.560 189.920 ;
RECT 26.640 189.600 26.960 189.920 ;
RECT 27.040 189.600 27.360 189.920 ;
RECT 27.440 189.600 27.760 189.920 ;
RECT 27.840 189.600 28.160 189.920 ;
RECT 28.240 189.600 28.560 189.920 ;
RECT 28.640 189.600 28.960 189.920 ;
RECT 29.040 189.600 29.360 189.920 ;
RECT 29.440 189.600 29.760 189.920 ;
RECT 29.840 189.600 30.160 189.920 ;
RECT 30.240 189.600 30.560 189.920 ;
RECT 30.640 189.600 30.960 189.920 ;
RECT 31.040 189.600 31.360 189.920 ;
RECT 31.440 189.600 31.760 189.920 ;
RECT 31.840 189.600 32.160 189.920 ;
RECT 32.240 189.600 32.560 189.920 ;
RECT 32.640 189.600 32.960 189.920 ;
RECT 33.040 189.600 33.360 189.920 ;
RECT 33.440 189.600 33.760 189.920 ;
RECT 33.840 189.600 34.160 189.920 ;
RECT 34.240 189.600 34.560 189.920 ;
RECT 34.640 189.600 34.960 189.920 ;
RECT 35.040 189.600 35.360 189.920 ;
RECT 35.440 189.600 35.760 189.920 ;
RECT 35.840 189.600 36.160 189.920 ;
RECT 36.240 189.600 36.560 189.920 ;
RECT 36.640 189.600 36.960 189.920 ;
RECT 37.040 189.600 37.360 189.920 ;
RECT 37.440 189.600 37.760 189.920 ;
RECT 37.840 189.600 38.160 189.920 ;
RECT 38.240 189.600 38.560 189.920 ;
RECT 38.640 189.600 38.960 189.920 ;
RECT 39.040 189.600 39.360 189.920 ;
RECT 39.440 189.600 39.760 189.920 ;
RECT 39.840 189.600 40.160 189.920 ;
RECT 40.240 189.600 40.560 189.920 ;
RECT 40.640 189.600 40.960 189.920 ;
RECT 41.040 189.600 41.360 189.920 ;
RECT 41.440 189.600 41.760 189.920 ;
RECT 41.840 189.600 42.160 189.920 ;
RECT 42.240 189.600 42.560 189.920 ;
RECT 42.640 189.600 42.960 189.920 ;
RECT 43.040 189.600 43.360 189.920 ;
RECT 43.440 189.600 43.760 189.920 ;
RECT 43.840 189.600 44.160 189.920 ;
RECT 44.240 189.600 44.560 189.920 ;
RECT 44.640 189.600 44.960 189.920 ;
RECT 70.560 189.600 70.880 189.920 ;
RECT 70.960 189.600 71.280 189.920 ;
RECT 71.360 189.600 71.680 189.920 ;
RECT 71.760 189.600 72.080 189.920 ;
RECT 120.560 189.600 120.880 189.920 ;
RECT 120.960 189.600 121.280 189.920 ;
RECT 121.360 189.600 121.680 189.920 ;
RECT 121.760 189.600 122.080 189.920 ;
RECT 181.880 189.600 182.200 189.920 ;
RECT 182.280 189.600 182.600 189.920 ;
RECT 182.680 189.600 183.000 189.920 ;
RECT 183.080 189.600 183.400 189.920 ;
RECT 183.480 189.600 183.800 189.920 ;
RECT 183.880 189.600 184.200 189.920 ;
RECT 184.280 189.600 184.600 189.920 ;
RECT 184.680 189.600 185.000 189.920 ;
RECT 185.080 189.600 185.400 189.920 ;
RECT 185.480 189.600 185.800 189.920 ;
RECT 185.880 189.600 186.200 189.920 ;
RECT 186.280 189.600 186.600 189.920 ;
RECT 186.680 189.600 187.000 189.920 ;
RECT 187.080 189.600 187.400 189.920 ;
RECT 187.480 189.600 187.800 189.920 ;
RECT 187.880 189.600 188.200 189.920 ;
RECT 188.280 189.600 188.600 189.920 ;
RECT 188.680 189.600 189.000 189.920 ;
RECT 189.080 189.600 189.400 189.920 ;
RECT 189.480 189.600 189.800 189.920 ;
RECT 189.880 189.600 190.200 189.920 ;
RECT 190.280 189.600 190.600 189.920 ;
RECT 190.680 189.600 191.000 189.920 ;
RECT 191.080 189.600 191.400 189.920 ;
RECT 191.480 189.600 191.800 189.920 ;
RECT 191.880 189.600 192.200 189.920 ;
RECT 192.280 189.600 192.600 189.920 ;
RECT 192.680 189.600 193.000 189.920 ;
RECT 193.080 189.600 193.400 189.920 ;
RECT 193.480 189.600 193.800 189.920 ;
RECT 193.880 189.600 194.200 189.920 ;
RECT 194.280 189.600 194.600 189.920 ;
RECT 194.680 189.600 195.000 189.920 ;
RECT 195.080 189.600 195.400 189.920 ;
RECT 195.480 189.600 195.800 189.920 ;
RECT 195.880 189.600 196.200 189.920 ;
RECT 196.280 189.600 196.600 189.920 ;
RECT 196.680 189.600 197.000 189.920 ;
RECT 197.080 189.600 197.400 189.920 ;
RECT 197.480 189.600 197.800 189.920 ;
RECT 197.880 189.600 198.200 189.920 ;
RECT 198.280 189.600 198.600 189.920 ;
RECT 198.680 189.600 199.000 189.920 ;
RECT 199.080 189.600 199.400 189.920 ;
RECT 199.480 189.600 199.800 189.920 ;
RECT 199.880 189.600 200.200 189.920 ;
RECT 200.280 189.600 200.600 189.920 ;
RECT 200.680 189.600 201.000 189.920 ;
RECT 201.080 189.600 201.400 189.920 ;
RECT 201.480 189.600 201.800 189.920 ;
RECT 25.040 189.200 25.360 189.520 ;
RECT 25.440 189.200 25.760 189.520 ;
RECT 25.840 189.200 26.160 189.520 ;
RECT 26.240 189.200 26.560 189.520 ;
RECT 26.640 189.200 26.960 189.520 ;
RECT 27.040 189.200 27.360 189.520 ;
RECT 27.440 189.200 27.760 189.520 ;
RECT 27.840 189.200 28.160 189.520 ;
RECT 28.240 189.200 28.560 189.520 ;
RECT 28.640 189.200 28.960 189.520 ;
RECT 29.040 189.200 29.360 189.520 ;
RECT 29.440 189.200 29.760 189.520 ;
RECT 29.840 189.200 30.160 189.520 ;
RECT 30.240 189.200 30.560 189.520 ;
RECT 30.640 189.200 30.960 189.520 ;
RECT 31.040 189.200 31.360 189.520 ;
RECT 31.440 189.200 31.760 189.520 ;
RECT 31.840 189.200 32.160 189.520 ;
RECT 32.240 189.200 32.560 189.520 ;
RECT 32.640 189.200 32.960 189.520 ;
RECT 33.040 189.200 33.360 189.520 ;
RECT 33.440 189.200 33.760 189.520 ;
RECT 33.840 189.200 34.160 189.520 ;
RECT 34.240 189.200 34.560 189.520 ;
RECT 34.640 189.200 34.960 189.520 ;
RECT 35.040 189.200 35.360 189.520 ;
RECT 35.440 189.200 35.760 189.520 ;
RECT 35.840 189.200 36.160 189.520 ;
RECT 36.240 189.200 36.560 189.520 ;
RECT 36.640 189.200 36.960 189.520 ;
RECT 37.040 189.200 37.360 189.520 ;
RECT 37.440 189.200 37.760 189.520 ;
RECT 37.840 189.200 38.160 189.520 ;
RECT 38.240 189.200 38.560 189.520 ;
RECT 38.640 189.200 38.960 189.520 ;
RECT 39.040 189.200 39.360 189.520 ;
RECT 39.440 189.200 39.760 189.520 ;
RECT 39.840 189.200 40.160 189.520 ;
RECT 40.240 189.200 40.560 189.520 ;
RECT 40.640 189.200 40.960 189.520 ;
RECT 41.040 189.200 41.360 189.520 ;
RECT 41.440 189.200 41.760 189.520 ;
RECT 41.840 189.200 42.160 189.520 ;
RECT 42.240 189.200 42.560 189.520 ;
RECT 42.640 189.200 42.960 189.520 ;
RECT 43.040 189.200 43.360 189.520 ;
RECT 43.440 189.200 43.760 189.520 ;
RECT 43.840 189.200 44.160 189.520 ;
RECT 44.240 189.200 44.560 189.520 ;
RECT 44.640 189.200 44.960 189.520 ;
RECT 70.560 189.200 70.880 189.520 ;
RECT 70.960 189.200 71.280 189.520 ;
RECT 71.360 189.200 71.680 189.520 ;
RECT 71.760 189.200 72.080 189.520 ;
RECT 120.560 189.200 120.880 189.520 ;
RECT 120.960 189.200 121.280 189.520 ;
RECT 121.360 189.200 121.680 189.520 ;
RECT 121.760 189.200 122.080 189.520 ;
RECT 181.880 189.200 182.200 189.520 ;
RECT 182.280 189.200 182.600 189.520 ;
RECT 182.680 189.200 183.000 189.520 ;
RECT 183.080 189.200 183.400 189.520 ;
RECT 183.480 189.200 183.800 189.520 ;
RECT 183.880 189.200 184.200 189.520 ;
RECT 184.280 189.200 184.600 189.520 ;
RECT 184.680 189.200 185.000 189.520 ;
RECT 185.080 189.200 185.400 189.520 ;
RECT 185.480 189.200 185.800 189.520 ;
RECT 185.880 189.200 186.200 189.520 ;
RECT 186.280 189.200 186.600 189.520 ;
RECT 186.680 189.200 187.000 189.520 ;
RECT 187.080 189.200 187.400 189.520 ;
RECT 187.480 189.200 187.800 189.520 ;
RECT 187.880 189.200 188.200 189.520 ;
RECT 188.280 189.200 188.600 189.520 ;
RECT 188.680 189.200 189.000 189.520 ;
RECT 189.080 189.200 189.400 189.520 ;
RECT 189.480 189.200 189.800 189.520 ;
RECT 189.880 189.200 190.200 189.520 ;
RECT 190.280 189.200 190.600 189.520 ;
RECT 190.680 189.200 191.000 189.520 ;
RECT 191.080 189.200 191.400 189.520 ;
RECT 191.480 189.200 191.800 189.520 ;
RECT 191.880 189.200 192.200 189.520 ;
RECT 192.280 189.200 192.600 189.520 ;
RECT 192.680 189.200 193.000 189.520 ;
RECT 193.080 189.200 193.400 189.520 ;
RECT 193.480 189.200 193.800 189.520 ;
RECT 193.880 189.200 194.200 189.520 ;
RECT 194.280 189.200 194.600 189.520 ;
RECT 194.680 189.200 195.000 189.520 ;
RECT 195.080 189.200 195.400 189.520 ;
RECT 195.480 189.200 195.800 189.520 ;
RECT 195.880 189.200 196.200 189.520 ;
RECT 196.280 189.200 196.600 189.520 ;
RECT 196.680 189.200 197.000 189.520 ;
RECT 197.080 189.200 197.400 189.520 ;
RECT 197.480 189.200 197.800 189.520 ;
RECT 197.880 189.200 198.200 189.520 ;
RECT 198.280 189.200 198.600 189.520 ;
RECT 198.680 189.200 199.000 189.520 ;
RECT 199.080 189.200 199.400 189.520 ;
RECT 199.480 189.200 199.800 189.520 ;
RECT 199.880 189.200 200.200 189.520 ;
RECT 200.280 189.200 200.600 189.520 ;
RECT 200.680 189.200 201.000 189.520 ;
RECT 201.080 189.200 201.400 189.520 ;
RECT 201.480 189.200 201.800 189.520 ;
RECT 25.040 188.800 25.360 189.120 ;
RECT 25.440 188.800 25.760 189.120 ;
RECT 25.840 188.800 26.160 189.120 ;
RECT 26.240 188.800 26.560 189.120 ;
RECT 26.640 188.800 26.960 189.120 ;
RECT 27.040 188.800 27.360 189.120 ;
RECT 27.440 188.800 27.760 189.120 ;
RECT 27.840 188.800 28.160 189.120 ;
RECT 28.240 188.800 28.560 189.120 ;
RECT 28.640 188.800 28.960 189.120 ;
RECT 29.040 188.800 29.360 189.120 ;
RECT 29.440 188.800 29.760 189.120 ;
RECT 29.840 188.800 30.160 189.120 ;
RECT 30.240 188.800 30.560 189.120 ;
RECT 30.640 188.800 30.960 189.120 ;
RECT 31.040 188.800 31.360 189.120 ;
RECT 31.440 188.800 31.760 189.120 ;
RECT 31.840 188.800 32.160 189.120 ;
RECT 32.240 188.800 32.560 189.120 ;
RECT 32.640 188.800 32.960 189.120 ;
RECT 33.040 188.800 33.360 189.120 ;
RECT 33.440 188.800 33.760 189.120 ;
RECT 33.840 188.800 34.160 189.120 ;
RECT 34.240 188.800 34.560 189.120 ;
RECT 34.640 188.800 34.960 189.120 ;
RECT 35.040 188.800 35.360 189.120 ;
RECT 35.440 188.800 35.760 189.120 ;
RECT 35.840 188.800 36.160 189.120 ;
RECT 36.240 188.800 36.560 189.120 ;
RECT 36.640 188.800 36.960 189.120 ;
RECT 37.040 188.800 37.360 189.120 ;
RECT 37.440 188.800 37.760 189.120 ;
RECT 37.840 188.800 38.160 189.120 ;
RECT 38.240 188.800 38.560 189.120 ;
RECT 38.640 188.800 38.960 189.120 ;
RECT 39.040 188.800 39.360 189.120 ;
RECT 39.440 188.800 39.760 189.120 ;
RECT 39.840 188.800 40.160 189.120 ;
RECT 40.240 188.800 40.560 189.120 ;
RECT 40.640 188.800 40.960 189.120 ;
RECT 41.040 188.800 41.360 189.120 ;
RECT 41.440 188.800 41.760 189.120 ;
RECT 41.840 188.800 42.160 189.120 ;
RECT 42.240 188.800 42.560 189.120 ;
RECT 42.640 188.800 42.960 189.120 ;
RECT 43.040 188.800 43.360 189.120 ;
RECT 43.440 188.800 43.760 189.120 ;
RECT 43.840 188.800 44.160 189.120 ;
RECT 44.240 188.800 44.560 189.120 ;
RECT 44.640 188.800 44.960 189.120 ;
RECT 70.560 188.800 70.880 189.120 ;
RECT 70.960 188.800 71.280 189.120 ;
RECT 71.360 188.800 71.680 189.120 ;
RECT 71.760 188.800 72.080 189.120 ;
RECT 120.560 188.800 120.880 189.120 ;
RECT 120.960 188.800 121.280 189.120 ;
RECT 121.360 188.800 121.680 189.120 ;
RECT 121.760 188.800 122.080 189.120 ;
RECT 181.880 188.800 182.200 189.120 ;
RECT 182.280 188.800 182.600 189.120 ;
RECT 182.680 188.800 183.000 189.120 ;
RECT 183.080 188.800 183.400 189.120 ;
RECT 183.480 188.800 183.800 189.120 ;
RECT 183.880 188.800 184.200 189.120 ;
RECT 184.280 188.800 184.600 189.120 ;
RECT 184.680 188.800 185.000 189.120 ;
RECT 185.080 188.800 185.400 189.120 ;
RECT 185.480 188.800 185.800 189.120 ;
RECT 185.880 188.800 186.200 189.120 ;
RECT 186.280 188.800 186.600 189.120 ;
RECT 186.680 188.800 187.000 189.120 ;
RECT 187.080 188.800 187.400 189.120 ;
RECT 187.480 188.800 187.800 189.120 ;
RECT 187.880 188.800 188.200 189.120 ;
RECT 188.280 188.800 188.600 189.120 ;
RECT 188.680 188.800 189.000 189.120 ;
RECT 189.080 188.800 189.400 189.120 ;
RECT 189.480 188.800 189.800 189.120 ;
RECT 189.880 188.800 190.200 189.120 ;
RECT 190.280 188.800 190.600 189.120 ;
RECT 190.680 188.800 191.000 189.120 ;
RECT 191.080 188.800 191.400 189.120 ;
RECT 191.480 188.800 191.800 189.120 ;
RECT 191.880 188.800 192.200 189.120 ;
RECT 192.280 188.800 192.600 189.120 ;
RECT 192.680 188.800 193.000 189.120 ;
RECT 193.080 188.800 193.400 189.120 ;
RECT 193.480 188.800 193.800 189.120 ;
RECT 193.880 188.800 194.200 189.120 ;
RECT 194.280 188.800 194.600 189.120 ;
RECT 194.680 188.800 195.000 189.120 ;
RECT 195.080 188.800 195.400 189.120 ;
RECT 195.480 188.800 195.800 189.120 ;
RECT 195.880 188.800 196.200 189.120 ;
RECT 196.280 188.800 196.600 189.120 ;
RECT 196.680 188.800 197.000 189.120 ;
RECT 197.080 188.800 197.400 189.120 ;
RECT 197.480 188.800 197.800 189.120 ;
RECT 197.880 188.800 198.200 189.120 ;
RECT 198.280 188.800 198.600 189.120 ;
RECT 198.680 188.800 199.000 189.120 ;
RECT 199.080 188.800 199.400 189.120 ;
RECT 199.480 188.800 199.800 189.120 ;
RECT 199.880 188.800 200.200 189.120 ;
RECT 200.280 188.800 200.600 189.120 ;
RECT 200.680 188.800 201.000 189.120 ;
RECT 201.080 188.800 201.400 189.120 ;
RECT 201.480 188.800 201.800 189.120 ;
RECT 25.040 188.400 25.360 188.720 ;
RECT 25.440 188.400 25.760 188.720 ;
RECT 25.840 188.400 26.160 188.720 ;
RECT 26.240 188.400 26.560 188.720 ;
RECT 26.640 188.400 26.960 188.720 ;
RECT 27.040 188.400 27.360 188.720 ;
RECT 27.440 188.400 27.760 188.720 ;
RECT 27.840 188.400 28.160 188.720 ;
RECT 28.240 188.400 28.560 188.720 ;
RECT 28.640 188.400 28.960 188.720 ;
RECT 29.040 188.400 29.360 188.720 ;
RECT 29.440 188.400 29.760 188.720 ;
RECT 29.840 188.400 30.160 188.720 ;
RECT 30.240 188.400 30.560 188.720 ;
RECT 30.640 188.400 30.960 188.720 ;
RECT 31.040 188.400 31.360 188.720 ;
RECT 31.440 188.400 31.760 188.720 ;
RECT 31.840 188.400 32.160 188.720 ;
RECT 32.240 188.400 32.560 188.720 ;
RECT 32.640 188.400 32.960 188.720 ;
RECT 33.040 188.400 33.360 188.720 ;
RECT 33.440 188.400 33.760 188.720 ;
RECT 33.840 188.400 34.160 188.720 ;
RECT 34.240 188.400 34.560 188.720 ;
RECT 34.640 188.400 34.960 188.720 ;
RECT 35.040 188.400 35.360 188.720 ;
RECT 35.440 188.400 35.760 188.720 ;
RECT 35.840 188.400 36.160 188.720 ;
RECT 36.240 188.400 36.560 188.720 ;
RECT 36.640 188.400 36.960 188.720 ;
RECT 37.040 188.400 37.360 188.720 ;
RECT 37.440 188.400 37.760 188.720 ;
RECT 37.840 188.400 38.160 188.720 ;
RECT 38.240 188.400 38.560 188.720 ;
RECT 38.640 188.400 38.960 188.720 ;
RECT 39.040 188.400 39.360 188.720 ;
RECT 39.440 188.400 39.760 188.720 ;
RECT 39.840 188.400 40.160 188.720 ;
RECT 40.240 188.400 40.560 188.720 ;
RECT 40.640 188.400 40.960 188.720 ;
RECT 41.040 188.400 41.360 188.720 ;
RECT 41.440 188.400 41.760 188.720 ;
RECT 41.840 188.400 42.160 188.720 ;
RECT 42.240 188.400 42.560 188.720 ;
RECT 42.640 188.400 42.960 188.720 ;
RECT 43.040 188.400 43.360 188.720 ;
RECT 43.440 188.400 43.760 188.720 ;
RECT 43.840 188.400 44.160 188.720 ;
RECT 44.240 188.400 44.560 188.720 ;
RECT 44.640 188.400 44.960 188.720 ;
RECT 70.560 188.400 70.880 188.720 ;
RECT 70.960 188.400 71.280 188.720 ;
RECT 71.360 188.400 71.680 188.720 ;
RECT 71.760 188.400 72.080 188.720 ;
RECT 120.560 188.400 120.880 188.720 ;
RECT 120.960 188.400 121.280 188.720 ;
RECT 121.360 188.400 121.680 188.720 ;
RECT 121.760 188.400 122.080 188.720 ;
RECT 181.880 188.400 182.200 188.720 ;
RECT 182.280 188.400 182.600 188.720 ;
RECT 182.680 188.400 183.000 188.720 ;
RECT 183.080 188.400 183.400 188.720 ;
RECT 183.480 188.400 183.800 188.720 ;
RECT 183.880 188.400 184.200 188.720 ;
RECT 184.280 188.400 184.600 188.720 ;
RECT 184.680 188.400 185.000 188.720 ;
RECT 185.080 188.400 185.400 188.720 ;
RECT 185.480 188.400 185.800 188.720 ;
RECT 185.880 188.400 186.200 188.720 ;
RECT 186.280 188.400 186.600 188.720 ;
RECT 186.680 188.400 187.000 188.720 ;
RECT 187.080 188.400 187.400 188.720 ;
RECT 187.480 188.400 187.800 188.720 ;
RECT 187.880 188.400 188.200 188.720 ;
RECT 188.280 188.400 188.600 188.720 ;
RECT 188.680 188.400 189.000 188.720 ;
RECT 189.080 188.400 189.400 188.720 ;
RECT 189.480 188.400 189.800 188.720 ;
RECT 189.880 188.400 190.200 188.720 ;
RECT 190.280 188.400 190.600 188.720 ;
RECT 190.680 188.400 191.000 188.720 ;
RECT 191.080 188.400 191.400 188.720 ;
RECT 191.480 188.400 191.800 188.720 ;
RECT 191.880 188.400 192.200 188.720 ;
RECT 192.280 188.400 192.600 188.720 ;
RECT 192.680 188.400 193.000 188.720 ;
RECT 193.080 188.400 193.400 188.720 ;
RECT 193.480 188.400 193.800 188.720 ;
RECT 193.880 188.400 194.200 188.720 ;
RECT 194.280 188.400 194.600 188.720 ;
RECT 194.680 188.400 195.000 188.720 ;
RECT 195.080 188.400 195.400 188.720 ;
RECT 195.480 188.400 195.800 188.720 ;
RECT 195.880 188.400 196.200 188.720 ;
RECT 196.280 188.400 196.600 188.720 ;
RECT 196.680 188.400 197.000 188.720 ;
RECT 197.080 188.400 197.400 188.720 ;
RECT 197.480 188.400 197.800 188.720 ;
RECT 197.880 188.400 198.200 188.720 ;
RECT 198.280 188.400 198.600 188.720 ;
RECT 198.680 188.400 199.000 188.720 ;
RECT 199.080 188.400 199.400 188.720 ;
RECT 199.480 188.400 199.800 188.720 ;
RECT 199.880 188.400 200.200 188.720 ;
RECT 200.280 188.400 200.600 188.720 ;
RECT 200.680 188.400 201.000 188.720 ;
RECT 201.080 188.400 201.400 188.720 ;
RECT 201.480 188.400 201.800 188.720 ;
RECT 25.040 188.000 25.360 188.320 ;
RECT 25.440 188.000 25.760 188.320 ;
RECT 25.840 188.000 26.160 188.320 ;
RECT 26.240 188.000 26.560 188.320 ;
RECT 26.640 188.000 26.960 188.320 ;
RECT 27.040 188.000 27.360 188.320 ;
RECT 27.440 188.000 27.760 188.320 ;
RECT 27.840 188.000 28.160 188.320 ;
RECT 28.240 188.000 28.560 188.320 ;
RECT 28.640 188.000 28.960 188.320 ;
RECT 29.040 188.000 29.360 188.320 ;
RECT 29.440 188.000 29.760 188.320 ;
RECT 29.840 188.000 30.160 188.320 ;
RECT 30.240 188.000 30.560 188.320 ;
RECT 30.640 188.000 30.960 188.320 ;
RECT 31.040 188.000 31.360 188.320 ;
RECT 31.440 188.000 31.760 188.320 ;
RECT 31.840 188.000 32.160 188.320 ;
RECT 32.240 188.000 32.560 188.320 ;
RECT 32.640 188.000 32.960 188.320 ;
RECT 33.040 188.000 33.360 188.320 ;
RECT 33.440 188.000 33.760 188.320 ;
RECT 33.840 188.000 34.160 188.320 ;
RECT 34.240 188.000 34.560 188.320 ;
RECT 34.640 188.000 34.960 188.320 ;
RECT 35.040 188.000 35.360 188.320 ;
RECT 35.440 188.000 35.760 188.320 ;
RECT 35.840 188.000 36.160 188.320 ;
RECT 36.240 188.000 36.560 188.320 ;
RECT 36.640 188.000 36.960 188.320 ;
RECT 37.040 188.000 37.360 188.320 ;
RECT 37.440 188.000 37.760 188.320 ;
RECT 37.840 188.000 38.160 188.320 ;
RECT 38.240 188.000 38.560 188.320 ;
RECT 38.640 188.000 38.960 188.320 ;
RECT 39.040 188.000 39.360 188.320 ;
RECT 39.440 188.000 39.760 188.320 ;
RECT 39.840 188.000 40.160 188.320 ;
RECT 40.240 188.000 40.560 188.320 ;
RECT 40.640 188.000 40.960 188.320 ;
RECT 41.040 188.000 41.360 188.320 ;
RECT 41.440 188.000 41.760 188.320 ;
RECT 41.840 188.000 42.160 188.320 ;
RECT 42.240 188.000 42.560 188.320 ;
RECT 42.640 188.000 42.960 188.320 ;
RECT 43.040 188.000 43.360 188.320 ;
RECT 43.440 188.000 43.760 188.320 ;
RECT 43.840 188.000 44.160 188.320 ;
RECT 44.240 188.000 44.560 188.320 ;
RECT 44.640 188.000 44.960 188.320 ;
RECT 70.560 188.000 70.880 188.320 ;
RECT 70.960 188.000 71.280 188.320 ;
RECT 71.360 188.000 71.680 188.320 ;
RECT 71.760 188.000 72.080 188.320 ;
RECT 120.560 188.000 120.880 188.320 ;
RECT 120.960 188.000 121.280 188.320 ;
RECT 121.360 188.000 121.680 188.320 ;
RECT 121.760 188.000 122.080 188.320 ;
RECT 181.880 188.000 182.200 188.320 ;
RECT 182.280 188.000 182.600 188.320 ;
RECT 182.680 188.000 183.000 188.320 ;
RECT 183.080 188.000 183.400 188.320 ;
RECT 183.480 188.000 183.800 188.320 ;
RECT 183.880 188.000 184.200 188.320 ;
RECT 184.280 188.000 184.600 188.320 ;
RECT 184.680 188.000 185.000 188.320 ;
RECT 185.080 188.000 185.400 188.320 ;
RECT 185.480 188.000 185.800 188.320 ;
RECT 185.880 188.000 186.200 188.320 ;
RECT 186.280 188.000 186.600 188.320 ;
RECT 186.680 188.000 187.000 188.320 ;
RECT 187.080 188.000 187.400 188.320 ;
RECT 187.480 188.000 187.800 188.320 ;
RECT 187.880 188.000 188.200 188.320 ;
RECT 188.280 188.000 188.600 188.320 ;
RECT 188.680 188.000 189.000 188.320 ;
RECT 189.080 188.000 189.400 188.320 ;
RECT 189.480 188.000 189.800 188.320 ;
RECT 189.880 188.000 190.200 188.320 ;
RECT 190.280 188.000 190.600 188.320 ;
RECT 190.680 188.000 191.000 188.320 ;
RECT 191.080 188.000 191.400 188.320 ;
RECT 191.480 188.000 191.800 188.320 ;
RECT 191.880 188.000 192.200 188.320 ;
RECT 192.280 188.000 192.600 188.320 ;
RECT 192.680 188.000 193.000 188.320 ;
RECT 193.080 188.000 193.400 188.320 ;
RECT 193.480 188.000 193.800 188.320 ;
RECT 193.880 188.000 194.200 188.320 ;
RECT 194.280 188.000 194.600 188.320 ;
RECT 194.680 188.000 195.000 188.320 ;
RECT 195.080 188.000 195.400 188.320 ;
RECT 195.480 188.000 195.800 188.320 ;
RECT 195.880 188.000 196.200 188.320 ;
RECT 196.280 188.000 196.600 188.320 ;
RECT 196.680 188.000 197.000 188.320 ;
RECT 197.080 188.000 197.400 188.320 ;
RECT 197.480 188.000 197.800 188.320 ;
RECT 197.880 188.000 198.200 188.320 ;
RECT 198.280 188.000 198.600 188.320 ;
RECT 198.680 188.000 199.000 188.320 ;
RECT 199.080 188.000 199.400 188.320 ;
RECT 199.480 188.000 199.800 188.320 ;
RECT 199.880 188.000 200.200 188.320 ;
RECT 200.280 188.000 200.600 188.320 ;
RECT 200.680 188.000 201.000 188.320 ;
RECT 201.080 188.000 201.400 188.320 ;
RECT 201.480 188.000 201.800 188.320 ;
RECT 25.040 187.600 25.360 187.920 ;
RECT 25.440 187.600 25.760 187.920 ;
RECT 25.840 187.600 26.160 187.920 ;
RECT 26.240 187.600 26.560 187.920 ;
RECT 26.640 187.600 26.960 187.920 ;
RECT 27.040 187.600 27.360 187.920 ;
RECT 27.440 187.600 27.760 187.920 ;
RECT 27.840 187.600 28.160 187.920 ;
RECT 28.240 187.600 28.560 187.920 ;
RECT 28.640 187.600 28.960 187.920 ;
RECT 29.040 187.600 29.360 187.920 ;
RECT 29.440 187.600 29.760 187.920 ;
RECT 29.840 187.600 30.160 187.920 ;
RECT 30.240 187.600 30.560 187.920 ;
RECT 30.640 187.600 30.960 187.920 ;
RECT 31.040 187.600 31.360 187.920 ;
RECT 31.440 187.600 31.760 187.920 ;
RECT 31.840 187.600 32.160 187.920 ;
RECT 32.240 187.600 32.560 187.920 ;
RECT 32.640 187.600 32.960 187.920 ;
RECT 33.040 187.600 33.360 187.920 ;
RECT 33.440 187.600 33.760 187.920 ;
RECT 33.840 187.600 34.160 187.920 ;
RECT 34.240 187.600 34.560 187.920 ;
RECT 34.640 187.600 34.960 187.920 ;
RECT 35.040 187.600 35.360 187.920 ;
RECT 35.440 187.600 35.760 187.920 ;
RECT 35.840 187.600 36.160 187.920 ;
RECT 36.240 187.600 36.560 187.920 ;
RECT 36.640 187.600 36.960 187.920 ;
RECT 37.040 187.600 37.360 187.920 ;
RECT 37.440 187.600 37.760 187.920 ;
RECT 37.840 187.600 38.160 187.920 ;
RECT 38.240 187.600 38.560 187.920 ;
RECT 38.640 187.600 38.960 187.920 ;
RECT 39.040 187.600 39.360 187.920 ;
RECT 39.440 187.600 39.760 187.920 ;
RECT 39.840 187.600 40.160 187.920 ;
RECT 40.240 187.600 40.560 187.920 ;
RECT 40.640 187.600 40.960 187.920 ;
RECT 41.040 187.600 41.360 187.920 ;
RECT 41.440 187.600 41.760 187.920 ;
RECT 41.840 187.600 42.160 187.920 ;
RECT 42.240 187.600 42.560 187.920 ;
RECT 42.640 187.600 42.960 187.920 ;
RECT 43.040 187.600 43.360 187.920 ;
RECT 43.440 187.600 43.760 187.920 ;
RECT 43.840 187.600 44.160 187.920 ;
RECT 44.240 187.600 44.560 187.920 ;
RECT 44.640 187.600 44.960 187.920 ;
RECT 70.560 187.600 70.880 187.920 ;
RECT 70.960 187.600 71.280 187.920 ;
RECT 71.360 187.600 71.680 187.920 ;
RECT 71.760 187.600 72.080 187.920 ;
RECT 120.560 187.600 120.880 187.920 ;
RECT 120.960 187.600 121.280 187.920 ;
RECT 121.360 187.600 121.680 187.920 ;
RECT 121.760 187.600 122.080 187.920 ;
RECT 181.880 187.600 182.200 187.920 ;
RECT 182.280 187.600 182.600 187.920 ;
RECT 182.680 187.600 183.000 187.920 ;
RECT 183.080 187.600 183.400 187.920 ;
RECT 183.480 187.600 183.800 187.920 ;
RECT 183.880 187.600 184.200 187.920 ;
RECT 184.280 187.600 184.600 187.920 ;
RECT 184.680 187.600 185.000 187.920 ;
RECT 185.080 187.600 185.400 187.920 ;
RECT 185.480 187.600 185.800 187.920 ;
RECT 185.880 187.600 186.200 187.920 ;
RECT 186.280 187.600 186.600 187.920 ;
RECT 186.680 187.600 187.000 187.920 ;
RECT 187.080 187.600 187.400 187.920 ;
RECT 187.480 187.600 187.800 187.920 ;
RECT 187.880 187.600 188.200 187.920 ;
RECT 188.280 187.600 188.600 187.920 ;
RECT 188.680 187.600 189.000 187.920 ;
RECT 189.080 187.600 189.400 187.920 ;
RECT 189.480 187.600 189.800 187.920 ;
RECT 189.880 187.600 190.200 187.920 ;
RECT 190.280 187.600 190.600 187.920 ;
RECT 190.680 187.600 191.000 187.920 ;
RECT 191.080 187.600 191.400 187.920 ;
RECT 191.480 187.600 191.800 187.920 ;
RECT 191.880 187.600 192.200 187.920 ;
RECT 192.280 187.600 192.600 187.920 ;
RECT 192.680 187.600 193.000 187.920 ;
RECT 193.080 187.600 193.400 187.920 ;
RECT 193.480 187.600 193.800 187.920 ;
RECT 193.880 187.600 194.200 187.920 ;
RECT 194.280 187.600 194.600 187.920 ;
RECT 194.680 187.600 195.000 187.920 ;
RECT 195.080 187.600 195.400 187.920 ;
RECT 195.480 187.600 195.800 187.920 ;
RECT 195.880 187.600 196.200 187.920 ;
RECT 196.280 187.600 196.600 187.920 ;
RECT 196.680 187.600 197.000 187.920 ;
RECT 197.080 187.600 197.400 187.920 ;
RECT 197.480 187.600 197.800 187.920 ;
RECT 197.880 187.600 198.200 187.920 ;
RECT 198.280 187.600 198.600 187.920 ;
RECT 198.680 187.600 199.000 187.920 ;
RECT 199.080 187.600 199.400 187.920 ;
RECT 199.480 187.600 199.800 187.920 ;
RECT 199.880 187.600 200.200 187.920 ;
RECT 200.280 187.600 200.600 187.920 ;
RECT 200.680 187.600 201.000 187.920 ;
RECT 201.080 187.600 201.400 187.920 ;
RECT 201.480 187.600 201.800 187.920 ;
RECT 25.040 187.200 25.360 187.520 ;
RECT 25.440 187.200 25.760 187.520 ;
RECT 25.840 187.200 26.160 187.520 ;
RECT 26.240 187.200 26.560 187.520 ;
RECT 26.640 187.200 26.960 187.520 ;
RECT 27.040 187.200 27.360 187.520 ;
RECT 27.440 187.200 27.760 187.520 ;
RECT 27.840 187.200 28.160 187.520 ;
RECT 28.240 187.200 28.560 187.520 ;
RECT 28.640 187.200 28.960 187.520 ;
RECT 29.040 187.200 29.360 187.520 ;
RECT 29.440 187.200 29.760 187.520 ;
RECT 29.840 187.200 30.160 187.520 ;
RECT 30.240 187.200 30.560 187.520 ;
RECT 30.640 187.200 30.960 187.520 ;
RECT 31.040 187.200 31.360 187.520 ;
RECT 31.440 187.200 31.760 187.520 ;
RECT 31.840 187.200 32.160 187.520 ;
RECT 32.240 187.200 32.560 187.520 ;
RECT 32.640 187.200 32.960 187.520 ;
RECT 33.040 187.200 33.360 187.520 ;
RECT 33.440 187.200 33.760 187.520 ;
RECT 33.840 187.200 34.160 187.520 ;
RECT 34.240 187.200 34.560 187.520 ;
RECT 34.640 187.200 34.960 187.520 ;
RECT 35.040 187.200 35.360 187.520 ;
RECT 35.440 187.200 35.760 187.520 ;
RECT 35.840 187.200 36.160 187.520 ;
RECT 36.240 187.200 36.560 187.520 ;
RECT 36.640 187.200 36.960 187.520 ;
RECT 37.040 187.200 37.360 187.520 ;
RECT 37.440 187.200 37.760 187.520 ;
RECT 37.840 187.200 38.160 187.520 ;
RECT 38.240 187.200 38.560 187.520 ;
RECT 38.640 187.200 38.960 187.520 ;
RECT 39.040 187.200 39.360 187.520 ;
RECT 39.440 187.200 39.760 187.520 ;
RECT 39.840 187.200 40.160 187.520 ;
RECT 40.240 187.200 40.560 187.520 ;
RECT 40.640 187.200 40.960 187.520 ;
RECT 41.040 187.200 41.360 187.520 ;
RECT 41.440 187.200 41.760 187.520 ;
RECT 41.840 187.200 42.160 187.520 ;
RECT 42.240 187.200 42.560 187.520 ;
RECT 42.640 187.200 42.960 187.520 ;
RECT 43.040 187.200 43.360 187.520 ;
RECT 43.440 187.200 43.760 187.520 ;
RECT 43.840 187.200 44.160 187.520 ;
RECT 44.240 187.200 44.560 187.520 ;
RECT 44.640 187.200 44.960 187.520 ;
RECT 70.560 187.200 70.880 187.520 ;
RECT 70.960 187.200 71.280 187.520 ;
RECT 71.360 187.200 71.680 187.520 ;
RECT 71.760 187.200 72.080 187.520 ;
RECT 120.560 187.200 120.880 187.520 ;
RECT 120.960 187.200 121.280 187.520 ;
RECT 121.360 187.200 121.680 187.520 ;
RECT 121.760 187.200 122.080 187.520 ;
RECT 181.880 187.200 182.200 187.520 ;
RECT 182.280 187.200 182.600 187.520 ;
RECT 182.680 187.200 183.000 187.520 ;
RECT 183.080 187.200 183.400 187.520 ;
RECT 183.480 187.200 183.800 187.520 ;
RECT 183.880 187.200 184.200 187.520 ;
RECT 184.280 187.200 184.600 187.520 ;
RECT 184.680 187.200 185.000 187.520 ;
RECT 185.080 187.200 185.400 187.520 ;
RECT 185.480 187.200 185.800 187.520 ;
RECT 185.880 187.200 186.200 187.520 ;
RECT 186.280 187.200 186.600 187.520 ;
RECT 186.680 187.200 187.000 187.520 ;
RECT 187.080 187.200 187.400 187.520 ;
RECT 187.480 187.200 187.800 187.520 ;
RECT 187.880 187.200 188.200 187.520 ;
RECT 188.280 187.200 188.600 187.520 ;
RECT 188.680 187.200 189.000 187.520 ;
RECT 189.080 187.200 189.400 187.520 ;
RECT 189.480 187.200 189.800 187.520 ;
RECT 189.880 187.200 190.200 187.520 ;
RECT 190.280 187.200 190.600 187.520 ;
RECT 190.680 187.200 191.000 187.520 ;
RECT 191.080 187.200 191.400 187.520 ;
RECT 191.480 187.200 191.800 187.520 ;
RECT 191.880 187.200 192.200 187.520 ;
RECT 192.280 187.200 192.600 187.520 ;
RECT 192.680 187.200 193.000 187.520 ;
RECT 193.080 187.200 193.400 187.520 ;
RECT 193.480 187.200 193.800 187.520 ;
RECT 193.880 187.200 194.200 187.520 ;
RECT 194.280 187.200 194.600 187.520 ;
RECT 194.680 187.200 195.000 187.520 ;
RECT 195.080 187.200 195.400 187.520 ;
RECT 195.480 187.200 195.800 187.520 ;
RECT 195.880 187.200 196.200 187.520 ;
RECT 196.280 187.200 196.600 187.520 ;
RECT 196.680 187.200 197.000 187.520 ;
RECT 197.080 187.200 197.400 187.520 ;
RECT 197.480 187.200 197.800 187.520 ;
RECT 197.880 187.200 198.200 187.520 ;
RECT 198.280 187.200 198.600 187.520 ;
RECT 198.680 187.200 199.000 187.520 ;
RECT 199.080 187.200 199.400 187.520 ;
RECT 199.480 187.200 199.800 187.520 ;
RECT 199.880 187.200 200.200 187.520 ;
RECT 200.280 187.200 200.600 187.520 ;
RECT 200.680 187.200 201.000 187.520 ;
RECT 201.080 187.200 201.400 187.520 ;
RECT 201.480 187.200 201.800 187.520 ;
RECT 25.040 186.800 25.360 187.120 ;
RECT 25.440 186.800 25.760 187.120 ;
RECT 25.840 186.800 26.160 187.120 ;
RECT 26.240 186.800 26.560 187.120 ;
RECT 26.640 186.800 26.960 187.120 ;
RECT 27.040 186.800 27.360 187.120 ;
RECT 27.440 186.800 27.760 187.120 ;
RECT 27.840 186.800 28.160 187.120 ;
RECT 28.240 186.800 28.560 187.120 ;
RECT 28.640 186.800 28.960 187.120 ;
RECT 29.040 186.800 29.360 187.120 ;
RECT 29.440 186.800 29.760 187.120 ;
RECT 29.840 186.800 30.160 187.120 ;
RECT 30.240 186.800 30.560 187.120 ;
RECT 30.640 186.800 30.960 187.120 ;
RECT 31.040 186.800 31.360 187.120 ;
RECT 31.440 186.800 31.760 187.120 ;
RECT 31.840 186.800 32.160 187.120 ;
RECT 32.240 186.800 32.560 187.120 ;
RECT 32.640 186.800 32.960 187.120 ;
RECT 33.040 186.800 33.360 187.120 ;
RECT 33.440 186.800 33.760 187.120 ;
RECT 33.840 186.800 34.160 187.120 ;
RECT 34.240 186.800 34.560 187.120 ;
RECT 34.640 186.800 34.960 187.120 ;
RECT 35.040 186.800 35.360 187.120 ;
RECT 35.440 186.800 35.760 187.120 ;
RECT 35.840 186.800 36.160 187.120 ;
RECT 36.240 186.800 36.560 187.120 ;
RECT 36.640 186.800 36.960 187.120 ;
RECT 37.040 186.800 37.360 187.120 ;
RECT 37.440 186.800 37.760 187.120 ;
RECT 37.840 186.800 38.160 187.120 ;
RECT 38.240 186.800 38.560 187.120 ;
RECT 38.640 186.800 38.960 187.120 ;
RECT 39.040 186.800 39.360 187.120 ;
RECT 39.440 186.800 39.760 187.120 ;
RECT 39.840 186.800 40.160 187.120 ;
RECT 40.240 186.800 40.560 187.120 ;
RECT 40.640 186.800 40.960 187.120 ;
RECT 41.040 186.800 41.360 187.120 ;
RECT 41.440 186.800 41.760 187.120 ;
RECT 41.840 186.800 42.160 187.120 ;
RECT 42.240 186.800 42.560 187.120 ;
RECT 42.640 186.800 42.960 187.120 ;
RECT 43.040 186.800 43.360 187.120 ;
RECT 43.440 186.800 43.760 187.120 ;
RECT 43.840 186.800 44.160 187.120 ;
RECT 44.240 186.800 44.560 187.120 ;
RECT 44.640 186.800 44.960 187.120 ;
RECT 70.560 186.800 70.880 187.120 ;
RECT 70.960 186.800 71.280 187.120 ;
RECT 71.360 186.800 71.680 187.120 ;
RECT 71.760 186.800 72.080 187.120 ;
RECT 120.560 186.800 120.880 187.120 ;
RECT 120.960 186.800 121.280 187.120 ;
RECT 121.360 186.800 121.680 187.120 ;
RECT 121.760 186.800 122.080 187.120 ;
RECT 181.880 186.800 182.200 187.120 ;
RECT 182.280 186.800 182.600 187.120 ;
RECT 182.680 186.800 183.000 187.120 ;
RECT 183.080 186.800 183.400 187.120 ;
RECT 183.480 186.800 183.800 187.120 ;
RECT 183.880 186.800 184.200 187.120 ;
RECT 184.280 186.800 184.600 187.120 ;
RECT 184.680 186.800 185.000 187.120 ;
RECT 185.080 186.800 185.400 187.120 ;
RECT 185.480 186.800 185.800 187.120 ;
RECT 185.880 186.800 186.200 187.120 ;
RECT 186.280 186.800 186.600 187.120 ;
RECT 186.680 186.800 187.000 187.120 ;
RECT 187.080 186.800 187.400 187.120 ;
RECT 187.480 186.800 187.800 187.120 ;
RECT 187.880 186.800 188.200 187.120 ;
RECT 188.280 186.800 188.600 187.120 ;
RECT 188.680 186.800 189.000 187.120 ;
RECT 189.080 186.800 189.400 187.120 ;
RECT 189.480 186.800 189.800 187.120 ;
RECT 189.880 186.800 190.200 187.120 ;
RECT 190.280 186.800 190.600 187.120 ;
RECT 190.680 186.800 191.000 187.120 ;
RECT 191.080 186.800 191.400 187.120 ;
RECT 191.480 186.800 191.800 187.120 ;
RECT 191.880 186.800 192.200 187.120 ;
RECT 192.280 186.800 192.600 187.120 ;
RECT 192.680 186.800 193.000 187.120 ;
RECT 193.080 186.800 193.400 187.120 ;
RECT 193.480 186.800 193.800 187.120 ;
RECT 193.880 186.800 194.200 187.120 ;
RECT 194.280 186.800 194.600 187.120 ;
RECT 194.680 186.800 195.000 187.120 ;
RECT 195.080 186.800 195.400 187.120 ;
RECT 195.480 186.800 195.800 187.120 ;
RECT 195.880 186.800 196.200 187.120 ;
RECT 196.280 186.800 196.600 187.120 ;
RECT 196.680 186.800 197.000 187.120 ;
RECT 197.080 186.800 197.400 187.120 ;
RECT 197.480 186.800 197.800 187.120 ;
RECT 197.880 186.800 198.200 187.120 ;
RECT 198.280 186.800 198.600 187.120 ;
RECT 198.680 186.800 199.000 187.120 ;
RECT 199.080 186.800 199.400 187.120 ;
RECT 199.480 186.800 199.800 187.120 ;
RECT 199.880 186.800 200.200 187.120 ;
RECT 200.280 186.800 200.600 187.120 ;
RECT 200.680 186.800 201.000 187.120 ;
RECT 201.080 186.800 201.400 187.120 ;
RECT 201.480 186.800 201.800 187.120 ;
RECT 25.040 186.400 25.360 186.720 ;
RECT 25.440 186.400 25.760 186.720 ;
RECT 25.840 186.400 26.160 186.720 ;
RECT 26.240 186.400 26.560 186.720 ;
RECT 26.640 186.400 26.960 186.720 ;
RECT 27.040 186.400 27.360 186.720 ;
RECT 27.440 186.400 27.760 186.720 ;
RECT 27.840 186.400 28.160 186.720 ;
RECT 28.240 186.400 28.560 186.720 ;
RECT 28.640 186.400 28.960 186.720 ;
RECT 29.040 186.400 29.360 186.720 ;
RECT 29.440 186.400 29.760 186.720 ;
RECT 29.840 186.400 30.160 186.720 ;
RECT 30.240 186.400 30.560 186.720 ;
RECT 30.640 186.400 30.960 186.720 ;
RECT 31.040 186.400 31.360 186.720 ;
RECT 31.440 186.400 31.760 186.720 ;
RECT 31.840 186.400 32.160 186.720 ;
RECT 32.240 186.400 32.560 186.720 ;
RECT 32.640 186.400 32.960 186.720 ;
RECT 33.040 186.400 33.360 186.720 ;
RECT 33.440 186.400 33.760 186.720 ;
RECT 33.840 186.400 34.160 186.720 ;
RECT 34.240 186.400 34.560 186.720 ;
RECT 34.640 186.400 34.960 186.720 ;
RECT 35.040 186.400 35.360 186.720 ;
RECT 35.440 186.400 35.760 186.720 ;
RECT 35.840 186.400 36.160 186.720 ;
RECT 36.240 186.400 36.560 186.720 ;
RECT 36.640 186.400 36.960 186.720 ;
RECT 37.040 186.400 37.360 186.720 ;
RECT 37.440 186.400 37.760 186.720 ;
RECT 37.840 186.400 38.160 186.720 ;
RECT 38.240 186.400 38.560 186.720 ;
RECT 38.640 186.400 38.960 186.720 ;
RECT 39.040 186.400 39.360 186.720 ;
RECT 39.440 186.400 39.760 186.720 ;
RECT 39.840 186.400 40.160 186.720 ;
RECT 40.240 186.400 40.560 186.720 ;
RECT 40.640 186.400 40.960 186.720 ;
RECT 41.040 186.400 41.360 186.720 ;
RECT 41.440 186.400 41.760 186.720 ;
RECT 41.840 186.400 42.160 186.720 ;
RECT 42.240 186.400 42.560 186.720 ;
RECT 42.640 186.400 42.960 186.720 ;
RECT 43.040 186.400 43.360 186.720 ;
RECT 43.440 186.400 43.760 186.720 ;
RECT 43.840 186.400 44.160 186.720 ;
RECT 44.240 186.400 44.560 186.720 ;
RECT 44.640 186.400 44.960 186.720 ;
RECT 70.560 186.400 70.880 186.720 ;
RECT 70.960 186.400 71.280 186.720 ;
RECT 71.360 186.400 71.680 186.720 ;
RECT 71.760 186.400 72.080 186.720 ;
RECT 120.560 186.400 120.880 186.720 ;
RECT 120.960 186.400 121.280 186.720 ;
RECT 121.360 186.400 121.680 186.720 ;
RECT 121.760 186.400 122.080 186.720 ;
RECT 181.880 186.400 182.200 186.720 ;
RECT 182.280 186.400 182.600 186.720 ;
RECT 182.680 186.400 183.000 186.720 ;
RECT 183.080 186.400 183.400 186.720 ;
RECT 183.480 186.400 183.800 186.720 ;
RECT 183.880 186.400 184.200 186.720 ;
RECT 184.280 186.400 184.600 186.720 ;
RECT 184.680 186.400 185.000 186.720 ;
RECT 185.080 186.400 185.400 186.720 ;
RECT 185.480 186.400 185.800 186.720 ;
RECT 185.880 186.400 186.200 186.720 ;
RECT 186.280 186.400 186.600 186.720 ;
RECT 186.680 186.400 187.000 186.720 ;
RECT 187.080 186.400 187.400 186.720 ;
RECT 187.480 186.400 187.800 186.720 ;
RECT 187.880 186.400 188.200 186.720 ;
RECT 188.280 186.400 188.600 186.720 ;
RECT 188.680 186.400 189.000 186.720 ;
RECT 189.080 186.400 189.400 186.720 ;
RECT 189.480 186.400 189.800 186.720 ;
RECT 189.880 186.400 190.200 186.720 ;
RECT 190.280 186.400 190.600 186.720 ;
RECT 190.680 186.400 191.000 186.720 ;
RECT 191.080 186.400 191.400 186.720 ;
RECT 191.480 186.400 191.800 186.720 ;
RECT 191.880 186.400 192.200 186.720 ;
RECT 192.280 186.400 192.600 186.720 ;
RECT 192.680 186.400 193.000 186.720 ;
RECT 193.080 186.400 193.400 186.720 ;
RECT 193.480 186.400 193.800 186.720 ;
RECT 193.880 186.400 194.200 186.720 ;
RECT 194.280 186.400 194.600 186.720 ;
RECT 194.680 186.400 195.000 186.720 ;
RECT 195.080 186.400 195.400 186.720 ;
RECT 195.480 186.400 195.800 186.720 ;
RECT 195.880 186.400 196.200 186.720 ;
RECT 196.280 186.400 196.600 186.720 ;
RECT 196.680 186.400 197.000 186.720 ;
RECT 197.080 186.400 197.400 186.720 ;
RECT 197.480 186.400 197.800 186.720 ;
RECT 197.880 186.400 198.200 186.720 ;
RECT 198.280 186.400 198.600 186.720 ;
RECT 198.680 186.400 199.000 186.720 ;
RECT 199.080 186.400 199.400 186.720 ;
RECT 199.480 186.400 199.800 186.720 ;
RECT 199.880 186.400 200.200 186.720 ;
RECT 200.280 186.400 200.600 186.720 ;
RECT 200.680 186.400 201.000 186.720 ;
RECT 201.080 186.400 201.400 186.720 ;
RECT 201.480 186.400 201.800 186.720 ;
RECT 25.040 186.000 25.360 186.320 ;
RECT 25.440 186.000 25.760 186.320 ;
RECT 25.840 186.000 26.160 186.320 ;
RECT 26.240 186.000 26.560 186.320 ;
RECT 26.640 186.000 26.960 186.320 ;
RECT 27.040 186.000 27.360 186.320 ;
RECT 27.440 186.000 27.760 186.320 ;
RECT 27.840 186.000 28.160 186.320 ;
RECT 28.240 186.000 28.560 186.320 ;
RECT 28.640 186.000 28.960 186.320 ;
RECT 29.040 186.000 29.360 186.320 ;
RECT 29.440 186.000 29.760 186.320 ;
RECT 29.840 186.000 30.160 186.320 ;
RECT 30.240 186.000 30.560 186.320 ;
RECT 30.640 186.000 30.960 186.320 ;
RECT 31.040 186.000 31.360 186.320 ;
RECT 31.440 186.000 31.760 186.320 ;
RECT 31.840 186.000 32.160 186.320 ;
RECT 32.240 186.000 32.560 186.320 ;
RECT 32.640 186.000 32.960 186.320 ;
RECT 33.040 186.000 33.360 186.320 ;
RECT 33.440 186.000 33.760 186.320 ;
RECT 33.840 186.000 34.160 186.320 ;
RECT 34.240 186.000 34.560 186.320 ;
RECT 34.640 186.000 34.960 186.320 ;
RECT 35.040 186.000 35.360 186.320 ;
RECT 35.440 186.000 35.760 186.320 ;
RECT 35.840 186.000 36.160 186.320 ;
RECT 36.240 186.000 36.560 186.320 ;
RECT 36.640 186.000 36.960 186.320 ;
RECT 37.040 186.000 37.360 186.320 ;
RECT 37.440 186.000 37.760 186.320 ;
RECT 37.840 186.000 38.160 186.320 ;
RECT 38.240 186.000 38.560 186.320 ;
RECT 38.640 186.000 38.960 186.320 ;
RECT 39.040 186.000 39.360 186.320 ;
RECT 39.440 186.000 39.760 186.320 ;
RECT 39.840 186.000 40.160 186.320 ;
RECT 40.240 186.000 40.560 186.320 ;
RECT 40.640 186.000 40.960 186.320 ;
RECT 41.040 186.000 41.360 186.320 ;
RECT 41.440 186.000 41.760 186.320 ;
RECT 41.840 186.000 42.160 186.320 ;
RECT 42.240 186.000 42.560 186.320 ;
RECT 42.640 186.000 42.960 186.320 ;
RECT 43.040 186.000 43.360 186.320 ;
RECT 43.440 186.000 43.760 186.320 ;
RECT 43.840 186.000 44.160 186.320 ;
RECT 44.240 186.000 44.560 186.320 ;
RECT 44.640 186.000 44.960 186.320 ;
RECT 70.560 186.000 70.880 186.320 ;
RECT 70.960 186.000 71.280 186.320 ;
RECT 71.360 186.000 71.680 186.320 ;
RECT 71.760 186.000 72.080 186.320 ;
RECT 120.560 186.000 120.880 186.320 ;
RECT 120.960 186.000 121.280 186.320 ;
RECT 121.360 186.000 121.680 186.320 ;
RECT 121.760 186.000 122.080 186.320 ;
RECT 181.880 186.000 182.200 186.320 ;
RECT 182.280 186.000 182.600 186.320 ;
RECT 182.680 186.000 183.000 186.320 ;
RECT 183.080 186.000 183.400 186.320 ;
RECT 183.480 186.000 183.800 186.320 ;
RECT 183.880 186.000 184.200 186.320 ;
RECT 184.280 186.000 184.600 186.320 ;
RECT 184.680 186.000 185.000 186.320 ;
RECT 185.080 186.000 185.400 186.320 ;
RECT 185.480 186.000 185.800 186.320 ;
RECT 185.880 186.000 186.200 186.320 ;
RECT 186.280 186.000 186.600 186.320 ;
RECT 186.680 186.000 187.000 186.320 ;
RECT 187.080 186.000 187.400 186.320 ;
RECT 187.480 186.000 187.800 186.320 ;
RECT 187.880 186.000 188.200 186.320 ;
RECT 188.280 186.000 188.600 186.320 ;
RECT 188.680 186.000 189.000 186.320 ;
RECT 189.080 186.000 189.400 186.320 ;
RECT 189.480 186.000 189.800 186.320 ;
RECT 189.880 186.000 190.200 186.320 ;
RECT 190.280 186.000 190.600 186.320 ;
RECT 190.680 186.000 191.000 186.320 ;
RECT 191.080 186.000 191.400 186.320 ;
RECT 191.480 186.000 191.800 186.320 ;
RECT 191.880 186.000 192.200 186.320 ;
RECT 192.280 186.000 192.600 186.320 ;
RECT 192.680 186.000 193.000 186.320 ;
RECT 193.080 186.000 193.400 186.320 ;
RECT 193.480 186.000 193.800 186.320 ;
RECT 193.880 186.000 194.200 186.320 ;
RECT 194.280 186.000 194.600 186.320 ;
RECT 194.680 186.000 195.000 186.320 ;
RECT 195.080 186.000 195.400 186.320 ;
RECT 195.480 186.000 195.800 186.320 ;
RECT 195.880 186.000 196.200 186.320 ;
RECT 196.280 186.000 196.600 186.320 ;
RECT 196.680 186.000 197.000 186.320 ;
RECT 197.080 186.000 197.400 186.320 ;
RECT 197.480 186.000 197.800 186.320 ;
RECT 197.880 186.000 198.200 186.320 ;
RECT 198.280 186.000 198.600 186.320 ;
RECT 198.680 186.000 199.000 186.320 ;
RECT 199.080 186.000 199.400 186.320 ;
RECT 199.480 186.000 199.800 186.320 ;
RECT 199.880 186.000 200.200 186.320 ;
RECT 200.280 186.000 200.600 186.320 ;
RECT 200.680 186.000 201.000 186.320 ;
RECT 201.080 186.000 201.400 186.320 ;
RECT 201.480 186.000 201.800 186.320 ;
RECT 25.040 185.600 25.360 185.920 ;
RECT 25.440 185.600 25.760 185.920 ;
RECT 25.840 185.600 26.160 185.920 ;
RECT 26.240 185.600 26.560 185.920 ;
RECT 26.640 185.600 26.960 185.920 ;
RECT 27.040 185.600 27.360 185.920 ;
RECT 27.440 185.600 27.760 185.920 ;
RECT 27.840 185.600 28.160 185.920 ;
RECT 28.240 185.600 28.560 185.920 ;
RECT 28.640 185.600 28.960 185.920 ;
RECT 29.040 185.600 29.360 185.920 ;
RECT 29.440 185.600 29.760 185.920 ;
RECT 29.840 185.600 30.160 185.920 ;
RECT 30.240 185.600 30.560 185.920 ;
RECT 30.640 185.600 30.960 185.920 ;
RECT 31.040 185.600 31.360 185.920 ;
RECT 31.440 185.600 31.760 185.920 ;
RECT 31.840 185.600 32.160 185.920 ;
RECT 32.240 185.600 32.560 185.920 ;
RECT 32.640 185.600 32.960 185.920 ;
RECT 33.040 185.600 33.360 185.920 ;
RECT 33.440 185.600 33.760 185.920 ;
RECT 33.840 185.600 34.160 185.920 ;
RECT 34.240 185.600 34.560 185.920 ;
RECT 34.640 185.600 34.960 185.920 ;
RECT 35.040 185.600 35.360 185.920 ;
RECT 35.440 185.600 35.760 185.920 ;
RECT 35.840 185.600 36.160 185.920 ;
RECT 36.240 185.600 36.560 185.920 ;
RECT 36.640 185.600 36.960 185.920 ;
RECT 37.040 185.600 37.360 185.920 ;
RECT 37.440 185.600 37.760 185.920 ;
RECT 37.840 185.600 38.160 185.920 ;
RECT 38.240 185.600 38.560 185.920 ;
RECT 38.640 185.600 38.960 185.920 ;
RECT 39.040 185.600 39.360 185.920 ;
RECT 39.440 185.600 39.760 185.920 ;
RECT 39.840 185.600 40.160 185.920 ;
RECT 40.240 185.600 40.560 185.920 ;
RECT 40.640 185.600 40.960 185.920 ;
RECT 41.040 185.600 41.360 185.920 ;
RECT 41.440 185.600 41.760 185.920 ;
RECT 41.840 185.600 42.160 185.920 ;
RECT 42.240 185.600 42.560 185.920 ;
RECT 42.640 185.600 42.960 185.920 ;
RECT 43.040 185.600 43.360 185.920 ;
RECT 43.440 185.600 43.760 185.920 ;
RECT 43.840 185.600 44.160 185.920 ;
RECT 44.240 185.600 44.560 185.920 ;
RECT 44.640 185.600 44.960 185.920 ;
RECT 70.560 185.600 70.880 185.920 ;
RECT 70.960 185.600 71.280 185.920 ;
RECT 71.360 185.600 71.680 185.920 ;
RECT 71.760 185.600 72.080 185.920 ;
RECT 120.560 185.600 120.880 185.920 ;
RECT 120.960 185.600 121.280 185.920 ;
RECT 121.360 185.600 121.680 185.920 ;
RECT 121.760 185.600 122.080 185.920 ;
RECT 181.880 185.600 182.200 185.920 ;
RECT 182.280 185.600 182.600 185.920 ;
RECT 182.680 185.600 183.000 185.920 ;
RECT 183.080 185.600 183.400 185.920 ;
RECT 183.480 185.600 183.800 185.920 ;
RECT 183.880 185.600 184.200 185.920 ;
RECT 184.280 185.600 184.600 185.920 ;
RECT 184.680 185.600 185.000 185.920 ;
RECT 185.080 185.600 185.400 185.920 ;
RECT 185.480 185.600 185.800 185.920 ;
RECT 185.880 185.600 186.200 185.920 ;
RECT 186.280 185.600 186.600 185.920 ;
RECT 186.680 185.600 187.000 185.920 ;
RECT 187.080 185.600 187.400 185.920 ;
RECT 187.480 185.600 187.800 185.920 ;
RECT 187.880 185.600 188.200 185.920 ;
RECT 188.280 185.600 188.600 185.920 ;
RECT 188.680 185.600 189.000 185.920 ;
RECT 189.080 185.600 189.400 185.920 ;
RECT 189.480 185.600 189.800 185.920 ;
RECT 189.880 185.600 190.200 185.920 ;
RECT 190.280 185.600 190.600 185.920 ;
RECT 190.680 185.600 191.000 185.920 ;
RECT 191.080 185.600 191.400 185.920 ;
RECT 191.480 185.600 191.800 185.920 ;
RECT 191.880 185.600 192.200 185.920 ;
RECT 192.280 185.600 192.600 185.920 ;
RECT 192.680 185.600 193.000 185.920 ;
RECT 193.080 185.600 193.400 185.920 ;
RECT 193.480 185.600 193.800 185.920 ;
RECT 193.880 185.600 194.200 185.920 ;
RECT 194.280 185.600 194.600 185.920 ;
RECT 194.680 185.600 195.000 185.920 ;
RECT 195.080 185.600 195.400 185.920 ;
RECT 195.480 185.600 195.800 185.920 ;
RECT 195.880 185.600 196.200 185.920 ;
RECT 196.280 185.600 196.600 185.920 ;
RECT 196.680 185.600 197.000 185.920 ;
RECT 197.080 185.600 197.400 185.920 ;
RECT 197.480 185.600 197.800 185.920 ;
RECT 197.880 185.600 198.200 185.920 ;
RECT 198.280 185.600 198.600 185.920 ;
RECT 198.680 185.600 199.000 185.920 ;
RECT 199.080 185.600 199.400 185.920 ;
RECT 199.480 185.600 199.800 185.920 ;
RECT 199.880 185.600 200.200 185.920 ;
RECT 200.280 185.600 200.600 185.920 ;
RECT 200.680 185.600 201.000 185.920 ;
RECT 201.080 185.600 201.400 185.920 ;
RECT 201.480 185.600 201.800 185.920 ;
RECT 25.040 185.200 25.360 185.520 ;
RECT 25.440 185.200 25.760 185.520 ;
RECT 25.840 185.200 26.160 185.520 ;
RECT 26.240 185.200 26.560 185.520 ;
RECT 26.640 185.200 26.960 185.520 ;
RECT 27.040 185.200 27.360 185.520 ;
RECT 27.440 185.200 27.760 185.520 ;
RECT 27.840 185.200 28.160 185.520 ;
RECT 28.240 185.200 28.560 185.520 ;
RECT 28.640 185.200 28.960 185.520 ;
RECT 29.040 185.200 29.360 185.520 ;
RECT 29.440 185.200 29.760 185.520 ;
RECT 29.840 185.200 30.160 185.520 ;
RECT 30.240 185.200 30.560 185.520 ;
RECT 30.640 185.200 30.960 185.520 ;
RECT 31.040 185.200 31.360 185.520 ;
RECT 31.440 185.200 31.760 185.520 ;
RECT 31.840 185.200 32.160 185.520 ;
RECT 32.240 185.200 32.560 185.520 ;
RECT 32.640 185.200 32.960 185.520 ;
RECT 33.040 185.200 33.360 185.520 ;
RECT 33.440 185.200 33.760 185.520 ;
RECT 33.840 185.200 34.160 185.520 ;
RECT 34.240 185.200 34.560 185.520 ;
RECT 34.640 185.200 34.960 185.520 ;
RECT 35.040 185.200 35.360 185.520 ;
RECT 35.440 185.200 35.760 185.520 ;
RECT 35.840 185.200 36.160 185.520 ;
RECT 36.240 185.200 36.560 185.520 ;
RECT 36.640 185.200 36.960 185.520 ;
RECT 37.040 185.200 37.360 185.520 ;
RECT 37.440 185.200 37.760 185.520 ;
RECT 37.840 185.200 38.160 185.520 ;
RECT 38.240 185.200 38.560 185.520 ;
RECT 38.640 185.200 38.960 185.520 ;
RECT 39.040 185.200 39.360 185.520 ;
RECT 39.440 185.200 39.760 185.520 ;
RECT 39.840 185.200 40.160 185.520 ;
RECT 40.240 185.200 40.560 185.520 ;
RECT 40.640 185.200 40.960 185.520 ;
RECT 41.040 185.200 41.360 185.520 ;
RECT 41.440 185.200 41.760 185.520 ;
RECT 41.840 185.200 42.160 185.520 ;
RECT 42.240 185.200 42.560 185.520 ;
RECT 42.640 185.200 42.960 185.520 ;
RECT 43.040 185.200 43.360 185.520 ;
RECT 43.440 185.200 43.760 185.520 ;
RECT 43.840 185.200 44.160 185.520 ;
RECT 44.240 185.200 44.560 185.520 ;
RECT 44.640 185.200 44.960 185.520 ;
RECT 70.560 185.200 70.880 185.520 ;
RECT 70.960 185.200 71.280 185.520 ;
RECT 71.360 185.200 71.680 185.520 ;
RECT 71.760 185.200 72.080 185.520 ;
RECT 120.560 185.200 120.880 185.520 ;
RECT 120.960 185.200 121.280 185.520 ;
RECT 121.360 185.200 121.680 185.520 ;
RECT 121.760 185.200 122.080 185.520 ;
RECT 181.880 185.200 182.200 185.520 ;
RECT 182.280 185.200 182.600 185.520 ;
RECT 182.680 185.200 183.000 185.520 ;
RECT 183.080 185.200 183.400 185.520 ;
RECT 183.480 185.200 183.800 185.520 ;
RECT 183.880 185.200 184.200 185.520 ;
RECT 184.280 185.200 184.600 185.520 ;
RECT 184.680 185.200 185.000 185.520 ;
RECT 185.080 185.200 185.400 185.520 ;
RECT 185.480 185.200 185.800 185.520 ;
RECT 185.880 185.200 186.200 185.520 ;
RECT 186.280 185.200 186.600 185.520 ;
RECT 186.680 185.200 187.000 185.520 ;
RECT 187.080 185.200 187.400 185.520 ;
RECT 187.480 185.200 187.800 185.520 ;
RECT 187.880 185.200 188.200 185.520 ;
RECT 188.280 185.200 188.600 185.520 ;
RECT 188.680 185.200 189.000 185.520 ;
RECT 189.080 185.200 189.400 185.520 ;
RECT 189.480 185.200 189.800 185.520 ;
RECT 189.880 185.200 190.200 185.520 ;
RECT 190.280 185.200 190.600 185.520 ;
RECT 190.680 185.200 191.000 185.520 ;
RECT 191.080 185.200 191.400 185.520 ;
RECT 191.480 185.200 191.800 185.520 ;
RECT 191.880 185.200 192.200 185.520 ;
RECT 192.280 185.200 192.600 185.520 ;
RECT 192.680 185.200 193.000 185.520 ;
RECT 193.080 185.200 193.400 185.520 ;
RECT 193.480 185.200 193.800 185.520 ;
RECT 193.880 185.200 194.200 185.520 ;
RECT 194.280 185.200 194.600 185.520 ;
RECT 194.680 185.200 195.000 185.520 ;
RECT 195.080 185.200 195.400 185.520 ;
RECT 195.480 185.200 195.800 185.520 ;
RECT 195.880 185.200 196.200 185.520 ;
RECT 196.280 185.200 196.600 185.520 ;
RECT 196.680 185.200 197.000 185.520 ;
RECT 197.080 185.200 197.400 185.520 ;
RECT 197.480 185.200 197.800 185.520 ;
RECT 197.880 185.200 198.200 185.520 ;
RECT 198.280 185.200 198.600 185.520 ;
RECT 198.680 185.200 199.000 185.520 ;
RECT 199.080 185.200 199.400 185.520 ;
RECT 199.480 185.200 199.800 185.520 ;
RECT 199.880 185.200 200.200 185.520 ;
RECT 200.280 185.200 200.600 185.520 ;
RECT 200.680 185.200 201.000 185.520 ;
RECT 201.080 185.200 201.400 185.520 ;
RECT 201.480 185.200 201.800 185.520 ;
RECT 25.040 184.800 25.360 185.120 ;
RECT 25.440 184.800 25.760 185.120 ;
RECT 25.840 184.800 26.160 185.120 ;
RECT 26.240 184.800 26.560 185.120 ;
RECT 26.640 184.800 26.960 185.120 ;
RECT 27.040 184.800 27.360 185.120 ;
RECT 27.440 184.800 27.760 185.120 ;
RECT 27.840 184.800 28.160 185.120 ;
RECT 28.240 184.800 28.560 185.120 ;
RECT 28.640 184.800 28.960 185.120 ;
RECT 29.040 184.800 29.360 185.120 ;
RECT 29.440 184.800 29.760 185.120 ;
RECT 29.840 184.800 30.160 185.120 ;
RECT 30.240 184.800 30.560 185.120 ;
RECT 30.640 184.800 30.960 185.120 ;
RECT 31.040 184.800 31.360 185.120 ;
RECT 31.440 184.800 31.760 185.120 ;
RECT 31.840 184.800 32.160 185.120 ;
RECT 32.240 184.800 32.560 185.120 ;
RECT 32.640 184.800 32.960 185.120 ;
RECT 33.040 184.800 33.360 185.120 ;
RECT 33.440 184.800 33.760 185.120 ;
RECT 33.840 184.800 34.160 185.120 ;
RECT 34.240 184.800 34.560 185.120 ;
RECT 34.640 184.800 34.960 185.120 ;
RECT 35.040 184.800 35.360 185.120 ;
RECT 35.440 184.800 35.760 185.120 ;
RECT 35.840 184.800 36.160 185.120 ;
RECT 36.240 184.800 36.560 185.120 ;
RECT 36.640 184.800 36.960 185.120 ;
RECT 37.040 184.800 37.360 185.120 ;
RECT 37.440 184.800 37.760 185.120 ;
RECT 37.840 184.800 38.160 185.120 ;
RECT 38.240 184.800 38.560 185.120 ;
RECT 38.640 184.800 38.960 185.120 ;
RECT 39.040 184.800 39.360 185.120 ;
RECT 39.440 184.800 39.760 185.120 ;
RECT 39.840 184.800 40.160 185.120 ;
RECT 40.240 184.800 40.560 185.120 ;
RECT 40.640 184.800 40.960 185.120 ;
RECT 41.040 184.800 41.360 185.120 ;
RECT 41.440 184.800 41.760 185.120 ;
RECT 41.840 184.800 42.160 185.120 ;
RECT 42.240 184.800 42.560 185.120 ;
RECT 42.640 184.800 42.960 185.120 ;
RECT 43.040 184.800 43.360 185.120 ;
RECT 43.440 184.800 43.760 185.120 ;
RECT 43.840 184.800 44.160 185.120 ;
RECT 44.240 184.800 44.560 185.120 ;
RECT 44.640 184.800 44.960 185.120 ;
RECT 70.560 184.800 70.880 185.120 ;
RECT 70.960 184.800 71.280 185.120 ;
RECT 71.360 184.800 71.680 185.120 ;
RECT 71.760 184.800 72.080 185.120 ;
RECT 120.560 184.800 120.880 185.120 ;
RECT 120.960 184.800 121.280 185.120 ;
RECT 121.360 184.800 121.680 185.120 ;
RECT 121.760 184.800 122.080 185.120 ;
RECT 181.880 184.800 182.200 185.120 ;
RECT 182.280 184.800 182.600 185.120 ;
RECT 182.680 184.800 183.000 185.120 ;
RECT 183.080 184.800 183.400 185.120 ;
RECT 183.480 184.800 183.800 185.120 ;
RECT 183.880 184.800 184.200 185.120 ;
RECT 184.280 184.800 184.600 185.120 ;
RECT 184.680 184.800 185.000 185.120 ;
RECT 185.080 184.800 185.400 185.120 ;
RECT 185.480 184.800 185.800 185.120 ;
RECT 185.880 184.800 186.200 185.120 ;
RECT 186.280 184.800 186.600 185.120 ;
RECT 186.680 184.800 187.000 185.120 ;
RECT 187.080 184.800 187.400 185.120 ;
RECT 187.480 184.800 187.800 185.120 ;
RECT 187.880 184.800 188.200 185.120 ;
RECT 188.280 184.800 188.600 185.120 ;
RECT 188.680 184.800 189.000 185.120 ;
RECT 189.080 184.800 189.400 185.120 ;
RECT 189.480 184.800 189.800 185.120 ;
RECT 189.880 184.800 190.200 185.120 ;
RECT 190.280 184.800 190.600 185.120 ;
RECT 190.680 184.800 191.000 185.120 ;
RECT 191.080 184.800 191.400 185.120 ;
RECT 191.480 184.800 191.800 185.120 ;
RECT 191.880 184.800 192.200 185.120 ;
RECT 192.280 184.800 192.600 185.120 ;
RECT 192.680 184.800 193.000 185.120 ;
RECT 193.080 184.800 193.400 185.120 ;
RECT 193.480 184.800 193.800 185.120 ;
RECT 193.880 184.800 194.200 185.120 ;
RECT 194.280 184.800 194.600 185.120 ;
RECT 194.680 184.800 195.000 185.120 ;
RECT 195.080 184.800 195.400 185.120 ;
RECT 195.480 184.800 195.800 185.120 ;
RECT 195.880 184.800 196.200 185.120 ;
RECT 196.280 184.800 196.600 185.120 ;
RECT 196.680 184.800 197.000 185.120 ;
RECT 197.080 184.800 197.400 185.120 ;
RECT 197.480 184.800 197.800 185.120 ;
RECT 197.880 184.800 198.200 185.120 ;
RECT 198.280 184.800 198.600 185.120 ;
RECT 198.680 184.800 199.000 185.120 ;
RECT 199.080 184.800 199.400 185.120 ;
RECT 199.480 184.800 199.800 185.120 ;
RECT 199.880 184.800 200.200 185.120 ;
RECT 200.280 184.800 200.600 185.120 ;
RECT 200.680 184.800 201.000 185.120 ;
RECT 201.080 184.800 201.400 185.120 ;
RECT 201.480 184.800 201.800 185.120 ;
RECT 25.040 184.400 25.360 184.720 ;
RECT 25.440 184.400 25.760 184.720 ;
RECT 25.840 184.400 26.160 184.720 ;
RECT 26.240 184.400 26.560 184.720 ;
RECT 26.640 184.400 26.960 184.720 ;
RECT 27.040 184.400 27.360 184.720 ;
RECT 27.440 184.400 27.760 184.720 ;
RECT 27.840 184.400 28.160 184.720 ;
RECT 28.240 184.400 28.560 184.720 ;
RECT 28.640 184.400 28.960 184.720 ;
RECT 29.040 184.400 29.360 184.720 ;
RECT 29.440 184.400 29.760 184.720 ;
RECT 29.840 184.400 30.160 184.720 ;
RECT 30.240 184.400 30.560 184.720 ;
RECT 30.640 184.400 30.960 184.720 ;
RECT 31.040 184.400 31.360 184.720 ;
RECT 31.440 184.400 31.760 184.720 ;
RECT 31.840 184.400 32.160 184.720 ;
RECT 32.240 184.400 32.560 184.720 ;
RECT 32.640 184.400 32.960 184.720 ;
RECT 33.040 184.400 33.360 184.720 ;
RECT 33.440 184.400 33.760 184.720 ;
RECT 33.840 184.400 34.160 184.720 ;
RECT 34.240 184.400 34.560 184.720 ;
RECT 34.640 184.400 34.960 184.720 ;
RECT 35.040 184.400 35.360 184.720 ;
RECT 35.440 184.400 35.760 184.720 ;
RECT 35.840 184.400 36.160 184.720 ;
RECT 36.240 184.400 36.560 184.720 ;
RECT 36.640 184.400 36.960 184.720 ;
RECT 37.040 184.400 37.360 184.720 ;
RECT 37.440 184.400 37.760 184.720 ;
RECT 37.840 184.400 38.160 184.720 ;
RECT 38.240 184.400 38.560 184.720 ;
RECT 38.640 184.400 38.960 184.720 ;
RECT 39.040 184.400 39.360 184.720 ;
RECT 39.440 184.400 39.760 184.720 ;
RECT 39.840 184.400 40.160 184.720 ;
RECT 40.240 184.400 40.560 184.720 ;
RECT 40.640 184.400 40.960 184.720 ;
RECT 41.040 184.400 41.360 184.720 ;
RECT 41.440 184.400 41.760 184.720 ;
RECT 41.840 184.400 42.160 184.720 ;
RECT 42.240 184.400 42.560 184.720 ;
RECT 42.640 184.400 42.960 184.720 ;
RECT 43.040 184.400 43.360 184.720 ;
RECT 43.440 184.400 43.760 184.720 ;
RECT 43.840 184.400 44.160 184.720 ;
RECT 44.240 184.400 44.560 184.720 ;
RECT 44.640 184.400 44.960 184.720 ;
RECT 70.560 184.400 70.880 184.720 ;
RECT 70.960 184.400 71.280 184.720 ;
RECT 71.360 184.400 71.680 184.720 ;
RECT 71.760 184.400 72.080 184.720 ;
RECT 120.560 184.400 120.880 184.720 ;
RECT 120.960 184.400 121.280 184.720 ;
RECT 121.360 184.400 121.680 184.720 ;
RECT 121.760 184.400 122.080 184.720 ;
RECT 181.880 184.400 182.200 184.720 ;
RECT 182.280 184.400 182.600 184.720 ;
RECT 182.680 184.400 183.000 184.720 ;
RECT 183.080 184.400 183.400 184.720 ;
RECT 183.480 184.400 183.800 184.720 ;
RECT 183.880 184.400 184.200 184.720 ;
RECT 184.280 184.400 184.600 184.720 ;
RECT 184.680 184.400 185.000 184.720 ;
RECT 185.080 184.400 185.400 184.720 ;
RECT 185.480 184.400 185.800 184.720 ;
RECT 185.880 184.400 186.200 184.720 ;
RECT 186.280 184.400 186.600 184.720 ;
RECT 186.680 184.400 187.000 184.720 ;
RECT 187.080 184.400 187.400 184.720 ;
RECT 187.480 184.400 187.800 184.720 ;
RECT 187.880 184.400 188.200 184.720 ;
RECT 188.280 184.400 188.600 184.720 ;
RECT 188.680 184.400 189.000 184.720 ;
RECT 189.080 184.400 189.400 184.720 ;
RECT 189.480 184.400 189.800 184.720 ;
RECT 189.880 184.400 190.200 184.720 ;
RECT 190.280 184.400 190.600 184.720 ;
RECT 190.680 184.400 191.000 184.720 ;
RECT 191.080 184.400 191.400 184.720 ;
RECT 191.480 184.400 191.800 184.720 ;
RECT 191.880 184.400 192.200 184.720 ;
RECT 192.280 184.400 192.600 184.720 ;
RECT 192.680 184.400 193.000 184.720 ;
RECT 193.080 184.400 193.400 184.720 ;
RECT 193.480 184.400 193.800 184.720 ;
RECT 193.880 184.400 194.200 184.720 ;
RECT 194.280 184.400 194.600 184.720 ;
RECT 194.680 184.400 195.000 184.720 ;
RECT 195.080 184.400 195.400 184.720 ;
RECT 195.480 184.400 195.800 184.720 ;
RECT 195.880 184.400 196.200 184.720 ;
RECT 196.280 184.400 196.600 184.720 ;
RECT 196.680 184.400 197.000 184.720 ;
RECT 197.080 184.400 197.400 184.720 ;
RECT 197.480 184.400 197.800 184.720 ;
RECT 197.880 184.400 198.200 184.720 ;
RECT 198.280 184.400 198.600 184.720 ;
RECT 198.680 184.400 199.000 184.720 ;
RECT 199.080 184.400 199.400 184.720 ;
RECT 199.480 184.400 199.800 184.720 ;
RECT 199.880 184.400 200.200 184.720 ;
RECT 200.280 184.400 200.600 184.720 ;
RECT 200.680 184.400 201.000 184.720 ;
RECT 201.080 184.400 201.400 184.720 ;
RECT 201.480 184.400 201.800 184.720 ;
RECT 25.040 184.000 25.360 184.320 ;
RECT 25.440 184.000 25.760 184.320 ;
RECT 25.840 184.000 26.160 184.320 ;
RECT 26.240 184.000 26.560 184.320 ;
RECT 26.640 184.000 26.960 184.320 ;
RECT 27.040 184.000 27.360 184.320 ;
RECT 27.440 184.000 27.760 184.320 ;
RECT 27.840 184.000 28.160 184.320 ;
RECT 28.240 184.000 28.560 184.320 ;
RECT 28.640 184.000 28.960 184.320 ;
RECT 29.040 184.000 29.360 184.320 ;
RECT 29.440 184.000 29.760 184.320 ;
RECT 29.840 184.000 30.160 184.320 ;
RECT 30.240 184.000 30.560 184.320 ;
RECT 30.640 184.000 30.960 184.320 ;
RECT 31.040 184.000 31.360 184.320 ;
RECT 31.440 184.000 31.760 184.320 ;
RECT 31.840 184.000 32.160 184.320 ;
RECT 32.240 184.000 32.560 184.320 ;
RECT 32.640 184.000 32.960 184.320 ;
RECT 33.040 184.000 33.360 184.320 ;
RECT 33.440 184.000 33.760 184.320 ;
RECT 33.840 184.000 34.160 184.320 ;
RECT 34.240 184.000 34.560 184.320 ;
RECT 34.640 184.000 34.960 184.320 ;
RECT 35.040 184.000 35.360 184.320 ;
RECT 35.440 184.000 35.760 184.320 ;
RECT 35.840 184.000 36.160 184.320 ;
RECT 36.240 184.000 36.560 184.320 ;
RECT 36.640 184.000 36.960 184.320 ;
RECT 37.040 184.000 37.360 184.320 ;
RECT 37.440 184.000 37.760 184.320 ;
RECT 37.840 184.000 38.160 184.320 ;
RECT 38.240 184.000 38.560 184.320 ;
RECT 38.640 184.000 38.960 184.320 ;
RECT 39.040 184.000 39.360 184.320 ;
RECT 39.440 184.000 39.760 184.320 ;
RECT 39.840 184.000 40.160 184.320 ;
RECT 40.240 184.000 40.560 184.320 ;
RECT 40.640 184.000 40.960 184.320 ;
RECT 41.040 184.000 41.360 184.320 ;
RECT 41.440 184.000 41.760 184.320 ;
RECT 41.840 184.000 42.160 184.320 ;
RECT 42.240 184.000 42.560 184.320 ;
RECT 42.640 184.000 42.960 184.320 ;
RECT 43.040 184.000 43.360 184.320 ;
RECT 43.440 184.000 43.760 184.320 ;
RECT 43.840 184.000 44.160 184.320 ;
RECT 44.240 184.000 44.560 184.320 ;
RECT 44.640 184.000 44.960 184.320 ;
RECT 70.560 184.000 70.880 184.320 ;
RECT 70.960 184.000 71.280 184.320 ;
RECT 71.360 184.000 71.680 184.320 ;
RECT 71.760 184.000 72.080 184.320 ;
RECT 120.560 184.000 120.880 184.320 ;
RECT 120.960 184.000 121.280 184.320 ;
RECT 121.360 184.000 121.680 184.320 ;
RECT 121.760 184.000 122.080 184.320 ;
RECT 181.880 184.000 182.200 184.320 ;
RECT 182.280 184.000 182.600 184.320 ;
RECT 182.680 184.000 183.000 184.320 ;
RECT 183.080 184.000 183.400 184.320 ;
RECT 183.480 184.000 183.800 184.320 ;
RECT 183.880 184.000 184.200 184.320 ;
RECT 184.280 184.000 184.600 184.320 ;
RECT 184.680 184.000 185.000 184.320 ;
RECT 185.080 184.000 185.400 184.320 ;
RECT 185.480 184.000 185.800 184.320 ;
RECT 185.880 184.000 186.200 184.320 ;
RECT 186.280 184.000 186.600 184.320 ;
RECT 186.680 184.000 187.000 184.320 ;
RECT 187.080 184.000 187.400 184.320 ;
RECT 187.480 184.000 187.800 184.320 ;
RECT 187.880 184.000 188.200 184.320 ;
RECT 188.280 184.000 188.600 184.320 ;
RECT 188.680 184.000 189.000 184.320 ;
RECT 189.080 184.000 189.400 184.320 ;
RECT 189.480 184.000 189.800 184.320 ;
RECT 189.880 184.000 190.200 184.320 ;
RECT 190.280 184.000 190.600 184.320 ;
RECT 190.680 184.000 191.000 184.320 ;
RECT 191.080 184.000 191.400 184.320 ;
RECT 191.480 184.000 191.800 184.320 ;
RECT 191.880 184.000 192.200 184.320 ;
RECT 192.280 184.000 192.600 184.320 ;
RECT 192.680 184.000 193.000 184.320 ;
RECT 193.080 184.000 193.400 184.320 ;
RECT 193.480 184.000 193.800 184.320 ;
RECT 193.880 184.000 194.200 184.320 ;
RECT 194.280 184.000 194.600 184.320 ;
RECT 194.680 184.000 195.000 184.320 ;
RECT 195.080 184.000 195.400 184.320 ;
RECT 195.480 184.000 195.800 184.320 ;
RECT 195.880 184.000 196.200 184.320 ;
RECT 196.280 184.000 196.600 184.320 ;
RECT 196.680 184.000 197.000 184.320 ;
RECT 197.080 184.000 197.400 184.320 ;
RECT 197.480 184.000 197.800 184.320 ;
RECT 197.880 184.000 198.200 184.320 ;
RECT 198.280 184.000 198.600 184.320 ;
RECT 198.680 184.000 199.000 184.320 ;
RECT 199.080 184.000 199.400 184.320 ;
RECT 199.480 184.000 199.800 184.320 ;
RECT 199.880 184.000 200.200 184.320 ;
RECT 200.280 184.000 200.600 184.320 ;
RECT 200.680 184.000 201.000 184.320 ;
RECT 201.080 184.000 201.400 184.320 ;
RECT 201.480 184.000 201.800 184.320 ;
RECT 25.040 183.600 25.360 183.920 ;
RECT 25.440 183.600 25.760 183.920 ;
RECT 25.840 183.600 26.160 183.920 ;
RECT 26.240 183.600 26.560 183.920 ;
RECT 26.640 183.600 26.960 183.920 ;
RECT 27.040 183.600 27.360 183.920 ;
RECT 27.440 183.600 27.760 183.920 ;
RECT 27.840 183.600 28.160 183.920 ;
RECT 28.240 183.600 28.560 183.920 ;
RECT 28.640 183.600 28.960 183.920 ;
RECT 29.040 183.600 29.360 183.920 ;
RECT 29.440 183.600 29.760 183.920 ;
RECT 29.840 183.600 30.160 183.920 ;
RECT 30.240 183.600 30.560 183.920 ;
RECT 30.640 183.600 30.960 183.920 ;
RECT 31.040 183.600 31.360 183.920 ;
RECT 31.440 183.600 31.760 183.920 ;
RECT 31.840 183.600 32.160 183.920 ;
RECT 32.240 183.600 32.560 183.920 ;
RECT 32.640 183.600 32.960 183.920 ;
RECT 33.040 183.600 33.360 183.920 ;
RECT 33.440 183.600 33.760 183.920 ;
RECT 33.840 183.600 34.160 183.920 ;
RECT 34.240 183.600 34.560 183.920 ;
RECT 34.640 183.600 34.960 183.920 ;
RECT 35.040 183.600 35.360 183.920 ;
RECT 35.440 183.600 35.760 183.920 ;
RECT 35.840 183.600 36.160 183.920 ;
RECT 36.240 183.600 36.560 183.920 ;
RECT 36.640 183.600 36.960 183.920 ;
RECT 37.040 183.600 37.360 183.920 ;
RECT 37.440 183.600 37.760 183.920 ;
RECT 37.840 183.600 38.160 183.920 ;
RECT 38.240 183.600 38.560 183.920 ;
RECT 38.640 183.600 38.960 183.920 ;
RECT 39.040 183.600 39.360 183.920 ;
RECT 39.440 183.600 39.760 183.920 ;
RECT 39.840 183.600 40.160 183.920 ;
RECT 40.240 183.600 40.560 183.920 ;
RECT 40.640 183.600 40.960 183.920 ;
RECT 41.040 183.600 41.360 183.920 ;
RECT 41.440 183.600 41.760 183.920 ;
RECT 41.840 183.600 42.160 183.920 ;
RECT 42.240 183.600 42.560 183.920 ;
RECT 42.640 183.600 42.960 183.920 ;
RECT 43.040 183.600 43.360 183.920 ;
RECT 43.440 183.600 43.760 183.920 ;
RECT 43.840 183.600 44.160 183.920 ;
RECT 44.240 183.600 44.560 183.920 ;
RECT 44.640 183.600 44.960 183.920 ;
RECT 70.560 183.600 70.880 183.920 ;
RECT 70.960 183.600 71.280 183.920 ;
RECT 71.360 183.600 71.680 183.920 ;
RECT 71.760 183.600 72.080 183.920 ;
RECT 120.560 183.600 120.880 183.920 ;
RECT 120.960 183.600 121.280 183.920 ;
RECT 121.360 183.600 121.680 183.920 ;
RECT 121.760 183.600 122.080 183.920 ;
RECT 181.880 183.600 182.200 183.920 ;
RECT 182.280 183.600 182.600 183.920 ;
RECT 182.680 183.600 183.000 183.920 ;
RECT 183.080 183.600 183.400 183.920 ;
RECT 183.480 183.600 183.800 183.920 ;
RECT 183.880 183.600 184.200 183.920 ;
RECT 184.280 183.600 184.600 183.920 ;
RECT 184.680 183.600 185.000 183.920 ;
RECT 185.080 183.600 185.400 183.920 ;
RECT 185.480 183.600 185.800 183.920 ;
RECT 185.880 183.600 186.200 183.920 ;
RECT 186.280 183.600 186.600 183.920 ;
RECT 186.680 183.600 187.000 183.920 ;
RECT 187.080 183.600 187.400 183.920 ;
RECT 187.480 183.600 187.800 183.920 ;
RECT 187.880 183.600 188.200 183.920 ;
RECT 188.280 183.600 188.600 183.920 ;
RECT 188.680 183.600 189.000 183.920 ;
RECT 189.080 183.600 189.400 183.920 ;
RECT 189.480 183.600 189.800 183.920 ;
RECT 189.880 183.600 190.200 183.920 ;
RECT 190.280 183.600 190.600 183.920 ;
RECT 190.680 183.600 191.000 183.920 ;
RECT 191.080 183.600 191.400 183.920 ;
RECT 191.480 183.600 191.800 183.920 ;
RECT 191.880 183.600 192.200 183.920 ;
RECT 192.280 183.600 192.600 183.920 ;
RECT 192.680 183.600 193.000 183.920 ;
RECT 193.080 183.600 193.400 183.920 ;
RECT 193.480 183.600 193.800 183.920 ;
RECT 193.880 183.600 194.200 183.920 ;
RECT 194.280 183.600 194.600 183.920 ;
RECT 194.680 183.600 195.000 183.920 ;
RECT 195.080 183.600 195.400 183.920 ;
RECT 195.480 183.600 195.800 183.920 ;
RECT 195.880 183.600 196.200 183.920 ;
RECT 196.280 183.600 196.600 183.920 ;
RECT 196.680 183.600 197.000 183.920 ;
RECT 197.080 183.600 197.400 183.920 ;
RECT 197.480 183.600 197.800 183.920 ;
RECT 197.880 183.600 198.200 183.920 ;
RECT 198.280 183.600 198.600 183.920 ;
RECT 198.680 183.600 199.000 183.920 ;
RECT 199.080 183.600 199.400 183.920 ;
RECT 199.480 183.600 199.800 183.920 ;
RECT 199.880 183.600 200.200 183.920 ;
RECT 200.280 183.600 200.600 183.920 ;
RECT 200.680 183.600 201.000 183.920 ;
RECT 201.080 183.600 201.400 183.920 ;
RECT 201.480 183.600 201.800 183.920 ;
RECT 25.040 183.200 25.360 183.520 ;
RECT 25.440 183.200 25.760 183.520 ;
RECT 25.840 183.200 26.160 183.520 ;
RECT 26.240 183.200 26.560 183.520 ;
RECT 26.640 183.200 26.960 183.520 ;
RECT 27.040 183.200 27.360 183.520 ;
RECT 27.440 183.200 27.760 183.520 ;
RECT 27.840 183.200 28.160 183.520 ;
RECT 28.240 183.200 28.560 183.520 ;
RECT 28.640 183.200 28.960 183.520 ;
RECT 29.040 183.200 29.360 183.520 ;
RECT 29.440 183.200 29.760 183.520 ;
RECT 29.840 183.200 30.160 183.520 ;
RECT 30.240 183.200 30.560 183.520 ;
RECT 30.640 183.200 30.960 183.520 ;
RECT 31.040 183.200 31.360 183.520 ;
RECT 31.440 183.200 31.760 183.520 ;
RECT 31.840 183.200 32.160 183.520 ;
RECT 32.240 183.200 32.560 183.520 ;
RECT 32.640 183.200 32.960 183.520 ;
RECT 33.040 183.200 33.360 183.520 ;
RECT 33.440 183.200 33.760 183.520 ;
RECT 33.840 183.200 34.160 183.520 ;
RECT 34.240 183.200 34.560 183.520 ;
RECT 34.640 183.200 34.960 183.520 ;
RECT 35.040 183.200 35.360 183.520 ;
RECT 35.440 183.200 35.760 183.520 ;
RECT 35.840 183.200 36.160 183.520 ;
RECT 36.240 183.200 36.560 183.520 ;
RECT 36.640 183.200 36.960 183.520 ;
RECT 37.040 183.200 37.360 183.520 ;
RECT 37.440 183.200 37.760 183.520 ;
RECT 37.840 183.200 38.160 183.520 ;
RECT 38.240 183.200 38.560 183.520 ;
RECT 38.640 183.200 38.960 183.520 ;
RECT 39.040 183.200 39.360 183.520 ;
RECT 39.440 183.200 39.760 183.520 ;
RECT 39.840 183.200 40.160 183.520 ;
RECT 40.240 183.200 40.560 183.520 ;
RECT 40.640 183.200 40.960 183.520 ;
RECT 41.040 183.200 41.360 183.520 ;
RECT 41.440 183.200 41.760 183.520 ;
RECT 41.840 183.200 42.160 183.520 ;
RECT 42.240 183.200 42.560 183.520 ;
RECT 42.640 183.200 42.960 183.520 ;
RECT 43.040 183.200 43.360 183.520 ;
RECT 43.440 183.200 43.760 183.520 ;
RECT 43.840 183.200 44.160 183.520 ;
RECT 44.240 183.200 44.560 183.520 ;
RECT 44.640 183.200 44.960 183.520 ;
RECT 70.560 183.200 70.880 183.520 ;
RECT 70.960 183.200 71.280 183.520 ;
RECT 71.360 183.200 71.680 183.520 ;
RECT 71.760 183.200 72.080 183.520 ;
RECT 120.560 183.200 120.880 183.520 ;
RECT 120.960 183.200 121.280 183.520 ;
RECT 121.360 183.200 121.680 183.520 ;
RECT 121.760 183.200 122.080 183.520 ;
RECT 181.880 183.200 182.200 183.520 ;
RECT 182.280 183.200 182.600 183.520 ;
RECT 182.680 183.200 183.000 183.520 ;
RECT 183.080 183.200 183.400 183.520 ;
RECT 183.480 183.200 183.800 183.520 ;
RECT 183.880 183.200 184.200 183.520 ;
RECT 184.280 183.200 184.600 183.520 ;
RECT 184.680 183.200 185.000 183.520 ;
RECT 185.080 183.200 185.400 183.520 ;
RECT 185.480 183.200 185.800 183.520 ;
RECT 185.880 183.200 186.200 183.520 ;
RECT 186.280 183.200 186.600 183.520 ;
RECT 186.680 183.200 187.000 183.520 ;
RECT 187.080 183.200 187.400 183.520 ;
RECT 187.480 183.200 187.800 183.520 ;
RECT 187.880 183.200 188.200 183.520 ;
RECT 188.280 183.200 188.600 183.520 ;
RECT 188.680 183.200 189.000 183.520 ;
RECT 189.080 183.200 189.400 183.520 ;
RECT 189.480 183.200 189.800 183.520 ;
RECT 189.880 183.200 190.200 183.520 ;
RECT 190.280 183.200 190.600 183.520 ;
RECT 190.680 183.200 191.000 183.520 ;
RECT 191.080 183.200 191.400 183.520 ;
RECT 191.480 183.200 191.800 183.520 ;
RECT 191.880 183.200 192.200 183.520 ;
RECT 192.280 183.200 192.600 183.520 ;
RECT 192.680 183.200 193.000 183.520 ;
RECT 193.080 183.200 193.400 183.520 ;
RECT 193.480 183.200 193.800 183.520 ;
RECT 193.880 183.200 194.200 183.520 ;
RECT 194.280 183.200 194.600 183.520 ;
RECT 194.680 183.200 195.000 183.520 ;
RECT 195.080 183.200 195.400 183.520 ;
RECT 195.480 183.200 195.800 183.520 ;
RECT 195.880 183.200 196.200 183.520 ;
RECT 196.280 183.200 196.600 183.520 ;
RECT 196.680 183.200 197.000 183.520 ;
RECT 197.080 183.200 197.400 183.520 ;
RECT 197.480 183.200 197.800 183.520 ;
RECT 197.880 183.200 198.200 183.520 ;
RECT 198.280 183.200 198.600 183.520 ;
RECT 198.680 183.200 199.000 183.520 ;
RECT 199.080 183.200 199.400 183.520 ;
RECT 199.480 183.200 199.800 183.520 ;
RECT 199.880 183.200 200.200 183.520 ;
RECT 200.280 183.200 200.600 183.520 ;
RECT 200.680 183.200 201.000 183.520 ;
RECT 201.080 183.200 201.400 183.520 ;
RECT 201.480 183.200 201.800 183.520 ;
RECT 25.040 182.800 25.360 183.120 ;
RECT 25.440 182.800 25.760 183.120 ;
RECT 25.840 182.800 26.160 183.120 ;
RECT 26.240 182.800 26.560 183.120 ;
RECT 26.640 182.800 26.960 183.120 ;
RECT 27.040 182.800 27.360 183.120 ;
RECT 27.440 182.800 27.760 183.120 ;
RECT 27.840 182.800 28.160 183.120 ;
RECT 28.240 182.800 28.560 183.120 ;
RECT 28.640 182.800 28.960 183.120 ;
RECT 29.040 182.800 29.360 183.120 ;
RECT 29.440 182.800 29.760 183.120 ;
RECT 29.840 182.800 30.160 183.120 ;
RECT 30.240 182.800 30.560 183.120 ;
RECT 30.640 182.800 30.960 183.120 ;
RECT 31.040 182.800 31.360 183.120 ;
RECT 31.440 182.800 31.760 183.120 ;
RECT 31.840 182.800 32.160 183.120 ;
RECT 32.240 182.800 32.560 183.120 ;
RECT 32.640 182.800 32.960 183.120 ;
RECT 33.040 182.800 33.360 183.120 ;
RECT 33.440 182.800 33.760 183.120 ;
RECT 33.840 182.800 34.160 183.120 ;
RECT 34.240 182.800 34.560 183.120 ;
RECT 34.640 182.800 34.960 183.120 ;
RECT 35.040 182.800 35.360 183.120 ;
RECT 35.440 182.800 35.760 183.120 ;
RECT 35.840 182.800 36.160 183.120 ;
RECT 36.240 182.800 36.560 183.120 ;
RECT 36.640 182.800 36.960 183.120 ;
RECT 37.040 182.800 37.360 183.120 ;
RECT 37.440 182.800 37.760 183.120 ;
RECT 37.840 182.800 38.160 183.120 ;
RECT 38.240 182.800 38.560 183.120 ;
RECT 38.640 182.800 38.960 183.120 ;
RECT 39.040 182.800 39.360 183.120 ;
RECT 39.440 182.800 39.760 183.120 ;
RECT 39.840 182.800 40.160 183.120 ;
RECT 40.240 182.800 40.560 183.120 ;
RECT 40.640 182.800 40.960 183.120 ;
RECT 41.040 182.800 41.360 183.120 ;
RECT 41.440 182.800 41.760 183.120 ;
RECT 41.840 182.800 42.160 183.120 ;
RECT 42.240 182.800 42.560 183.120 ;
RECT 42.640 182.800 42.960 183.120 ;
RECT 43.040 182.800 43.360 183.120 ;
RECT 43.440 182.800 43.760 183.120 ;
RECT 43.840 182.800 44.160 183.120 ;
RECT 44.240 182.800 44.560 183.120 ;
RECT 44.640 182.800 44.960 183.120 ;
RECT 70.560 182.800 70.880 183.120 ;
RECT 70.960 182.800 71.280 183.120 ;
RECT 71.360 182.800 71.680 183.120 ;
RECT 71.760 182.800 72.080 183.120 ;
RECT 120.560 182.800 120.880 183.120 ;
RECT 120.960 182.800 121.280 183.120 ;
RECT 121.360 182.800 121.680 183.120 ;
RECT 121.760 182.800 122.080 183.120 ;
RECT 181.880 182.800 182.200 183.120 ;
RECT 182.280 182.800 182.600 183.120 ;
RECT 182.680 182.800 183.000 183.120 ;
RECT 183.080 182.800 183.400 183.120 ;
RECT 183.480 182.800 183.800 183.120 ;
RECT 183.880 182.800 184.200 183.120 ;
RECT 184.280 182.800 184.600 183.120 ;
RECT 184.680 182.800 185.000 183.120 ;
RECT 185.080 182.800 185.400 183.120 ;
RECT 185.480 182.800 185.800 183.120 ;
RECT 185.880 182.800 186.200 183.120 ;
RECT 186.280 182.800 186.600 183.120 ;
RECT 186.680 182.800 187.000 183.120 ;
RECT 187.080 182.800 187.400 183.120 ;
RECT 187.480 182.800 187.800 183.120 ;
RECT 187.880 182.800 188.200 183.120 ;
RECT 188.280 182.800 188.600 183.120 ;
RECT 188.680 182.800 189.000 183.120 ;
RECT 189.080 182.800 189.400 183.120 ;
RECT 189.480 182.800 189.800 183.120 ;
RECT 189.880 182.800 190.200 183.120 ;
RECT 190.280 182.800 190.600 183.120 ;
RECT 190.680 182.800 191.000 183.120 ;
RECT 191.080 182.800 191.400 183.120 ;
RECT 191.480 182.800 191.800 183.120 ;
RECT 191.880 182.800 192.200 183.120 ;
RECT 192.280 182.800 192.600 183.120 ;
RECT 192.680 182.800 193.000 183.120 ;
RECT 193.080 182.800 193.400 183.120 ;
RECT 193.480 182.800 193.800 183.120 ;
RECT 193.880 182.800 194.200 183.120 ;
RECT 194.280 182.800 194.600 183.120 ;
RECT 194.680 182.800 195.000 183.120 ;
RECT 195.080 182.800 195.400 183.120 ;
RECT 195.480 182.800 195.800 183.120 ;
RECT 195.880 182.800 196.200 183.120 ;
RECT 196.280 182.800 196.600 183.120 ;
RECT 196.680 182.800 197.000 183.120 ;
RECT 197.080 182.800 197.400 183.120 ;
RECT 197.480 182.800 197.800 183.120 ;
RECT 197.880 182.800 198.200 183.120 ;
RECT 198.280 182.800 198.600 183.120 ;
RECT 198.680 182.800 199.000 183.120 ;
RECT 199.080 182.800 199.400 183.120 ;
RECT 199.480 182.800 199.800 183.120 ;
RECT 199.880 182.800 200.200 183.120 ;
RECT 200.280 182.800 200.600 183.120 ;
RECT 200.680 182.800 201.000 183.120 ;
RECT 201.080 182.800 201.400 183.120 ;
RECT 201.480 182.800 201.800 183.120 ;
RECT 25.040 182.400 25.360 182.720 ;
RECT 25.440 182.400 25.760 182.720 ;
RECT 25.840 182.400 26.160 182.720 ;
RECT 26.240 182.400 26.560 182.720 ;
RECT 26.640 182.400 26.960 182.720 ;
RECT 27.040 182.400 27.360 182.720 ;
RECT 27.440 182.400 27.760 182.720 ;
RECT 27.840 182.400 28.160 182.720 ;
RECT 28.240 182.400 28.560 182.720 ;
RECT 28.640 182.400 28.960 182.720 ;
RECT 29.040 182.400 29.360 182.720 ;
RECT 29.440 182.400 29.760 182.720 ;
RECT 29.840 182.400 30.160 182.720 ;
RECT 30.240 182.400 30.560 182.720 ;
RECT 30.640 182.400 30.960 182.720 ;
RECT 31.040 182.400 31.360 182.720 ;
RECT 31.440 182.400 31.760 182.720 ;
RECT 31.840 182.400 32.160 182.720 ;
RECT 32.240 182.400 32.560 182.720 ;
RECT 32.640 182.400 32.960 182.720 ;
RECT 33.040 182.400 33.360 182.720 ;
RECT 33.440 182.400 33.760 182.720 ;
RECT 33.840 182.400 34.160 182.720 ;
RECT 34.240 182.400 34.560 182.720 ;
RECT 34.640 182.400 34.960 182.720 ;
RECT 35.040 182.400 35.360 182.720 ;
RECT 35.440 182.400 35.760 182.720 ;
RECT 35.840 182.400 36.160 182.720 ;
RECT 36.240 182.400 36.560 182.720 ;
RECT 36.640 182.400 36.960 182.720 ;
RECT 37.040 182.400 37.360 182.720 ;
RECT 37.440 182.400 37.760 182.720 ;
RECT 37.840 182.400 38.160 182.720 ;
RECT 38.240 182.400 38.560 182.720 ;
RECT 38.640 182.400 38.960 182.720 ;
RECT 39.040 182.400 39.360 182.720 ;
RECT 39.440 182.400 39.760 182.720 ;
RECT 39.840 182.400 40.160 182.720 ;
RECT 40.240 182.400 40.560 182.720 ;
RECT 40.640 182.400 40.960 182.720 ;
RECT 41.040 182.400 41.360 182.720 ;
RECT 41.440 182.400 41.760 182.720 ;
RECT 41.840 182.400 42.160 182.720 ;
RECT 42.240 182.400 42.560 182.720 ;
RECT 42.640 182.400 42.960 182.720 ;
RECT 43.040 182.400 43.360 182.720 ;
RECT 43.440 182.400 43.760 182.720 ;
RECT 43.840 182.400 44.160 182.720 ;
RECT 44.240 182.400 44.560 182.720 ;
RECT 44.640 182.400 44.960 182.720 ;
RECT 70.560 182.400 70.880 182.720 ;
RECT 70.960 182.400 71.280 182.720 ;
RECT 71.360 182.400 71.680 182.720 ;
RECT 71.760 182.400 72.080 182.720 ;
RECT 120.560 182.400 120.880 182.720 ;
RECT 120.960 182.400 121.280 182.720 ;
RECT 121.360 182.400 121.680 182.720 ;
RECT 121.760 182.400 122.080 182.720 ;
RECT 181.880 182.400 182.200 182.720 ;
RECT 182.280 182.400 182.600 182.720 ;
RECT 182.680 182.400 183.000 182.720 ;
RECT 183.080 182.400 183.400 182.720 ;
RECT 183.480 182.400 183.800 182.720 ;
RECT 183.880 182.400 184.200 182.720 ;
RECT 184.280 182.400 184.600 182.720 ;
RECT 184.680 182.400 185.000 182.720 ;
RECT 185.080 182.400 185.400 182.720 ;
RECT 185.480 182.400 185.800 182.720 ;
RECT 185.880 182.400 186.200 182.720 ;
RECT 186.280 182.400 186.600 182.720 ;
RECT 186.680 182.400 187.000 182.720 ;
RECT 187.080 182.400 187.400 182.720 ;
RECT 187.480 182.400 187.800 182.720 ;
RECT 187.880 182.400 188.200 182.720 ;
RECT 188.280 182.400 188.600 182.720 ;
RECT 188.680 182.400 189.000 182.720 ;
RECT 189.080 182.400 189.400 182.720 ;
RECT 189.480 182.400 189.800 182.720 ;
RECT 189.880 182.400 190.200 182.720 ;
RECT 190.280 182.400 190.600 182.720 ;
RECT 190.680 182.400 191.000 182.720 ;
RECT 191.080 182.400 191.400 182.720 ;
RECT 191.480 182.400 191.800 182.720 ;
RECT 191.880 182.400 192.200 182.720 ;
RECT 192.280 182.400 192.600 182.720 ;
RECT 192.680 182.400 193.000 182.720 ;
RECT 193.080 182.400 193.400 182.720 ;
RECT 193.480 182.400 193.800 182.720 ;
RECT 193.880 182.400 194.200 182.720 ;
RECT 194.280 182.400 194.600 182.720 ;
RECT 194.680 182.400 195.000 182.720 ;
RECT 195.080 182.400 195.400 182.720 ;
RECT 195.480 182.400 195.800 182.720 ;
RECT 195.880 182.400 196.200 182.720 ;
RECT 196.280 182.400 196.600 182.720 ;
RECT 196.680 182.400 197.000 182.720 ;
RECT 197.080 182.400 197.400 182.720 ;
RECT 197.480 182.400 197.800 182.720 ;
RECT 197.880 182.400 198.200 182.720 ;
RECT 198.280 182.400 198.600 182.720 ;
RECT 198.680 182.400 199.000 182.720 ;
RECT 199.080 182.400 199.400 182.720 ;
RECT 199.480 182.400 199.800 182.720 ;
RECT 199.880 182.400 200.200 182.720 ;
RECT 200.280 182.400 200.600 182.720 ;
RECT 200.680 182.400 201.000 182.720 ;
RECT 201.080 182.400 201.400 182.720 ;
RECT 201.480 182.400 201.800 182.720 ;
RECT 25.040 182.000 25.360 182.320 ;
RECT 25.440 182.000 25.760 182.320 ;
RECT 25.840 182.000 26.160 182.320 ;
RECT 26.240 182.000 26.560 182.320 ;
RECT 26.640 182.000 26.960 182.320 ;
RECT 27.040 182.000 27.360 182.320 ;
RECT 27.440 182.000 27.760 182.320 ;
RECT 27.840 182.000 28.160 182.320 ;
RECT 28.240 182.000 28.560 182.320 ;
RECT 28.640 182.000 28.960 182.320 ;
RECT 29.040 182.000 29.360 182.320 ;
RECT 29.440 182.000 29.760 182.320 ;
RECT 29.840 182.000 30.160 182.320 ;
RECT 30.240 182.000 30.560 182.320 ;
RECT 30.640 182.000 30.960 182.320 ;
RECT 31.040 182.000 31.360 182.320 ;
RECT 31.440 182.000 31.760 182.320 ;
RECT 31.840 182.000 32.160 182.320 ;
RECT 32.240 182.000 32.560 182.320 ;
RECT 32.640 182.000 32.960 182.320 ;
RECT 33.040 182.000 33.360 182.320 ;
RECT 33.440 182.000 33.760 182.320 ;
RECT 33.840 182.000 34.160 182.320 ;
RECT 34.240 182.000 34.560 182.320 ;
RECT 34.640 182.000 34.960 182.320 ;
RECT 35.040 182.000 35.360 182.320 ;
RECT 35.440 182.000 35.760 182.320 ;
RECT 35.840 182.000 36.160 182.320 ;
RECT 36.240 182.000 36.560 182.320 ;
RECT 36.640 182.000 36.960 182.320 ;
RECT 37.040 182.000 37.360 182.320 ;
RECT 37.440 182.000 37.760 182.320 ;
RECT 37.840 182.000 38.160 182.320 ;
RECT 38.240 182.000 38.560 182.320 ;
RECT 38.640 182.000 38.960 182.320 ;
RECT 39.040 182.000 39.360 182.320 ;
RECT 39.440 182.000 39.760 182.320 ;
RECT 39.840 182.000 40.160 182.320 ;
RECT 40.240 182.000 40.560 182.320 ;
RECT 40.640 182.000 40.960 182.320 ;
RECT 41.040 182.000 41.360 182.320 ;
RECT 41.440 182.000 41.760 182.320 ;
RECT 41.840 182.000 42.160 182.320 ;
RECT 42.240 182.000 42.560 182.320 ;
RECT 42.640 182.000 42.960 182.320 ;
RECT 43.040 182.000 43.360 182.320 ;
RECT 43.440 182.000 43.760 182.320 ;
RECT 43.840 182.000 44.160 182.320 ;
RECT 44.240 182.000 44.560 182.320 ;
RECT 44.640 182.000 44.960 182.320 ;
RECT 70.560 182.000 70.880 182.320 ;
RECT 70.960 182.000 71.280 182.320 ;
RECT 71.360 182.000 71.680 182.320 ;
RECT 71.760 182.000 72.080 182.320 ;
RECT 120.560 182.000 120.880 182.320 ;
RECT 120.960 182.000 121.280 182.320 ;
RECT 121.360 182.000 121.680 182.320 ;
RECT 121.760 182.000 122.080 182.320 ;
RECT 181.880 182.000 182.200 182.320 ;
RECT 182.280 182.000 182.600 182.320 ;
RECT 182.680 182.000 183.000 182.320 ;
RECT 183.080 182.000 183.400 182.320 ;
RECT 183.480 182.000 183.800 182.320 ;
RECT 183.880 182.000 184.200 182.320 ;
RECT 184.280 182.000 184.600 182.320 ;
RECT 184.680 182.000 185.000 182.320 ;
RECT 185.080 182.000 185.400 182.320 ;
RECT 185.480 182.000 185.800 182.320 ;
RECT 185.880 182.000 186.200 182.320 ;
RECT 186.280 182.000 186.600 182.320 ;
RECT 186.680 182.000 187.000 182.320 ;
RECT 187.080 182.000 187.400 182.320 ;
RECT 187.480 182.000 187.800 182.320 ;
RECT 187.880 182.000 188.200 182.320 ;
RECT 188.280 182.000 188.600 182.320 ;
RECT 188.680 182.000 189.000 182.320 ;
RECT 189.080 182.000 189.400 182.320 ;
RECT 189.480 182.000 189.800 182.320 ;
RECT 189.880 182.000 190.200 182.320 ;
RECT 190.280 182.000 190.600 182.320 ;
RECT 190.680 182.000 191.000 182.320 ;
RECT 191.080 182.000 191.400 182.320 ;
RECT 191.480 182.000 191.800 182.320 ;
RECT 191.880 182.000 192.200 182.320 ;
RECT 192.280 182.000 192.600 182.320 ;
RECT 192.680 182.000 193.000 182.320 ;
RECT 193.080 182.000 193.400 182.320 ;
RECT 193.480 182.000 193.800 182.320 ;
RECT 193.880 182.000 194.200 182.320 ;
RECT 194.280 182.000 194.600 182.320 ;
RECT 194.680 182.000 195.000 182.320 ;
RECT 195.080 182.000 195.400 182.320 ;
RECT 195.480 182.000 195.800 182.320 ;
RECT 195.880 182.000 196.200 182.320 ;
RECT 196.280 182.000 196.600 182.320 ;
RECT 196.680 182.000 197.000 182.320 ;
RECT 197.080 182.000 197.400 182.320 ;
RECT 197.480 182.000 197.800 182.320 ;
RECT 197.880 182.000 198.200 182.320 ;
RECT 198.280 182.000 198.600 182.320 ;
RECT 198.680 182.000 199.000 182.320 ;
RECT 199.080 182.000 199.400 182.320 ;
RECT 199.480 182.000 199.800 182.320 ;
RECT 199.880 182.000 200.200 182.320 ;
RECT 200.280 182.000 200.600 182.320 ;
RECT 200.680 182.000 201.000 182.320 ;
RECT 201.080 182.000 201.400 182.320 ;
RECT 201.480 182.000 201.800 182.320 ;
RECT 25.040 44.640 25.360 44.960 ;
RECT 25.440 44.640 25.760 44.960 ;
RECT 25.840 44.640 26.160 44.960 ;
RECT 26.240 44.640 26.560 44.960 ;
RECT 26.640 44.640 26.960 44.960 ;
RECT 27.040 44.640 27.360 44.960 ;
RECT 27.440 44.640 27.760 44.960 ;
RECT 27.840 44.640 28.160 44.960 ;
RECT 28.240 44.640 28.560 44.960 ;
RECT 28.640 44.640 28.960 44.960 ;
RECT 29.040 44.640 29.360 44.960 ;
RECT 29.440 44.640 29.760 44.960 ;
RECT 29.840 44.640 30.160 44.960 ;
RECT 30.240 44.640 30.560 44.960 ;
RECT 30.640 44.640 30.960 44.960 ;
RECT 31.040 44.640 31.360 44.960 ;
RECT 31.440 44.640 31.760 44.960 ;
RECT 31.840 44.640 32.160 44.960 ;
RECT 32.240 44.640 32.560 44.960 ;
RECT 32.640 44.640 32.960 44.960 ;
RECT 33.040 44.640 33.360 44.960 ;
RECT 33.440 44.640 33.760 44.960 ;
RECT 33.840 44.640 34.160 44.960 ;
RECT 34.240 44.640 34.560 44.960 ;
RECT 34.640 44.640 34.960 44.960 ;
RECT 35.040 44.640 35.360 44.960 ;
RECT 35.440 44.640 35.760 44.960 ;
RECT 35.840 44.640 36.160 44.960 ;
RECT 36.240 44.640 36.560 44.960 ;
RECT 36.640 44.640 36.960 44.960 ;
RECT 37.040 44.640 37.360 44.960 ;
RECT 37.440 44.640 37.760 44.960 ;
RECT 37.840 44.640 38.160 44.960 ;
RECT 38.240 44.640 38.560 44.960 ;
RECT 38.640 44.640 38.960 44.960 ;
RECT 39.040 44.640 39.360 44.960 ;
RECT 39.440 44.640 39.760 44.960 ;
RECT 39.840 44.640 40.160 44.960 ;
RECT 40.240 44.640 40.560 44.960 ;
RECT 40.640 44.640 40.960 44.960 ;
RECT 41.040 44.640 41.360 44.960 ;
RECT 41.440 44.640 41.760 44.960 ;
RECT 41.840 44.640 42.160 44.960 ;
RECT 42.240 44.640 42.560 44.960 ;
RECT 42.640 44.640 42.960 44.960 ;
RECT 43.040 44.640 43.360 44.960 ;
RECT 43.440 44.640 43.760 44.960 ;
RECT 43.840 44.640 44.160 44.960 ;
RECT 44.240 44.640 44.560 44.960 ;
RECT 44.640 44.640 44.960 44.960 ;
RECT 70.560 44.640 70.880 44.960 ;
RECT 70.960 44.640 71.280 44.960 ;
RECT 71.360 44.640 71.680 44.960 ;
RECT 71.760 44.640 72.080 44.960 ;
RECT 120.560 44.640 120.880 44.960 ;
RECT 120.960 44.640 121.280 44.960 ;
RECT 121.360 44.640 121.680 44.960 ;
RECT 121.760 44.640 122.080 44.960 ;
RECT 181.880 44.640 182.200 44.960 ;
RECT 182.280 44.640 182.600 44.960 ;
RECT 182.680 44.640 183.000 44.960 ;
RECT 183.080 44.640 183.400 44.960 ;
RECT 183.480 44.640 183.800 44.960 ;
RECT 183.880 44.640 184.200 44.960 ;
RECT 184.280 44.640 184.600 44.960 ;
RECT 184.680 44.640 185.000 44.960 ;
RECT 185.080 44.640 185.400 44.960 ;
RECT 185.480 44.640 185.800 44.960 ;
RECT 185.880 44.640 186.200 44.960 ;
RECT 186.280 44.640 186.600 44.960 ;
RECT 186.680 44.640 187.000 44.960 ;
RECT 187.080 44.640 187.400 44.960 ;
RECT 187.480 44.640 187.800 44.960 ;
RECT 187.880 44.640 188.200 44.960 ;
RECT 188.280 44.640 188.600 44.960 ;
RECT 188.680 44.640 189.000 44.960 ;
RECT 189.080 44.640 189.400 44.960 ;
RECT 189.480 44.640 189.800 44.960 ;
RECT 189.880 44.640 190.200 44.960 ;
RECT 190.280 44.640 190.600 44.960 ;
RECT 190.680 44.640 191.000 44.960 ;
RECT 191.080 44.640 191.400 44.960 ;
RECT 191.480 44.640 191.800 44.960 ;
RECT 191.880 44.640 192.200 44.960 ;
RECT 192.280 44.640 192.600 44.960 ;
RECT 192.680 44.640 193.000 44.960 ;
RECT 193.080 44.640 193.400 44.960 ;
RECT 193.480 44.640 193.800 44.960 ;
RECT 193.880 44.640 194.200 44.960 ;
RECT 194.280 44.640 194.600 44.960 ;
RECT 194.680 44.640 195.000 44.960 ;
RECT 195.080 44.640 195.400 44.960 ;
RECT 195.480 44.640 195.800 44.960 ;
RECT 195.880 44.640 196.200 44.960 ;
RECT 196.280 44.640 196.600 44.960 ;
RECT 196.680 44.640 197.000 44.960 ;
RECT 197.080 44.640 197.400 44.960 ;
RECT 197.480 44.640 197.800 44.960 ;
RECT 197.880 44.640 198.200 44.960 ;
RECT 198.280 44.640 198.600 44.960 ;
RECT 198.680 44.640 199.000 44.960 ;
RECT 199.080 44.640 199.400 44.960 ;
RECT 199.480 44.640 199.800 44.960 ;
RECT 199.880 44.640 200.200 44.960 ;
RECT 200.280 44.640 200.600 44.960 ;
RECT 200.680 44.640 201.000 44.960 ;
RECT 201.080 44.640 201.400 44.960 ;
RECT 201.480 44.640 201.800 44.960 ;
RECT 25.040 44.240 25.360 44.560 ;
RECT 25.440 44.240 25.760 44.560 ;
RECT 25.840 44.240 26.160 44.560 ;
RECT 26.240 44.240 26.560 44.560 ;
RECT 26.640 44.240 26.960 44.560 ;
RECT 27.040 44.240 27.360 44.560 ;
RECT 27.440 44.240 27.760 44.560 ;
RECT 27.840 44.240 28.160 44.560 ;
RECT 28.240 44.240 28.560 44.560 ;
RECT 28.640 44.240 28.960 44.560 ;
RECT 29.040 44.240 29.360 44.560 ;
RECT 29.440 44.240 29.760 44.560 ;
RECT 29.840 44.240 30.160 44.560 ;
RECT 30.240 44.240 30.560 44.560 ;
RECT 30.640 44.240 30.960 44.560 ;
RECT 31.040 44.240 31.360 44.560 ;
RECT 31.440 44.240 31.760 44.560 ;
RECT 31.840 44.240 32.160 44.560 ;
RECT 32.240 44.240 32.560 44.560 ;
RECT 32.640 44.240 32.960 44.560 ;
RECT 33.040 44.240 33.360 44.560 ;
RECT 33.440 44.240 33.760 44.560 ;
RECT 33.840 44.240 34.160 44.560 ;
RECT 34.240 44.240 34.560 44.560 ;
RECT 34.640 44.240 34.960 44.560 ;
RECT 35.040 44.240 35.360 44.560 ;
RECT 35.440 44.240 35.760 44.560 ;
RECT 35.840 44.240 36.160 44.560 ;
RECT 36.240 44.240 36.560 44.560 ;
RECT 36.640 44.240 36.960 44.560 ;
RECT 37.040 44.240 37.360 44.560 ;
RECT 37.440 44.240 37.760 44.560 ;
RECT 37.840 44.240 38.160 44.560 ;
RECT 38.240 44.240 38.560 44.560 ;
RECT 38.640 44.240 38.960 44.560 ;
RECT 39.040 44.240 39.360 44.560 ;
RECT 39.440 44.240 39.760 44.560 ;
RECT 39.840 44.240 40.160 44.560 ;
RECT 40.240 44.240 40.560 44.560 ;
RECT 40.640 44.240 40.960 44.560 ;
RECT 41.040 44.240 41.360 44.560 ;
RECT 41.440 44.240 41.760 44.560 ;
RECT 41.840 44.240 42.160 44.560 ;
RECT 42.240 44.240 42.560 44.560 ;
RECT 42.640 44.240 42.960 44.560 ;
RECT 43.040 44.240 43.360 44.560 ;
RECT 43.440 44.240 43.760 44.560 ;
RECT 43.840 44.240 44.160 44.560 ;
RECT 44.240 44.240 44.560 44.560 ;
RECT 44.640 44.240 44.960 44.560 ;
RECT 70.560 44.240 70.880 44.560 ;
RECT 70.960 44.240 71.280 44.560 ;
RECT 71.360 44.240 71.680 44.560 ;
RECT 71.760 44.240 72.080 44.560 ;
RECT 120.560 44.240 120.880 44.560 ;
RECT 120.960 44.240 121.280 44.560 ;
RECT 121.360 44.240 121.680 44.560 ;
RECT 121.760 44.240 122.080 44.560 ;
RECT 181.880 44.240 182.200 44.560 ;
RECT 182.280 44.240 182.600 44.560 ;
RECT 182.680 44.240 183.000 44.560 ;
RECT 183.080 44.240 183.400 44.560 ;
RECT 183.480 44.240 183.800 44.560 ;
RECT 183.880 44.240 184.200 44.560 ;
RECT 184.280 44.240 184.600 44.560 ;
RECT 184.680 44.240 185.000 44.560 ;
RECT 185.080 44.240 185.400 44.560 ;
RECT 185.480 44.240 185.800 44.560 ;
RECT 185.880 44.240 186.200 44.560 ;
RECT 186.280 44.240 186.600 44.560 ;
RECT 186.680 44.240 187.000 44.560 ;
RECT 187.080 44.240 187.400 44.560 ;
RECT 187.480 44.240 187.800 44.560 ;
RECT 187.880 44.240 188.200 44.560 ;
RECT 188.280 44.240 188.600 44.560 ;
RECT 188.680 44.240 189.000 44.560 ;
RECT 189.080 44.240 189.400 44.560 ;
RECT 189.480 44.240 189.800 44.560 ;
RECT 189.880 44.240 190.200 44.560 ;
RECT 190.280 44.240 190.600 44.560 ;
RECT 190.680 44.240 191.000 44.560 ;
RECT 191.080 44.240 191.400 44.560 ;
RECT 191.480 44.240 191.800 44.560 ;
RECT 191.880 44.240 192.200 44.560 ;
RECT 192.280 44.240 192.600 44.560 ;
RECT 192.680 44.240 193.000 44.560 ;
RECT 193.080 44.240 193.400 44.560 ;
RECT 193.480 44.240 193.800 44.560 ;
RECT 193.880 44.240 194.200 44.560 ;
RECT 194.280 44.240 194.600 44.560 ;
RECT 194.680 44.240 195.000 44.560 ;
RECT 195.080 44.240 195.400 44.560 ;
RECT 195.480 44.240 195.800 44.560 ;
RECT 195.880 44.240 196.200 44.560 ;
RECT 196.280 44.240 196.600 44.560 ;
RECT 196.680 44.240 197.000 44.560 ;
RECT 197.080 44.240 197.400 44.560 ;
RECT 197.480 44.240 197.800 44.560 ;
RECT 197.880 44.240 198.200 44.560 ;
RECT 198.280 44.240 198.600 44.560 ;
RECT 198.680 44.240 199.000 44.560 ;
RECT 199.080 44.240 199.400 44.560 ;
RECT 199.480 44.240 199.800 44.560 ;
RECT 199.880 44.240 200.200 44.560 ;
RECT 200.280 44.240 200.600 44.560 ;
RECT 200.680 44.240 201.000 44.560 ;
RECT 201.080 44.240 201.400 44.560 ;
RECT 201.480 44.240 201.800 44.560 ;
RECT 25.040 43.840 25.360 44.160 ;
RECT 25.440 43.840 25.760 44.160 ;
RECT 25.840 43.840 26.160 44.160 ;
RECT 26.240 43.840 26.560 44.160 ;
RECT 26.640 43.840 26.960 44.160 ;
RECT 27.040 43.840 27.360 44.160 ;
RECT 27.440 43.840 27.760 44.160 ;
RECT 27.840 43.840 28.160 44.160 ;
RECT 28.240 43.840 28.560 44.160 ;
RECT 28.640 43.840 28.960 44.160 ;
RECT 29.040 43.840 29.360 44.160 ;
RECT 29.440 43.840 29.760 44.160 ;
RECT 29.840 43.840 30.160 44.160 ;
RECT 30.240 43.840 30.560 44.160 ;
RECT 30.640 43.840 30.960 44.160 ;
RECT 31.040 43.840 31.360 44.160 ;
RECT 31.440 43.840 31.760 44.160 ;
RECT 31.840 43.840 32.160 44.160 ;
RECT 32.240 43.840 32.560 44.160 ;
RECT 32.640 43.840 32.960 44.160 ;
RECT 33.040 43.840 33.360 44.160 ;
RECT 33.440 43.840 33.760 44.160 ;
RECT 33.840 43.840 34.160 44.160 ;
RECT 34.240 43.840 34.560 44.160 ;
RECT 34.640 43.840 34.960 44.160 ;
RECT 35.040 43.840 35.360 44.160 ;
RECT 35.440 43.840 35.760 44.160 ;
RECT 35.840 43.840 36.160 44.160 ;
RECT 36.240 43.840 36.560 44.160 ;
RECT 36.640 43.840 36.960 44.160 ;
RECT 37.040 43.840 37.360 44.160 ;
RECT 37.440 43.840 37.760 44.160 ;
RECT 37.840 43.840 38.160 44.160 ;
RECT 38.240 43.840 38.560 44.160 ;
RECT 38.640 43.840 38.960 44.160 ;
RECT 39.040 43.840 39.360 44.160 ;
RECT 39.440 43.840 39.760 44.160 ;
RECT 39.840 43.840 40.160 44.160 ;
RECT 40.240 43.840 40.560 44.160 ;
RECT 40.640 43.840 40.960 44.160 ;
RECT 41.040 43.840 41.360 44.160 ;
RECT 41.440 43.840 41.760 44.160 ;
RECT 41.840 43.840 42.160 44.160 ;
RECT 42.240 43.840 42.560 44.160 ;
RECT 42.640 43.840 42.960 44.160 ;
RECT 43.040 43.840 43.360 44.160 ;
RECT 43.440 43.840 43.760 44.160 ;
RECT 43.840 43.840 44.160 44.160 ;
RECT 44.240 43.840 44.560 44.160 ;
RECT 44.640 43.840 44.960 44.160 ;
RECT 70.560 43.840 70.880 44.160 ;
RECT 70.960 43.840 71.280 44.160 ;
RECT 71.360 43.840 71.680 44.160 ;
RECT 71.760 43.840 72.080 44.160 ;
RECT 120.560 43.840 120.880 44.160 ;
RECT 120.960 43.840 121.280 44.160 ;
RECT 121.360 43.840 121.680 44.160 ;
RECT 121.760 43.840 122.080 44.160 ;
RECT 181.880 43.840 182.200 44.160 ;
RECT 182.280 43.840 182.600 44.160 ;
RECT 182.680 43.840 183.000 44.160 ;
RECT 183.080 43.840 183.400 44.160 ;
RECT 183.480 43.840 183.800 44.160 ;
RECT 183.880 43.840 184.200 44.160 ;
RECT 184.280 43.840 184.600 44.160 ;
RECT 184.680 43.840 185.000 44.160 ;
RECT 185.080 43.840 185.400 44.160 ;
RECT 185.480 43.840 185.800 44.160 ;
RECT 185.880 43.840 186.200 44.160 ;
RECT 186.280 43.840 186.600 44.160 ;
RECT 186.680 43.840 187.000 44.160 ;
RECT 187.080 43.840 187.400 44.160 ;
RECT 187.480 43.840 187.800 44.160 ;
RECT 187.880 43.840 188.200 44.160 ;
RECT 188.280 43.840 188.600 44.160 ;
RECT 188.680 43.840 189.000 44.160 ;
RECT 189.080 43.840 189.400 44.160 ;
RECT 189.480 43.840 189.800 44.160 ;
RECT 189.880 43.840 190.200 44.160 ;
RECT 190.280 43.840 190.600 44.160 ;
RECT 190.680 43.840 191.000 44.160 ;
RECT 191.080 43.840 191.400 44.160 ;
RECT 191.480 43.840 191.800 44.160 ;
RECT 191.880 43.840 192.200 44.160 ;
RECT 192.280 43.840 192.600 44.160 ;
RECT 192.680 43.840 193.000 44.160 ;
RECT 193.080 43.840 193.400 44.160 ;
RECT 193.480 43.840 193.800 44.160 ;
RECT 193.880 43.840 194.200 44.160 ;
RECT 194.280 43.840 194.600 44.160 ;
RECT 194.680 43.840 195.000 44.160 ;
RECT 195.080 43.840 195.400 44.160 ;
RECT 195.480 43.840 195.800 44.160 ;
RECT 195.880 43.840 196.200 44.160 ;
RECT 196.280 43.840 196.600 44.160 ;
RECT 196.680 43.840 197.000 44.160 ;
RECT 197.080 43.840 197.400 44.160 ;
RECT 197.480 43.840 197.800 44.160 ;
RECT 197.880 43.840 198.200 44.160 ;
RECT 198.280 43.840 198.600 44.160 ;
RECT 198.680 43.840 199.000 44.160 ;
RECT 199.080 43.840 199.400 44.160 ;
RECT 199.480 43.840 199.800 44.160 ;
RECT 199.880 43.840 200.200 44.160 ;
RECT 200.280 43.840 200.600 44.160 ;
RECT 200.680 43.840 201.000 44.160 ;
RECT 201.080 43.840 201.400 44.160 ;
RECT 201.480 43.840 201.800 44.160 ;
RECT 25.040 43.440 25.360 43.760 ;
RECT 25.440 43.440 25.760 43.760 ;
RECT 25.840 43.440 26.160 43.760 ;
RECT 26.240 43.440 26.560 43.760 ;
RECT 26.640 43.440 26.960 43.760 ;
RECT 27.040 43.440 27.360 43.760 ;
RECT 27.440 43.440 27.760 43.760 ;
RECT 27.840 43.440 28.160 43.760 ;
RECT 28.240 43.440 28.560 43.760 ;
RECT 28.640 43.440 28.960 43.760 ;
RECT 29.040 43.440 29.360 43.760 ;
RECT 29.440 43.440 29.760 43.760 ;
RECT 29.840 43.440 30.160 43.760 ;
RECT 30.240 43.440 30.560 43.760 ;
RECT 30.640 43.440 30.960 43.760 ;
RECT 31.040 43.440 31.360 43.760 ;
RECT 31.440 43.440 31.760 43.760 ;
RECT 31.840 43.440 32.160 43.760 ;
RECT 32.240 43.440 32.560 43.760 ;
RECT 32.640 43.440 32.960 43.760 ;
RECT 33.040 43.440 33.360 43.760 ;
RECT 33.440 43.440 33.760 43.760 ;
RECT 33.840 43.440 34.160 43.760 ;
RECT 34.240 43.440 34.560 43.760 ;
RECT 34.640 43.440 34.960 43.760 ;
RECT 35.040 43.440 35.360 43.760 ;
RECT 35.440 43.440 35.760 43.760 ;
RECT 35.840 43.440 36.160 43.760 ;
RECT 36.240 43.440 36.560 43.760 ;
RECT 36.640 43.440 36.960 43.760 ;
RECT 37.040 43.440 37.360 43.760 ;
RECT 37.440 43.440 37.760 43.760 ;
RECT 37.840 43.440 38.160 43.760 ;
RECT 38.240 43.440 38.560 43.760 ;
RECT 38.640 43.440 38.960 43.760 ;
RECT 39.040 43.440 39.360 43.760 ;
RECT 39.440 43.440 39.760 43.760 ;
RECT 39.840 43.440 40.160 43.760 ;
RECT 40.240 43.440 40.560 43.760 ;
RECT 40.640 43.440 40.960 43.760 ;
RECT 41.040 43.440 41.360 43.760 ;
RECT 41.440 43.440 41.760 43.760 ;
RECT 41.840 43.440 42.160 43.760 ;
RECT 42.240 43.440 42.560 43.760 ;
RECT 42.640 43.440 42.960 43.760 ;
RECT 43.040 43.440 43.360 43.760 ;
RECT 43.440 43.440 43.760 43.760 ;
RECT 43.840 43.440 44.160 43.760 ;
RECT 44.240 43.440 44.560 43.760 ;
RECT 44.640 43.440 44.960 43.760 ;
RECT 70.560 43.440 70.880 43.760 ;
RECT 70.960 43.440 71.280 43.760 ;
RECT 71.360 43.440 71.680 43.760 ;
RECT 71.760 43.440 72.080 43.760 ;
RECT 120.560 43.440 120.880 43.760 ;
RECT 120.960 43.440 121.280 43.760 ;
RECT 121.360 43.440 121.680 43.760 ;
RECT 121.760 43.440 122.080 43.760 ;
RECT 181.880 43.440 182.200 43.760 ;
RECT 182.280 43.440 182.600 43.760 ;
RECT 182.680 43.440 183.000 43.760 ;
RECT 183.080 43.440 183.400 43.760 ;
RECT 183.480 43.440 183.800 43.760 ;
RECT 183.880 43.440 184.200 43.760 ;
RECT 184.280 43.440 184.600 43.760 ;
RECT 184.680 43.440 185.000 43.760 ;
RECT 185.080 43.440 185.400 43.760 ;
RECT 185.480 43.440 185.800 43.760 ;
RECT 185.880 43.440 186.200 43.760 ;
RECT 186.280 43.440 186.600 43.760 ;
RECT 186.680 43.440 187.000 43.760 ;
RECT 187.080 43.440 187.400 43.760 ;
RECT 187.480 43.440 187.800 43.760 ;
RECT 187.880 43.440 188.200 43.760 ;
RECT 188.280 43.440 188.600 43.760 ;
RECT 188.680 43.440 189.000 43.760 ;
RECT 189.080 43.440 189.400 43.760 ;
RECT 189.480 43.440 189.800 43.760 ;
RECT 189.880 43.440 190.200 43.760 ;
RECT 190.280 43.440 190.600 43.760 ;
RECT 190.680 43.440 191.000 43.760 ;
RECT 191.080 43.440 191.400 43.760 ;
RECT 191.480 43.440 191.800 43.760 ;
RECT 191.880 43.440 192.200 43.760 ;
RECT 192.280 43.440 192.600 43.760 ;
RECT 192.680 43.440 193.000 43.760 ;
RECT 193.080 43.440 193.400 43.760 ;
RECT 193.480 43.440 193.800 43.760 ;
RECT 193.880 43.440 194.200 43.760 ;
RECT 194.280 43.440 194.600 43.760 ;
RECT 194.680 43.440 195.000 43.760 ;
RECT 195.080 43.440 195.400 43.760 ;
RECT 195.480 43.440 195.800 43.760 ;
RECT 195.880 43.440 196.200 43.760 ;
RECT 196.280 43.440 196.600 43.760 ;
RECT 196.680 43.440 197.000 43.760 ;
RECT 197.080 43.440 197.400 43.760 ;
RECT 197.480 43.440 197.800 43.760 ;
RECT 197.880 43.440 198.200 43.760 ;
RECT 198.280 43.440 198.600 43.760 ;
RECT 198.680 43.440 199.000 43.760 ;
RECT 199.080 43.440 199.400 43.760 ;
RECT 199.480 43.440 199.800 43.760 ;
RECT 199.880 43.440 200.200 43.760 ;
RECT 200.280 43.440 200.600 43.760 ;
RECT 200.680 43.440 201.000 43.760 ;
RECT 201.080 43.440 201.400 43.760 ;
RECT 201.480 43.440 201.800 43.760 ;
RECT 25.040 43.040 25.360 43.360 ;
RECT 25.440 43.040 25.760 43.360 ;
RECT 25.840 43.040 26.160 43.360 ;
RECT 26.240 43.040 26.560 43.360 ;
RECT 26.640 43.040 26.960 43.360 ;
RECT 27.040 43.040 27.360 43.360 ;
RECT 27.440 43.040 27.760 43.360 ;
RECT 27.840 43.040 28.160 43.360 ;
RECT 28.240 43.040 28.560 43.360 ;
RECT 28.640 43.040 28.960 43.360 ;
RECT 29.040 43.040 29.360 43.360 ;
RECT 29.440 43.040 29.760 43.360 ;
RECT 29.840 43.040 30.160 43.360 ;
RECT 30.240 43.040 30.560 43.360 ;
RECT 30.640 43.040 30.960 43.360 ;
RECT 31.040 43.040 31.360 43.360 ;
RECT 31.440 43.040 31.760 43.360 ;
RECT 31.840 43.040 32.160 43.360 ;
RECT 32.240 43.040 32.560 43.360 ;
RECT 32.640 43.040 32.960 43.360 ;
RECT 33.040 43.040 33.360 43.360 ;
RECT 33.440 43.040 33.760 43.360 ;
RECT 33.840 43.040 34.160 43.360 ;
RECT 34.240 43.040 34.560 43.360 ;
RECT 34.640 43.040 34.960 43.360 ;
RECT 35.040 43.040 35.360 43.360 ;
RECT 35.440 43.040 35.760 43.360 ;
RECT 35.840 43.040 36.160 43.360 ;
RECT 36.240 43.040 36.560 43.360 ;
RECT 36.640 43.040 36.960 43.360 ;
RECT 37.040 43.040 37.360 43.360 ;
RECT 37.440 43.040 37.760 43.360 ;
RECT 37.840 43.040 38.160 43.360 ;
RECT 38.240 43.040 38.560 43.360 ;
RECT 38.640 43.040 38.960 43.360 ;
RECT 39.040 43.040 39.360 43.360 ;
RECT 39.440 43.040 39.760 43.360 ;
RECT 39.840 43.040 40.160 43.360 ;
RECT 40.240 43.040 40.560 43.360 ;
RECT 40.640 43.040 40.960 43.360 ;
RECT 41.040 43.040 41.360 43.360 ;
RECT 41.440 43.040 41.760 43.360 ;
RECT 41.840 43.040 42.160 43.360 ;
RECT 42.240 43.040 42.560 43.360 ;
RECT 42.640 43.040 42.960 43.360 ;
RECT 43.040 43.040 43.360 43.360 ;
RECT 43.440 43.040 43.760 43.360 ;
RECT 43.840 43.040 44.160 43.360 ;
RECT 44.240 43.040 44.560 43.360 ;
RECT 44.640 43.040 44.960 43.360 ;
RECT 70.560 43.040 70.880 43.360 ;
RECT 70.960 43.040 71.280 43.360 ;
RECT 71.360 43.040 71.680 43.360 ;
RECT 71.760 43.040 72.080 43.360 ;
RECT 120.560 43.040 120.880 43.360 ;
RECT 120.960 43.040 121.280 43.360 ;
RECT 121.360 43.040 121.680 43.360 ;
RECT 121.760 43.040 122.080 43.360 ;
RECT 181.880 43.040 182.200 43.360 ;
RECT 182.280 43.040 182.600 43.360 ;
RECT 182.680 43.040 183.000 43.360 ;
RECT 183.080 43.040 183.400 43.360 ;
RECT 183.480 43.040 183.800 43.360 ;
RECT 183.880 43.040 184.200 43.360 ;
RECT 184.280 43.040 184.600 43.360 ;
RECT 184.680 43.040 185.000 43.360 ;
RECT 185.080 43.040 185.400 43.360 ;
RECT 185.480 43.040 185.800 43.360 ;
RECT 185.880 43.040 186.200 43.360 ;
RECT 186.280 43.040 186.600 43.360 ;
RECT 186.680 43.040 187.000 43.360 ;
RECT 187.080 43.040 187.400 43.360 ;
RECT 187.480 43.040 187.800 43.360 ;
RECT 187.880 43.040 188.200 43.360 ;
RECT 188.280 43.040 188.600 43.360 ;
RECT 188.680 43.040 189.000 43.360 ;
RECT 189.080 43.040 189.400 43.360 ;
RECT 189.480 43.040 189.800 43.360 ;
RECT 189.880 43.040 190.200 43.360 ;
RECT 190.280 43.040 190.600 43.360 ;
RECT 190.680 43.040 191.000 43.360 ;
RECT 191.080 43.040 191.400 43.360 ;
RECT 191.480 43.040 191.800 43.360 ;
RECT 191.880 43.040 192.200 43.360 ;
RECT 192.280 43.040 192.600 43.360 ;
RECT 192.680 43.040 193.000 43.360 ;
RECT 193.080 43.040 193.400 43.360 ;
RECT 193.480 43.040 193.800 43.360 ;
RECT 193.880 43.040 194.200 43.360 ;
RECT 194.280 43.040 194.600 43.360 ;
RECT 194.680 43.040 195.000 43.360 ;
RECT 195.080 43.040 195.400 43.360 ;
RECT 195.480 43.040 195.800 43.360 ;
RECT 195.880 43.040 196.200 43.360 ;
RECT 196.280 43.040 196.600 43.360 ;
RECT 196.680 43.040 197.000 43.360 ;
RECT 197.080 43.040 197.400 43.360 ;
RECT 197.480 43.040 197.800 43.360 ;
RECT 197.880 43.040 198.200 43.360 ;
RECT 198.280 43.040 198.600 43.360 ;
RECT 198.680 43.040 199.000 43.360 ;
RECT 199.080 43.040 199.400 43.360 ;
RECT 199.480 43.040 199.800 43.360 ;
RECT 199.880 43.040 200.200 43.360 ;
RECT 200.280 43.040 200.600 43.360 ;
RECT 200.680 43.040 201.000 43.360 ;
RECT 201.080 43.040 201.400 43.360 ;
RECT 201.480 43.040 201.800 43.360 ;
RECT 25.040 42.640 25.360 42.960 ;
RECT 25.440 42.640 25.760 42.960 ;
RECT 25.840 42.640 26.160 42.960 ;
RECT 26.240 42.640 26.560 42.960 ;
RECT 26.640 42.640 26.960 42.960 ;
RECT 27.040 42.640 27.360 42.960 ;
RECT 27.440 42.640 27.760 42.960 ;
RECT 27.840 42.640 28.160 42.960 ;
RECT 28.240 42.640 28.560 42.960 ;
RECT 28.640 42.640 28.960 42.960 ;
RECT 29.040 42.640 29.360 42.960 ;
RECT 29.440 42.640 29.760 42.960 ;
RECT 29.840 42.640 30.160 42.960 ;
RECT 30.240 42.640 30.560 42.960 ;
RECT 30.640 42.640 30.960 42.960 ;
RECT 31.040 42.640 31.360 42.960 ;
RECT 31.440 42.640 31.760 42.960 ;
RECT 31.840 42.640 32.160 42.960 ;
RECT 32.240 42.640 32.560 42.960 ;
RECT 32.640 42.640 32.960 42.960 ;
RECT 33.040 42.640 33.360 42.960 ;
RECT 33.440 42.640 33.760 42.960 ;
RECT 33.840 42.640 34.160 42.960 ;
RECT 34.240 42.640 34.560 42.960 ;
RECT 34.640 42.640 34.960 42.960 ;
RECT 35.040 42.640 35.360 42.960 ;
RECT 35.440 42.640 35.760 42.960 ;
RECT 35.840 42.640 36.160 42.960 ;
RECT 36.240 42.640 36.560 42.960 ;
RECT 36.640 42.640 36.960 42.960 ;
RECT 37.040 42.640 37.360 42.960 ;
RECT 37.440 42.640 37.760 42.960 ;
RECT 37.840 42.640 38.160 42.960 ;
RECT 38.240 42.640 38.560 42.960 ;
RECT 38.640 42.640 38.960 42.960 ;
RECT 39.040 42.640 39.360 42.960 ;
RECT 39.440 42.640 39.760 42.960 ;
RECT 39.840 42.640 40.160 42.960 ;
RECT 40.240 42.640 40.560 42.960 ;
RECT 40.640 42.640 40.960 42.960 ;
RECT 41.040 42.640 41.360 42.960 ;
RECT 41.440 42.640 41.760 42.960 ;
RECT 41.840 42.640 42.160 42.960 ;
RECT 42.240 42.640 42.560 42.960 ;
RECT 42.640 42.640 42.960 42.960 ;
RECT 43.040 42.640 43.360 42.960 ;
RECT 43.440 42.640 43.760 42.960 ;
RECT 43.840 42.640 44.160 42.960 ;
RECT 44.240 42.640 44.560 42.960 ;
RECT 44.640 42.640 44.960 42.960 ;
RECT 70.560 42.640 70.880 42.960 ;
RECT 70.960 42.640 71.280 42.960 ;
RECT 71.360 42.640 71.680 42.960 ;
RECT 71.760 42.640 72.080 42.960 ;
RECT 120.560 42.640 120.880 42.960 ;
RECT 120.960 42.640 121.280 42.960 ;
RECT 121.360 42.640 121.680 42.960 ;
RECT 121.760 42.640 122.080 42.960 ;
RECT 181.880 42.640 182.200 42.960 ;
RECT 182.280 42.640 182.600 42.960 ;
RECT 182.680 42.640 183.000 42.960 ;
RECT 183.080 42.640 183.400 42.960 ;
RECT 183.480 42.640 183.800 42.960 ;
RECT 183.880 42.640 184.200 42.960 ;
RECT 184.280 42.640 184.600 42.960 ;
RECT 184.680 42.640 185.000 42.960 ;
RECT 185.080 42.640 185.400 42.960 ;
RECT 185.480 42.640 185.800 42.960 ;
RECT 185.880 42.640 186.200 42.960 ;
RECT 186.280 42.640 186.600 42.960 ;
RECT 186.680 42.640 187.000 42.960 ;
RECT 187.080 42.640 187.400 42.960 ;
RECT 187.480 42.640 187.800 42.960 ;
RECT 187.880 42.640 188.200 42.960 ;
RECT 188.280 42.640 188.600 42.960 ;
RECT 188.680 42.640 189.000 42.960 ;
RECT 189.080 42.640 189.400 42.960 ;
RECT 189.480 42.640 189.800 42.960 ;
RECT 189.880 42.640 190.200 42.960 ;
RECT 190.280 42.640 190.600 42.960 ;
RECT 190.680 42.640 191.000 42.960 ;
RECT 191.080 42.640 191.400 42.960 ;
RECT 191.480 42.640 191.800 42.960 ;
RECT 191.880 42.640 192.200 42.960 ;
RECT 192.280 42.640 192.600 42.960 ;
RECT 192.680 42.640 193.000 42.960 ;
RECT 193.080 42.640 193.400 42.960 ;
RECT 193.480 42.640 193.800 42.960 ;
RECT 193.880 42.640 194.200 42.960 ;
RECT 194.280 42.640 194.600 42.960 ;
RECT 194.680 42.640 195.000 42.960 ;
RECT 195.080 42.640 195.400 42.960 ;
RECT 195.480 42.640 195.800 42.960 ;
RECT 195.880 42.640 196.200 42.960 ;
RECT 196.280 42.640 196.600 42.960 ;
RECT 196.680 42.640 197.000 42.960 ;
RECT 197.080 42.640 197.400 42.960 ;
RECT 197.480 42.640 197.800 42.960 ;
RECT 197.880 42.640 198.200 42.960 ;
RECT 198.280 42.640 198.600 42.960 ;
RECT 198.680 42.640 199.000 42.960 ;
RECT 199.080 42.640 199.400 42.960 ;
RECT 199.480 42.640 199.800 42.960 ;
RECT 199.880 42.640 200.200 42.960 ;
RECT 200.280 42.640 200.600 42.960 ;
RECT 200.680 42.640 201.000 42.960 ;
RECT 201.080 42.640 201.400 42.960 ;
RECT 201.480 42.640 201.800 42.960 ;
RECT 25.040 42.240 25.360 42.560 ;
RECT 25.440 42.240 25.760 42.560 ;
RECT 25.840 42.240 26.160 42.560 ;
RECT 26.240 42.240 26.560 42.560 ;
RECT 26.640 42.240 26.960 42.560 ;
RECT 27.040 42.240 27.360 42.560 ;
RECT 27.440 42.240 27.760 42.560 ;
RECT 27.840 42.240 28.160 42.560 ;
RECT 28.240 42.240 28.560 42.560 ;
RECT 28.640 42.240 28.960 42.560 ;
RECT 29.040 42.240 29.360 42.560 ;
RECT 29.440 42.240 29.760 42.560 ;
RECT 29.840 42.240 30.160 42.560 ;
RECT 30.240 42.240 30.560 42.560 ;
RECT 30.640 42.240 30.960 42.560 ;
RECT 31.040 42.240 31.360 42.560 ;
RECT 31.440 42.240 31.760 42.560 ;
RECT 31.840 42.240 32.160 42.560 ;
RECT 32.240 42.240 32.560 42.560 ;
RECT 32.640 42.240 32.960 42.560 ;
RECT 33.040 42.240 33.360 42.560 ;
RECT 33.440 42.240 33.760 42.560 ;
RECT 33.840 42.240 34.160 42.560 ;
RECT 34.240 42.240 34.560 42.560 ;
RECT 34.640 42.240 34.960 42.560 ;
RECT 35.040 42.240 35.360 42.560 ;
RECT 35.440 42.240 35.760 42.560 ;
RECT 35.840 42.240 36.160 42.560 ;
RECT 36.240 42.240 36.560 42.560 ;
RECT 36.640 42.240 36.960 42.560 ;
RECT 37.040 42.240 37.360 42.560 ;
RECT 37.440 42.240 37.760 42.560 ;
RECT 37.840 42.240 38.160 42.560 ;
RECT 38.240 42.240 38.560 42.560 ;
RECT 38.640 42.240 38.960 42.560 ;
RECT 39.040 42.240 39.360 42.560 ;
RECT 39.440 42.240 39.760 42.560 ;
RECT 39.840 42.240 40.160 42.560 ;
RECT 40.240 42.240 40.560 42.560 ;
RECT 40.640 42.240 40.960 42.560 ;
RECT 41.040 42.240 41.360 42.560 ;
RECT 41.440 42.240 41.760 42.560 ;
RECT 41.840 42.240 42.160 42.560 ;
RECT 42.240 42.240 42.560 42.560 ;
RECT 42.640 42.240 42.960 42.560 ;
RECT 43.040 42.240 43.360 42.560 ;
RECT 43.440 42.240 43.760 42.560 ;
RECT 43.840 42.240 44.160 42.560 ;
RECT 44.240 42.240 44.560 42.560 ;
RECT 44.640 42.240 44.960 42.560 ;
RECT 70.560 42.240 70.880 42.560 ;
RECT 70.960 42.240 71.280 42.560 ;
RECT 71.360 42.240 71.680 42.560 ;
RECT 71.760 42.240 72.080 42.560 ;
RECT 120.560 42.240 120.880 42.560 ;
RECT 120.960 42.240 121.280 42.560 ;
RECT 121.360 42.240 121.680 42.560 ;
RECT 121.760 42.240 122.080 42.560 ;
RECT 181.880 42.240 182.200 42.560 ;
RECT 182.280 42.240 182.600 42.560 ;
RECT 182.680 42.240 183.000 42.560 ;
RECT 183.080 42.240 183.400 42.560 ;
RECT 183.480 42.240 183.800 42.560 ;
RECT 183.880 42.240 184.200 42.560 ;
RECT 184.280 42.240 184.600 42.560 ;
RECT 184.680 42.240 185.000 42.560 ;
RECT 185.080 42.240 185.400 42.560 ;
RECT 185.480 42.240 185.800 42.560 ;
RECT 185.880 42.240 186.200 42.560 ;
RECT 186.280 42.240 186.600 42.560 ;
RECT 186.680 42.240 187.000 42.560 ;
RECT 187.080 42.240 187.400 42.560 ;
RECT 187.480 42.240 187.800 42.560 ;
RECT 187.880 42.240 188.200 42.560 ;
RECT 188.280 42.240 188.600 42.560 ;
RECT 188.680 42.240 189.000 42.560 ;
RECT 189.080 42.240 189.400 42.560 ;
RECT 189.480 42.240 189.800 42.560 ;
RECT 189.880 42.240 190.200 42.560 ;
RECT 190.280 42.240 190.600 42.560 ;
RECT 190.680 42.240 191.000 42.560 ;
RECT 191.080 42.240 191.400 42.560 ;
RECT 191.480 42.240 191.800 42.560 ;
RECT 191.880 42.240 192.200 42.560 ;
RECT 192.280 42.240 192.600 42.560 ;
RECT 192.680 42.240 193.000 42.560 ;
RECT 193.080 42.240 193.400 42.560 ;
RECT 193.480 42.240 193.800 42.560 ;
RECT 193.880 42.240 194.200 42.560 ;
RECT 194.280 42.240 194.600 42.560 ;
RECT 194.680 42.240 195.000 42.560 ;
RECT 195.080 42.240 195.400 42.560 ;
RECT 195.480 42.240 195.800 42.560 ;
RECT 195.880 42.240 196.200 42.560 ;
RECT 196.280 42.240 196.600 42.560 ;
RECT 196.680 42.240 197.000 42.560 ;
RECT 197.080 42.240 197.400 42.560 ;
RECT 197.480 42.240 197.800 42.560 ;
RECT 197.880 42.240 198.200 42.560 ;
RECT 198.280 42.240 198.600 42.560 ;
RECT 198.680 42.240 199.000 42.560 ;
RECT 199.080 42.240 199.400 42.560 ;
RECT 199.480 42.240 199.800 42.560 ;
RECT 199.880 42.240 200.200 42.560 ;
RECT 200.280 42.240 200.600 42.560 ;
RECT 200.680 42.240 201.000 42.560 ;
RECT 201.080 42.240 201.400 42.560 ;
RECT 201.480 42.240 201.800 42.560 ;
RECT 25.040 41.840 25.360 42.160 ;
RECT 25.440 41.840 25.760 42.160 ;
RECT 25.840 41.840 26.160 42.160 ;
RECT 26.240 41.840 26.560 42.160 ;
RECT 26.640 41.840 26.960 42.160 ;
RECT 27.040 41.840 27.360 42.160 ;
RECT 27.440 41.840 27.760 42.160 ;
RECT 27.840 41.840 28.160 42.160 ;
RECT 28.240 41.840 28.560 42.160 ;
RECT 28.640 41.840 28.960 42.160 ;
RECT 29.040 41.840 29.360 42.160 ;
RECT 29.440 41.840 29.760 42.160 ;
RECT 29.840 41.840 30.160 42.160 ;
RECT 30.240 41.840 30.560 42.160 ;
RECT 30.640 41.840 30.960 42.160 ;
RECT 31.040 41.840 31.360 42.160 ;
RECT 31.440 41.840 31.760 42.160 ;
RECT 31.840 41.840 32.160 42.160 ;
RECT 32.240 41.840 32.560 42.160 ;
RECT 32.640 41.840 32.960 42.160 ;
RECT 33.040 41.840 33.360 42.160 ;
RECT 33.440 41.840 33.760 42.160 ;
RECT 33.840 41.840 34.160 42.160 ;
RECT 34.240 41.840 34.560 42.160 ;
RECT 34.640 41.840 34.960 42.160 ;
RECT 35.040 41.840 35.360 42.160 ;
RECT 35.440 41.840 35.760 42.160 ;
RECT 35.840 41.840 36.160 42.160 ;
RECT 36.240 41.840 36.560 42.160 ;
RECT 36.640 41.840 36.960 42.160 ;
RECT 37.040 41.840 37.360 42.160 ;
RECT 37.440 41.840 37.760 42.160 ;
RECT 37.840 41.840 38.160 42.160 ;
RECT 38.240 41.840 38.560 42.160 ;
RECT 38.640 41.840 38.960 42.160 ;
RECT 39.040 41.840 39.360 42.160 ;
RECT 39.440 41.840 39.760 42.160 ;
RECT 39.840 41.840 40.160 42.160 ;
RECT 40.240 41.840 40.560 42.160 ;
RECT 40.640 41.840 40.960 42.160 ;
RECT 41.040 41.840 41.360 42.160 ;
RECT 41.440 41.840 41.760 42.160 ;
RECT 41.840 41.840 42.160 42.160 ;
RECT 42.240 41.840 42.560 42.160 ;
RECT 42.640 41.840 42.960 42.160 ;
RECT 43.040 41.840 43.360 42.160 ;
RECT 43.440 41.840 43.760 42.160 ;
RECT 43.840 41.840 44.160 42.160 ;
RECT 44.240 41.840 44.560 42.160 ;
RECT 44.640 41.840 44.960 42.160 ;
RECT 70.560 41.840 70.880 42.160 ;
RECT 70.960 41.840 71.280 42.160 ;
RECT 71.360 41.840 71.680 42.160 ;
RECT 71.760 41.840 72.080 42.160 ;
RECT 120.560 41.840 120.880 42.160 ;
RECT 120.960 41.840 121.280 42.160 ;
RECT 121.360 41.840 121.680 42.160 ;
RECT 121.760 41.840 122.080 42.160 ;
RECT 181.880 41.840 182.200 42.160 ;
RECT 182.280 41.840 182.600 42.160 ;
RECT 182.680 41.840 183.000 42.160 ;
RECT 183.080 41.840 183.400 42.160 ;
RECT 183.480 41.840 183.800 42.160 ;
RECT 183.880 41.840 184.200 42.160 ;
RECT 184.280 41.840 184.600 42.160 ;
RECT 184.680 41.840 185.000 42.160 ;
RECT 185.080 41.840 185.400 42.160 ;
RECT 185.480 41.840 185.800 42.160 ;
RECT 185.880 41.840 186.200 42.160 ;
RECT 186.280 41.840 186.600 42.160 ;
RECT 186.680 41.840 187.000 42.160 ;
RECT 187.080 41.840 187.400 42.160 ;
RECT 187.480 41.840 187.800 42.160 ;
RECT 187.880 41.840 188.200 42.160 ;
RECT 188.280 41.840 188.600 42.160 ;
RECT 188.680 41.840 189.000 42.160 ;
RECT 189.080 41.840 189.400 42.160 ;
RECT 189.480 41.840 189.800 42.160 ;
RECT 189.880 41.840 190.200 42.160 ;
RECT 190.280 41.840 190.600 42.160 ;
RECT 190.680 41.840 191.000 42.160 ;
RECT 191.080 41.840 191.400 42.160 ;
RECT 191.480 41.840 191.800 42.160 ;
RECT 191.880 41.840 192.200 42.160 ;
RECT 192.280 41.840 192.600 42.160 ;
RECT 192.680 41.840 193.000 42.160 ;
RECT 193.080 41.840 193.400 42.160 ;
RECT 193.480 41.840 193.800 42.160 ;
RECT 193.880 41.840 194.200 42.160 ;
RECT 194.280 41.840 194.600 42.160 ;
RECT 194.680 41.840 195.000 42.160 ;
RECT 195.080 41.840 195.400 42.160 ;
RECT 195.480 41.840 195.800 42.160 ;
RECT 195.880 41.840 196.200 42.160 ;
RECT 196.280 41.840 196.600 42.160 ;
RECT 196.680 41.840 197.000 42.160 ;
RECT 197.080 41.840 197.400 42.160 ;
RECT 197.480 41.840 197.800 42.160 ;
RECT 197.880 41.840 198.200 42.160 ;
RECT 198.280 41.840 198.600 42.160 ;
RECT 198.680 41.840 199.000 42.160 ;
RECT 199.080 41.840 199.400 42.160 ;
RECT 199.480 41.840 199.800 42.160 ;
RECT 199.880 41.840 200.200 42.160 ;
RECT 200.280 41.840 200.600 42.160 ;
RECT 200.680 41.840 201.000 42.160 ;
RECT 201.080 41.840 201.400 42.160 ;
RECT 201.480 41.840 201.800 42.160 ;
RECT 25.040 41.440 25.360 41.760 ;
RECT 25.440 41.440 25.760 41.760 ;
RECT 25.840 41.440 26.160 41.760 ;
RECT 26.240 41.440 26.560 41.760 ;
RECT 26.640 41.440 26.960 41.760 ;
RECT 27.040 41.440 27.360 41.760 ;
RECT 27.440 41.440 27.760 41.760 ;
RECT 27.840 41.440 28.160 41.760 ;
RECT 28.240 41.440 28.560 41.760 ;
RECT 28.640 41.440 28.960 41.760 ;
RECT 29.040 41.440 29.360 41.760 ;
RECT 29.440 41.440 29.760 41.760 ;
RECT 29.840 41.440 30.160 41.760 ;
RECT 30.240 41.440 30.560 41.760 ;
RECT 30.640 41.440 30.960 41.760 ;
RECT 31.040 41.440 31.360 41.760 ;
RECT 31.440 41.440 31.760 41.760 ;
RECT 31.840 41.440 32.160 41.760 ;
RECT 32.240 41.440 32.560 41.760 ;
RECT 32.640 41.440 32.960 41.760 ;
RECT 33.040 41.440 33.360 41.760 ;
RECT 33.440 41.440 33.760 41.760 ;
RECT 33.840 41.440 34.160 41.760 ;
RECT 34.240 41.440 34.560 41.760 ;
RECT 34.640 41.440 34.960 41.760 ;
RECT 35.040 41.440 35.360 41.760 ;
RECT 35.440 41.440 35.760 41.760 ;
RECT 35.840 41.440 36.160 41.760 ;
RECT 36.240 41.440 36.560 41.760 ;
RECT 36.640 41.440 36.960 41.760 ;
RECT 37.040 41.440 37.360 41.760 ;
RECT 37.440 41.440 37.760 41.760 ;
RECT 37.840 41.440 38.160 41.760 ;
RECT 38.240 41.440 38.560 41.760 ;
RECT 38.640 41.440 38.960 41.760 ;
RECT 39.040 41.440 39.360 41.760 ;
RECT 39.440 41.440 39.760 41.760 ;
RECT 39.840 41.440 40.160 41.760 ;
RECT 40.240 41.440 40.560 41.760 ;
RECT 40.640 41.440 40.960 41.760 ;
RECT 41.040 41.440 41.360 41.760 ;
RECT 41.440 41.440 41.760 41.760 ;
RECT 41.840 41.440 42.160 41.760 ;
RECT 42.240 41.440 42.560 41.760 ;
RECT 42.640 41.440 42.960 41.760 ;
RECT 43.040 41.440 43.360 41.760 ;
RECT 43.440 41.440 43.760 41.760 ;
RECT 43.840 41.440 44.160 41.760 ;
RECT 44.240 41.440 44.560 41.760 ;
RECT 44.640 41.440 44.960 41.760 ;
RECT 70.560 41.440 70.880 41.760 ;
RECT 70.960 41.440 71.280 41.760 ;
RECT 71.360 41.440 71.680 41.760 ;
RECT 71.760 41.440 72.080 41.760 ;
RECT 120.560 41.440 120.880 41.760 ;
RECT 120.960 41.440 121.280 41.760 ;
RECT 121.360 41.440 121.680 41.760 ;
RECT 121.760 41.440 122.080 41.760 ;
RECT 181.880 41.440 182.200 41.760 ;
RECT 182.280 41.440 182.600 41.760 ;
RECT 182.680 41.440 183.000 41.760 ;
RECT 183.080 41.440 183.400 41.760 ;
RECT 183.480 41.440 183.800 41.760 ;
RECT 183.880 41.440 184.200 41.760 ;
RECT 184.280 41.440 184.600 41.760 ;
RECT 184.680 41.440 185.000 41.760 ;
RECT 185.080 41.440 185.400 41.760 ;
RECT 185.480 41.440 185.800 41.760 ;
RECT 185.880 41.440 186.200 41.760 ;
RECT 186.280 41.440 186.600 41.760 ;
RECT 186.680 41.440 187.000 41.760 ;
RECT 187.080 41.440 187.400 41.760 ;
RECT 187.480 41.440 187.800 41.760 ;
RECT 187.880 41.440 188.200 41.760 ;
RECT 188.280 41.440 188.600 41.760 ;
RECT 188.680 41.440 189.000 41.760 ;
RECT 189.080 41.440 189.400 41.760 ;
RECT 189.480 41.440 189.800 41.760 ;
RECT 189.880 41.440 190.200 41.760 ;
RECT 190.280 41.440 190.600 41.760 ;
RECT 190.680 41.440 191.000 41.760 ;
RECT 191.080 41.440 191.400 41.760 ;
RECT 191.480 41.440 191.800 41.760 ;
RECT 191.880 41.440 192.200 41.760 ;
RECT 192.280 41.440 192.600 41.760 ;
RECT 192.680 41.440 193.000 41.760 ;
RECT 193.080 41.440 193.400 41.760 ;
RECT 193.480 41.440 193.800 41.760 ;
RECT 193.880 41.440 194.200 41.760 ;
RECT 194.280 41.440 194.600 41.760 ;
RECT 194.680 41.440 195.000 41.760 ;
RECT 195.080 41.440 195.400 41.760 ;
RECT 195.480 41.440 195.800 41.760 ;
RECT 195.880 41.440 196.200 41.760 ;
RECT 196.280 41.440 196.600 41.760 ;
RECT 196.680 41.440 197.000 41.760 ;
RECT 197.080 41.440 197.400 41.760 ;
RECT 197.480 41.440 197.800 41.760 ;
RECT 197.880 41.440 198.200 41.760 ;
RECT 198.280 41.440 198.600 41.760 ;
RECT 198.680 41.440 199.000 41.760 ;
RECT 199.080 41.440 199.400 41.760 ;
RECT 199.480 41.440 199.800 41.760 ;
RECT 199.880 41.440 200.200 41.760 ;
RECT 200.280 41.440 200.600 41.760 ;
RECT 200.680 41.440 201.000 41.760 ;
RECT 201.080 41.440 201.400 41.760 ;
RECT 201.480 41.440 201.800 41.760 ;
RECT 25.040 41.040 25.360 41.360 ;
RECT 25.440 41.040 25.760 41.360 ;
RECT 25.840 41.040 26.160 41.360 ;
RECT 26.240 41.040 26.560 41.360 ;
RECT 26.640 41.040 26.960 41.360 ;
RECT 27.040 41.040 27.360 41.360 ;
RECT 27.440 41.040 27.760 41.360 ;
RECT 27.840 41.040 28.160 41.360 ;
RECT 28.240 41.040 28.560 41.360 ;
RECT 28.640 41.040 28.960 41.360 ;
RECT 29.040 41.040 29.360 41.360 ;
RECT 29.440 41.040 29.760 41.360 ;
RECT 29.840 41.040 30.160 41.360 ;
RECT 30.240 41.040 30.560 41.360 ;
RECT 30.640 41.040 30.960 41.360 ;
RECT 31.040 41.040 31.360 41.360 ;
RECT 31.440 41.040 31.760 41.360 ;
RECT 31.840 41.040 32.160 41.360 ;
RECT 32.240 41.040 32.560 41.360 ;
RECT 32.640 41.040 32.960 41.360 ;
RECT 33.040 41.040 33.360 41.360 ;
RECT 33.440 41.040 33.760 41.360 ;
RECT 33.840 41.040 34.160 41.360 ;
RECT 34.240 41.040 34.560 41.360 ;
RECT 34.640 41.040 34.960 41.360 ;
RECT 35.040 41.040 35.360 41.360 ;
RECT 35.440 41.040 35.760 41.360 ;
RECT 35.840 41.040 36.160 41.360 ;
RECT 36.240 41.040 36.560 41.360 ;
RECT 36.640 41.040 36.960 41.360 ;
RECT 37.040 41.040 37.360 41.360 ;
RECT 37.440 41.040 37.760 41.360 ;
RECT 37.840 41.040 38.160 41.360 ;
RECT 38.240 41.040 38.560 41.360 ;
RECT 38.640 41.040 38.960 41.360 ;
RECT 39.040 41.040 39.360 41.360 ;
RECT 39.440 41.040 39.760 41.360 ;
RECT 39.840 41.040 40.160 41.360 ;
RECT 40.240 41.040 40.560 41.360 ;
RECT 40.640 41.040 40.960 41.360 ;
RECT 41.040 41.040 41.360 41.360 ;
RECT 41.440 41.040 41.760 41.360 ;
RECT 41.840 41.040 42.160 41.360 ;
RECT 42.240 41.040 42.560 41.360 ;
RECT 42.640 41.040 42.960 41.360 ;
RECT 43.040 41.040 43.360 41.360 ;
RECT 43.440 41.040 43.760 41.360 ;
RECT 43.840 41.040 44.160 41.360 ;
RECT 44.240 41.040 44.560 41.360 ;
RECT 44.640 41.040 44.960 41.360 ;
RECT 70.560 41.040 70.880 41.360 ;
RECT 70.960 41.040 71.280 41.360 ;
RECT 71.360 41.040 71.680 41.360 ;
RECT 71.760 41.040 72.080 41.360 ;
RECT 120.560 41.040 120.880 41.360 ;
RECT 120.960 41.040 121.280 41.360 ;
RECT 121.360 41.040 121.680 41.360 ;
RECT 121.760 41.040 122.080 41.360 ;
RECT 181.880 41.040 182.200 41.360 ;
RECT 182.280 41.040 182.600 41.360 ;
RECT 182.680 41.040 183.000 41.360 ;
RECT 183.080 41.040 183.400 41.360 ;
RECT 183.480 41.040 183.800 41.360 ;
RECT 183.880 41.040 184.200 41.360 ;
RECT 184.280 41.040 184.600 41.360 ;
RECT 184.680 41.040 185.000 41.360 ;
RECT 185.080 41.040 185.400 41.360 ;
RECT 185.480 41.040 185.800 41.360 ;
RECT 185.880 41.040 186.200 41.360 ;
RECT 186.280 41.040 186.600 41.360 ;
RECT 186.680 41.040 187.000 41.360 ;
RECT 187.080 41.040 187.400 41.360 ;
RECT 187.480 41.040 187.800 41.360 ;
RECT 187.880 41.040 188.200 41.360 ;
RECT 188.280 41.040 188.600 41.360 ;
RECT 188.680 41.040 189.000 41.360 ;
RECT 189.080 41.040 189.400 41.360 ;
RECT 189.480 41.040 189.800 41.360 ;
RECT 189.880 41.040 190.200 41.360 ;
RECT 190.280 41.040 190.600 41.360 ;
RECT 190.680 41.040 191.000 41.360 ;
RECT 191.080 41.040 191.400 41.360 ;
RECT 191.480 41.040 191.800 41.360 ;
RECT 191.880 41.040 192.200 41.360 ;
RECT 192.280 41.040 192.600 41.360 ;
RECT 192.680 41.040 193.000 41.360 ;
RECT 193.080 41.040 193.400 41.360 ;
RECT 193.480 41.040 193.800 41.360 ;
RECT 193.880 41.040 194.200 41.360 ;
RECT 194.280 41.040 194.600 41.360 ;
RECT 194.680 41.040 195.000 41.360 ;
RECT 195.080 41.040 195.400 41.360 ;
RECT 195.480 41.040 195.800 41.360 ;
RECT 195.880 41.040 196.200 41.360 ;
RECT 196.280 41.040 196.600 41.360 ;
RECT 196.680 41.040 197.000 41.360 ;
RECT 197.080 41.040 197.400 41.360 ;
RECT 197.480 41.040 197.800 41.360 ;
RECT 197.880 41.040 198.200 41.360 ;
RECT 198.280 41.040 198.600 41.360 ;
RECT 198.680 41.040 199.000 41.360 ;
RECT 199.080 41.040 199.400 41.360 ;
RECT 199.480 41.040 199.800 41.360 ;
RECT 199.880 41.040 200.200 41.360 ;
RECT 200.280 41.040 200.600 41.360 ;
RECT 200.680 41.040 201.000 41.360 ;
RECT 201.080 41.040 201.400 41.360 ;
RECT 201.480 41.040 201.800 41.360 ;
RECT 25.040 40.640 25.360 40.960 ;
RECT 25.440 40.640 25.760 40.960 ;
RECT 25.840 40.640 26.160 40.960 ;
RECT 26.240 40.640 26.560 40.960 ;
RECT 26.640 40.640 26.960 40.960 ;
RECT 27.040 40.640 27.360 40.960 ;
RECT 27.440 40.640 27.760 40.960 ;
RECT 27.840 40.640 28.160 40.960 ;
RECT 28.240 40.640 28.560 40.960 ;
RECT 28.640 40.640 28.960 40.960 ;
RECT 29.040 40.640 29.360 40.960 ;
RECT 29.440 40.640 29.760 40.960 ;
RECT 29.840 40.640 30.160 40.960 ;
RECT 30.240 40.640 30.560 40.960 ;
RECT 30.640 40.640 30.960 40.960 ;
RECT 31.040 40.640 31.360 40.960 ;
RECT 31.440 40.640 31.760 40.960 ;
RECT 31.840 40.640 32.160 40.960 ;
RECT 32.240 40.640 32.560 40.960 ;
RECT 32.640 40.640 32.960 40.960 ;
RECT 33.040 40.640 33.360 40.960 ;
RECT 33.440 40.640 33.760 40.960 ;
RECT 33.840 40.640 34.160 40.960 ;
RECT 34.240 40.640 34.560 40.960 ;
RECT 34.640 40.640 34.960 40.960 ;
RECT 35.040 40.640 35.360 40.960 ;
RECT 35.440 40.640 35.760 40.960 ;
RECT 35.840 40.640 36.160 40.960 ;
RECT 36.240 40.640 36.560 40.960 ;
RECT 36.640 40.640 36.960 40.960 ;
RECT 37.040 40.640 37.360 40.960 ;
RECT 37.440 40.640 37.760 40.960 ;
RECT 37.840 40.640 38.160 40.960 ;
RECT 38.240 40.640 38.560 40.960 ;
RECT 38.640 40.640 38.960 40.960 ;
RECT 39.040 40.640 39.360 40.960 ;
RECT 39.440 40.640 39.760 40.960 ;
RECT 39.840 40.640 40.160 40.960 ;
RECT 40.240 40.640 40.560 40.960 ;
RECT 40.640 40.640 40.960 40.960 ;
RECT 41.040 40.640 41.360 40.960 ;
RECT 41.440 40.640 41.760 40.960 ;
RECT 41.840 40.640 42.160 40.960 ;
RECT 42.240 40.640 42.560 40.960 ;
RECT 42.640 40.640 42.960 40.960 ;
RECT 43.040 40.640 43.360 40.960 ;
RECT 43.440 40.640 43.760 40.960 ;
RECT 43.840 40.640 44.160 40.960 ;
RECT 44.240 40.640 44.560 40.960 ;
RECT 44.640 40.640 44.960 40.960 ;
RECT 70.560 40.640 70.880 40.960 ;
RECT 70.960 40.640 71.280 40.960 ;
RECT 71.360 40.640 71.680 40.960 ;
RECT 71.760 40.640 72.080 40.960 ;
RECT 120.560 40.640 120.880 40.960 ;
RECT 120.960 40.640 121.280 40.960 ;
RECT 121.360 40.640 121.680 40.960 ;
RECT 121.760 40.640 122.080 40.960 ;
RECT 181.880 40.640 182.200 40.960 ;
RECT 182.280 40.640 182.600 40.960 ;
RECT 182.680 40.640 183.000 40.960 ;
RECT 183.080 40.640 183.400 40.960 ;
RECT 183.480 40.640 183.800 40.960 ;
RECT 183.880 40.640 184.200 40.960 ;
RECT 184.280 40.640 184.600 40.960 ;
RECT 184.680 40.640 185.000 40.960 ;
RECT 185.080 40.640 185.400 40.960 ;
RECT 185.480 40.640 185.800 40.960 ;
RECT 185.880 40.640 186.200 40.960 ;
RECT 186.280 40.640 186.600 40.960 ;
RECT 186.680 40.640 187.000 40.960 ;
RECT 187.080 40.640 187.400 40.960 ;
RECT 187.480 40.640 187.800 40.960 ;
RECT 187.880 40.640 188.200 40.960 ;
RECT 188.280 40.640 188.600 40.960 ;
RECT 188.680 40.640 189.000 40.960 ;
RECT 189.080 40.640 189.400 40.960 ;
RECT 189.480 40.640 189.800 40.960 ;
RECT 189.880 40.640 190.200 40.960 ;
RECT 190.280 40.640 190.600 40.960 ;
RECT 190.680 40.640 191.000 40.960 ;
RECT 191.080 40.640 191.400 40.960 ;
RECT 191.480 40.640 191.800 40.960 ;
RECT 191.880 40.640 192.200 40.960 ;
RECT 192.280 40.640 192.600 40.960 ;
RECT 192.680 40.640 193.000 40.960 ;
RECT 193.080 40.640 193.400 40.960 ;
RECT 193.480 40.640 193.800 40.960 ;
RECT 193.880 40.640 194.200 40.960 ;
RECT 194.280 40.640 194.600 40.960 ;
RECT 194.680 40.640 195.000 40.960 ;
RECT 195.080 40.640 195.400 40.960 ;
RECT 195.480 40.640 195.800 40.960 ;
RECT 195.880 40.640 196.200 40.960 ;
RECT 196.280 40.640 196.600 40.960 ;
RECT 196.680 40.640 197.000 40.960 ;
RECT 197.080 40.640 197.400 40.960 ;
RECT 197.480 40.640 197.800 40.960 ;
RECT 197.880 40.640 198.200 40.960 ;
RECT 198.280 40.640 198.600 40.960 ;
RECT 198.680 40.640 199.000 40.960 ;
RECT 199.080 40.640 199.400 40.960 ;
RECT 199.480 40.640 199.800 40.960 ;
RECT 199.880 40.640 200.200 40.960 ;
RECT 200.280 40.640 200.600 40.960 ;
RECT 200.680 40.640 201.000 40.960 ;
RECT 201.080 40.640 201.400 40.960 ;
RECT 201.480 40.640 201.800 40.960 ;
RECT 25.040 40.240 25.360 40.560 ;
RECT 25.440 40.240 25.760 40.560 ;
RECT 25.840 40.240 26.160 40.560 ;
RECT 26.240 40.240 26.560 40.560 ;
RECT 26.640 40.240 26.960 40.560 ;
RECT 27.040 40.240 27.360 40.560 ;
RECT 27.440 40.240 27.760 40.560 ;
RECT 27.840 40.240 28.160 40.560 ;
RECT 28.240 40.240 28.560 40.560 ;
RECT 28.640 40.240 28.960 40.560 ;
RECT 29.040 40.240 29.360 40.560 ;
RECT 29.440 40.240 29.760 40.560 ;
RECT 29.840 40.240 30.160 40.560 ;
RECT 30.240 40.240 30.560 40.560 ;
RECT 30.640 40.240 30.960 40.560 ;
RECT 31.040 40.240 31.360 40.560 ;
RECT 31.440 40.240 31.760 40.560 ;
RECT 31.840 40.240 32.160 40.560 ;
RECT 32.240 40.240 32.560 40.560 ;
RECT 32.640 40.240 32.960 40.560 ;
RECT 33.040 40.240 33.360 40.560 ;
RECT 33.440 40.240 33.760 40.560 ;
RECT 33.840 40.240 34.160 40.560 ;
RECT 34.240 40.240 34.560 40.560 ;
RECT 34.640 40.240 34.960 40.560 ;
RECT 35.040 40.240 35.360 40.560 ;
RECT 35.440 40.240 35.760 40.560 ;
RECT 35.840 40.240 36.160 40.560 ;
RECT 36.240 40.240 36.560 40.560 ;
RECT 36.640 40.240 36.960 40.560 ;
RECT 37.040 40.240 37.360 40.560 ;
RECT 37.440 40.240 37.760 40.560 ;
RECT 37.840 40.240 38.160 40.560 ;
RECT 38.240 40.240 38.560 40.560 ;
RECT 38.640 40.240 38.960 40.560 ;
RECT 39.040 40.240 39.360 40.560 ;
RECT 39.440 40.240 39.760 40.560 ;
RECT 39.840 40.240 40.160 40.560 ;
RECT 40.240 40.240 40.560 40.560 ;
RECT 40.640 40.240 40.960 40.560 ;
RECT 41.040 40.240 41.360 40.560 ;
RECT 41.440 40.240 41.760 40.560 ;
RECT 41.840 40.240 42.160 40.560 ;
RECT 42.240 40.240 42.560 40.560 ;
RECT 42.640 40.240 42.960 40.560 ;
RECT 43.040 40.240 43.360 40.560 ;
RECT 43.440 40.240 43.760 40.560 ;
RECT 43.840 40.240 44.160 40.560 ;
RECT 44.240 40.240 44.560 40.560 ;
RECT 44.640 40.240 44.960 40.560 ;
RECT 70.560 40.240 70.880 40.560 ;
RECT 70.960 40.240 71.280 40.560 ;
RECT 71.360 40.240 71.680 40.560 ;
RECT 71.760 40.240 72.080 40.560 ;
RECT 120.560 40.240 120.880 40.560 ;
RECT 120.960 40.240 121.280 40.560 ;
RECT 121.360 40.240 121.680 40.560 ;
RECT 121.760 40.240 122.080 40.560 ;
RECT 181.880 40.240 182.200 40.560 ;
RECT 182.280 40.240 182.600 40.560 ;
RECT 182.680 40.240 183.000 40.560 ;
RECT 183.080 40.240 183.400 40.560 ;
RECT 183.480 40.240 183.800 40.560 ;
RECT 183.880 40.240 184.200 40.560 ;
RECT 184.280 40.240 184.600 40.560 ;
RECT 184.680 40.240 185.000 40.560 ;
RECT 185.080 40.240 185.400 40.560 ;
RECT 185.480 40.240 185.800 40.560 ;
RECT 185.880 40.240 186.200 40.560 ;
RECT 186.280 40.240 186.600 40.560 ;
RECT 186.680 40.240 187.000 40.560 ;
RECT 187.080 40.240 187.400 40.560 ;
RECT 187.480 40.240 187.800 40.560 ;
RECT 187.880 40.240 188.200 40.560 ;
RECT 188.280 40.240 188.600 40.560 ;
RECT 188.680 40.240 189.000 40.560 ;
RECT 189.080 40.240 189.400 40.560 ;
RECT 189.480 40.240 189.800 40.560 ;
RECT 189.880 40.240 190.200 40.560 ;
RECT 190.280 40.240 190.600 40.560 ;
RECT 190.680 40.240 191.000 40.560 ;
RECT 191.080 40.240 191.400 40.560 ;
RECT 191.480 40.240 191.800 40.560 ;
RECT 191.880 40.240 192.200 40.560 ;
RECT 192.280 40.240 192.600 40.560 ;
RECT 192.680 40.240 193.000 40.560 ;
RECT 193.080 40.240 193.400 40.560 ;
RECT 193.480 40.240 193.800 40.560 ;
RECT 193.880 40.240 194.200 40.560 ;
RECT 194.280 40.240 194.600 40.560 ;
RECT 194.680 40.240 195.000 40.560 ;
RECT 195.080 40.240 195.400 40.560 ;
RECT 195.480 40.240 195.800 40.560 ;
RECT 195.880 40.240 196.200 40.560 ;
RECT 196.280 40.240 196.600 40.560 ;
RECT 196.680 40.240 197.000 40.560 ;
RECT 197.080 40.240 197.400 40.560 ;
RECT 197.480 40.240 197.800 40.560 ;
RECT 197.880 40.240 198.200 40.560 ;
RECT 198.280 40.240 198.600 40.560 ;
RECT 198.680 40.240 199.000 40.560 ;
RECT 199.080 40.240 199.400 40.560 ;
RECT 199.480 40.240 199.800 40.560 ;
RECT 199.880 40.240 200.200 40.560 ;
RECT 200.280 40.240 200.600 40.560 ;
RECT 200.680 40.240 201.000 40.560 ;
RECT 201.080 40.240 201.400 40.560 ;
RECT 201.480 40.240 201.800 40.560 ;
RECT 25.040 39.840 25.360 40.160 ;
RECT 25.440 39.840 25.760 40.160 ;
RECT 25.840 39.840 26.160 40.160 ;
RECT 26.240 39.840 26.560 40.160 ;
RECT 26.640 39.840 26.960 40.160 ;
RECT 27.040 39.840 27.360 40.160 ;
RECT 27.440 39.840 27.760 40.160 ;
RECT 27.840 39.840 28.160 40.160 ;
RECT 28.240 39.840 28.560 40.160 ;
RECT 28.640 39.840 28.960 40.160 ;
RECT 29.040 39.840 29.360 40.160 ;
RECT 29.440 39.840 29.760 40.160 ;
RECT 29.840 39.840 30.160 40.160 ;
RECT 30.240 39.840 30.560 40.160 ;
RECT 30.640 39.840 30.960 40.160 ;
RECT 31.040 39.840 31.360 40.160 ;
RECT 31.440 39.840 31.760 40.160 ;
RECT 31.840 39.840 32.160 40.160 ;
RECT 32.240 39.840 32.560 40.160 ;
RECT 32.640 39.840 32.960 40.160 ;
RECT 33.040 39.840 33.360 40.160 ;
RECT 33.440 39.840 33.760 40.160 ;
RECT 33.840 39.840 34.160 40.160 ;
RECT 34.240 39.840 34.560 40.160 ;
RECT 34.640 39.840 34.960 40.160 ;
RECT 35.040 39.840 35.360 40.160 ;
RECT 35.440 39.840 35.760 40.160 ;
RECT 35.840 39.840 36.160 40.160 ;
RECT 36.240 39.840 36.560 40.160 ;
RECT 36.640 39.840 36.960 40.160 ;
RECT 37.040 39.840 37.360 40.160 ;
RECT 37.440 39.840 37.760 40.160 ;
RECT 37.840 39.840 38.160 40.160 ;
RECT 38.240 39.840 38.560 40.160 ;
RECT 38.640 39.840 38.960 40.160 ;
RECT 39.040 39.840 39.360 40.160 ;
RECT 39.440 39.840 39.760 40.160 ;
RECT 39.840 39.840 40.160 40.160 ;
RECT 40.240 39.840 40.560 40.160 ;
RECT 40.640 39.840 40.960 40.160 ;
RECT 41.040 39.840 41.360 40.160 ;
RECT 41.440 39.840 41.760 40.160 ;
RECT 41.840 39.840 42.160 40.160 ;
RECT 42.240 39.840 42.560 40.160 ;
RECT 42.640 39.840 42.960 40.160 ;
RECT 43.040 39.840 43.360 40.160 ;
RECT 43.440 39.840 43.760 40.160 ;
RECT 43.840 39.840 44.160 40.160 ;
RECT 44.240 39.840 44.560 40.160 ;
RECT 44.640 39.840 44.960 40.160 ;
RECT 70.560 39.840 70.880 40.160 ;
RECT 70.960 39.840 71.280 40.160 ;
RECT 71.360 39.840 71.680 40.160 ;
RECT 71.760 39.840 72.080 40.160 ;
RECT 120.560 39.840 120.880 40.160 ;
RECT 120.960 39.840 121.280 40.160 ;
RECT 121.360 39.840 121.680 40.160 ;
RECT 121.760 39.840 122.080 40.160 ;
RECT 181.880 39.840 182.200 40.160 ;
RECT 182.280 39.840 182.600 40.160 ;
RECT 182.680 39.840 183.000 40.160 ;
RECT 183.080 39.840 183.400 40.160 ;
RECT 183.480 39.840 183.800 40.160 ;
RECT 183.880 39.840 184.200 40.160 ;
RECT 184.280 39.840 184.600 40.160 ;
RECT 184.680 39.840 185.000 40.160 ;
RECT 185.080 39.840 185.400 40.160 ;
RECT 185.480 39.840 185.800 40.160 ;
RECT 185.880 39.840 186.200 40.160 ;
RECT 186.280 39.840 186.600 40.160 ;
RECT 186.680 39.840 187.000 40.160 ;
RECT 187.080 39.840 187.400 40.160 ;
RECT 187.480 39.840 187.800 40.160 ;
RECT 187.880 39.840 188.200 40.160 ;
RECT 188.280 39.840 188.600 40.160 ;
RECT 188.680 39.840 189.000 40.160 ;
RECT 189.080 39.840 189.400 40.160 ;
RECT 189.480 39.840 189.800 40.160 ;
RECT 189.880 39.840 190.200 40.160 ;
RECT 190.280 39.840 190.600 40.160 ;
RECT 190.680 39.840 191.000 40.160 ;
RECT 191.080 39.840 191.400 40.160 ;
RECT 191.480 39.840 191.800 40.160 ;
RECT 191.880 39.840 192.200 40.160 ;
RECT 192.280 39.840 192.600 40.160 ;
RECT 192.680 39.840 193.000 40.160 ;
RECT 193.080 39.840 193.400 40.160 ;
RECT 193.480 39.840 193.800 40.160 ;
RECT 193.880 39.840 194.200 40.160 ;
RECT 194.280 39.840 194.600 40.160 ;
RECT 194.680 39.840 195.000 40.160 ;
RECT 195.080 39.840 195.400 40.160 ;
RECT 195.480 39.840 195.800 40.160 ;
RECT 195.880 39.840 196.200 40.160 ;
RECT 196.280 39.840 196.600 40.160 ;
RECT 196.680 39.840 197.000 40.160 ;
RECT 197.080 39.840 197.400 40.160 ;
RECT 197.480 39.840 197.800 40.160 ;
RECT 197.880 39.840 198.200 40.160 ;
RECT 198.280 39.840 198.600 40.160 ;
RECT 198.680 39.840 199.000 40.160 ;
RECT 199.080 39.840 199.400 40.160 ;
RECT 199.480 39.840 199.800 40.160 ;
RECT 199.880 39.840 200.200 40.160 ;
RECT 200.280 39.840 200.600 40.160 ;
RECT 200.680 39.840 201.000 40.160 ;
RECT 201.080 39.840 201.400 40.160 ;
RECT 201.480 39.840 201.800 40.160 ;
RECT 25.040 39.440 25.360 39.760 ;
RECT 25.440 39.440 25.760 39.760 ;
RECT 25.840 39.440 26.160 39.760 ;
RECT 26.240 39.440 26.560 39.760 ;
RECT 26.640 39.440 26.960 39.760 ;
RECT 27.040 39.440 27.360 39.760 ;
RECT 27.440 39.440 27.760 39.760 ;
RECT 27.840 39.440 28.160 39.760 ;
RECT 28.240 39.440 28.560 39.760 ;
RECT 28.640 39.440 28.960 39.760 ;
RECT 29.040 39.440 29.360 39.760 ;
RECT 29.440 39.440 29.760 39.760 ;
RECT 29.840 39.440 30.160 39.760 ;
RECT 30.240 39.440 30.560 39.760 ;
RECT 30.640 39.440 30.960 39.760 ;
RECT 31.040 39.440 31.360 39.760 ;
RECT 31.440 39.440 31.760 39.760 ;
RECT 31.840 39.440 32.160 39.760 ;
RECT 32.240 39.440 32.560 39.760 ;
RECT 32.640 39.440 32.960 39.760 ;
RECT 33.040 39.440 33.360 39.760 ;
RECT 33.440 39.440 33.760 39.760 ;
RECT 33.840 39.440 34.160 39.760 ;
RECT 34.240 39.440 34.560 39.760 ;
RECT 34.640 39.440 34.960 39.760 ;
RECT 35.040 39.440 35.360 39.760 ;
RECT 35.440 39.440 35.760 39.760 ;
RECT 35.840 39.440 36.160 39.760 ;
RECT 36.240 39.440 36.560 39.760 ;
RECT 36.640 39.440 36.960 39.760 ;
RECT 37.040 39.440 37.360 39.760 ;
RECT 37.440 39.440 37.760 39.760 ;
RECT 37.840 39.440 38.160 39.760 ;
RECT 38.240 39.440 38.560 39.760 ;
RECT 38.640 39.440 38.960 39.760 ;
RECT 39.040 39.440 39.360 39.760 ;
RECT 39.440 39.440 39.760 39.760 ;
RECT 39.840 39.440 40.160 39.760 ;
RECT 40.240 39.440 40.560 39.760 ;
RECT 40.640 39.440 40.960 39.760 ;
RECT 41.040 39.440 41.360 39.760 ;
RECT 41.440 39.440 41.760 39.760 ;
RECT 41.840 39.440 42.160 39.760 ;
RECT 42.240 39.440 42.560 39.760 ;
RECT 42.640 39.440 42.960 39.760 ;
RECT 43.040 39.440 43.360 39.760 ;
RECT 43.440 39.440 43.760 39.760 ;
RECT 43.840 39.440 44.160 39.760 ;
RECT 44.240 39.440 44.560 39.760 ;
RECT 44.640 39.440 44.960 39.760 ;
RECT 70.560 39.440 70.880 39.760 ;
RECT 70.960 39.440 71.280 39.760 ;
RECT 71.360 39.440 71.680 39.760 ;
RECT 71.760 39.440 72.080 39.760 ;
RECT 120.560 39.440 120.880 39.760 ;
RECT 120.960 39.440 121.280 39.760 ;
RECT 121.360 39.440 121.680 39.760 ;
RECT 121.760 39.440 122.080 39.760 ;
RECT 181.880 39.440 182.200 39.760 ;
RECT 182.280 39.440 182.600 39.760 ;
RECT 182.680 39.440 183.000 39.760 ;
RECT 183.080 39.440 183.400 39.760 ;
RECT 183.480 39.440 183.800 39.760 ;
RECT 183.880 39.440 184.200 39.760 ;
RECT 184.280 39.440 184.600 39.760 ;
RECT 184.680 39.440 185.000 39.760 ;
RECT 185.080 39.440 185.400 39.760 ;
RECT 185.480 39.440 185.800 39.760 ;
RECT 185.880 39.440 186.200 39.760 ;
RECT 186.280 39.440 186.600 39.760 ;
RECT 186.680 39.440 187.000 39.760 ;
RECT 187.080 39.440 187.400 39.760 ;
RECT 187.480 39.440 187.800 39.760 ;
RECT 187.880 39.440 188.200 39.760 ;
RECT 188.280 39.440 188.600 39.760 ;
RECT 188.680 39.440 189.000 39.760 ;
RECT 189.080 39.440 189.400 39.760 ;
RECT 189.480 39.440 189.800 39.760 ;
RECT 189.880 39.440 190.200 39.760 ;
RECT 190.280 39.440 190.600 39.760 ;
RECT 190.680 39.440 191.000 39.760 ;
RECT 191.080 39.440 191.400 39.760 ;
RECT 191.480 39.440 191.800 39.760 ;
RECT 191.880 39.440 192.200 39.760 ;
RECT 192.280 39.440 192.600 39.760 ;
RECT 192.680 39.440 193.000 39.760 ;
RECT 193.080 39.440 193.400 39.760 ;
RECT 193.480 39.440 193.800 39.760 ;
RECT 193.880 39.440 194.200 39.760 ;
RECT 194.280 39.440 194.600 39.760 ;
RECT 194.680 39.440 195.000 39.760 ;
RECT 195.080 39.440 195.400 39.760 ;
RECT 195.480 39.440 195.800 39.760 ;
RECT 195.880 39.440 196.200 39.760 ;
RECT 196.280 39.440 196.600 39.760 ;
RECT 196.680 39.440 197.000 39.760 ;
RECT 197.080 39.440 197.400 39.760 ;
RECT 197.480 39.440 197.800 39.760 ;
RECT 197.880 39.440 198.200 39.760 ;
RECT 198.280 39.440 198.600 39.760 ;
RECT 198.680 39.440 199.000 39.760 ;
RECT 199.080 39.440 199.400 39.760 ;
RECT 199.480 39.440 199.800 39.760 ;
RECT 199.880 39.440 200.200 39.760 ;
RECT 200.280 39.440 200.600 39.760 ;
RECT 200.680 39.440 201.000 39.760 ;
RECT 201.080 39.440 201.400 39.760 ;
RECT 201.480 39.440 201.800 39.760 ;
RECT 25.040 39.040 25.360 39.360 ;
RECT 25.440 39.040 25.760 39.360 ;
RECT 25.840 39.040 26.160 39.360 ;
RECT 26.240 39.040 26.560 39.360 ;
RECT 26.640 39.040 26.960 39.360 ;
RECT 27.040 39.040 27.360 39.360 ;
RECT 27.440 39.040 27.760 39.360 ;
RECT 27.840 39.040 28.160 39.360 ;
RECT 28.240 39.040 28.560 39.360 ;
RECT 28.640 39.040 28.960 39.360 ;
RECT 29.040 39.040 29.360 39.360 ;
RECT 29.440 39.040 29.760 39.360 ;
RECT 29.840 39.040 30.160 39.360 ;
RECT 30.240 39.040 30.560 39.360 ;
RECT 30.640 39.040 30.960 39.360 ;
RECT 31.040 39.040 31.360 39.360 ;
RECT 31.440 39.040 31.760 39.360 ;
RECT 31.840 39.040 32.160 39.360 ;
RECT 32.240 39.040 32.560 39.360 ;
RECT 32.640 39.040 32.960 39.360 ;
RECT 33.040 39.040 33.360 39.360 ;
RECT 33.440 39.040 33.760 39.360 ;
RECT 33.840 39.040 34.160 39.360 ;
RECT 34.240 39.040 34.560 39.360 ;
RECT 34.640 39.040 34.960 39.360 ;
RECT 35.040 39.040 35.360 39.360 ;
RECT 35.440 39.040 35.760 39.360 ;
RECT 35.840 39.040 36.160 39.360 ;
RECT 36.240 39.040 36.560 39.360 ;
RECT 36.640 39.040 36.960 39.360 ;
RECT 37.040 39.040 37.360 39.360 ;
RECT 37.440 39.040 37.760 39.360 ;
RECT 37.840 39.040 38.160 39.360 ;
RECT 38.240 39.040 38.560 39.360 ;
RECT 38.640 39.040 38.960 39.360 ;
RECT 39.040 39.040 39.360 39.360 ;
RECT 39.440 39.040 39.760 39.360 ;
RECT 39.840 39.040 40.160 39.360 ;
RECT 40.240 39.040 40.560 39.360 ;
RECT 40.640 39.040 40.960 39.360 ;
RECT 41.040 39.040 41.360 39.360 ;
RECT 41.440 39.040 41.760 39.360 ;
RECT 41.840 39.040 42.160 39.360 ;
RECT 42.240 39.040 42.560 39.360 ;
RECT 42.640 39.040 42.960 39.360 ;
RECT 43.040 39.040 43.360 39.360 ;
RECT 43.440 39.040 43.760 39.360 ;
RECT 43.840 39.040 44.160 39.360 ;
RECT 44.240 39.040 44.560 39.360 ;
RECT 44.640 39.040 44.960 39.360 ;
RECT 70.560 39.040 70.880 39.360 ;
RECT 70.960 39.040 71.280 39.360 ;
RECT 71.360 39.040 71.680 39.360 ;
RECT 71.760 39.040 72.080 39.360 ;
RECT 120.560 39.040 120.880 39.360 ;
RECT 120.960 39.040 121.280 39.360 ;
RECT 121.360 39.040 121.680 39.360 ;
RECT 121.760 39.040 122.080 39.360 ;
RECT 181.880 39.040 182.200 39.360 ;
RECT 182.280 39.040 182.600 39.360 ;
RECT 182.680 39.040 183.000 39.360 ;
RECT 183.080 39.040 183.400 39.360 ;
RECT 183.480 39.040 183.800 39.360 ;
RECT 183.880 39.040 184.200 39.360 ;
RECT 184.280 39.040 184.600 39.360 ;
RECT 184.680 39.040 185.000 39.360 ;
RECT 185.080 39.040 185.400 39.360 ;
RECT 185.480 39.040 185.800 39.360 ;
RECT 185.880 39.040 186.200 39.360 ;
RECT 186.280 39.040 186.600 39.360 ;
RECT 186.680 39.040 187.000 39.360 ;
RECT 187.080 39.040 187.400 39.360 ;
RECT 187.480 39.040 187.800 39.360 ;
RECT 187.880 39.040 188.200 39.360 ;
RECT 188.280 39.040 188.600 39.360 ;
RECT 188.680 39.040 189.000 39.360 ;
RECT 189.080 39.040 189.400 39.360 ;
RECT 189.480 39.040 189.800 39.360 ;
RECT 189.880 39.040 190.200 39.360 ;
RECT 190.280 39.040 190.600 39.360 ;
RECT 190.680 39.040 191.000 39.360 ;
RECT 191.080 39.040 191.400 39.360 ;
RECT 191.480 39.040 191.800 39.360 ;
RECT 191.880 39.040 192.200 39.360 ;
RECT 192.280 39.040 192.600 39.360 ;
RECT 192.680 39.040 193.000 39.360 ;
RECT 193.080 39.040 193.400 39.360 ;
RECT 193.480 39.040 193.800 39.360 ;
RECT 193.880 39.040 194.200 39.360 ;
RECT 194.280 39.040 194.600 39.360 ;
RECT 194.680 39.040 195.000 39.360 ;
RECT 195.080 39.040 195.400 39.360 ;
RECT 195.480 39.040 195.800 39.360 ;
RECT 195.880 39.040 196.200 39.360 ;
RECT 196.280 39.040 196.600 39.360 ;
RECT 196.680 39.040 197.000 39.360 ;
RECT 197.080 39.040 197.400 39.360 ;
RECT 197.480 39.040 197.800 39.360 ;
RECT 197.880 39.040 198.200 39.360 ;
RECT 198.280 39.040 198.600 39.360 ;
RECT 198.680 39.040 199.000 39.360 ;
RECT 199.080 39.040 199.400 39.360 ;
RECT 199.480 39.040 199.800 39.360 ;
RECT 199.880 39.040 200.200 39.360 ;
RECT 200.280 39.040 200.600 39.360 ;
RECT 200.680 39.040 201.000 39.360 ;
RECT 201.080 39.040 201.400 39.360 ;
RECT 201.480 39.040 201.800 39.360 ;
RECT 25.040 38.640 25.360 38.960 ;
RECT 25.440 38.640 25.760 38.960 ;
RECT 25.840 38.640 26.160 38.960 ;
RECT 26.240 38.640 26.560 38.960 ;
RECT 26.640 38.640 26.960 38.960 ;
RECT 27.040 38.640 27.360 38.960 ;
RECT 27.440 38.640 27.760 38.960 ;
RECT 27.840 38.640 28.160 38.960 ;
RECT 28.240 38.640 28.560 38.960 ;
RECT 28.640 38.640 28.960 38.960 ;
RECT 29.040 38.640 29.360 38.960 ;
RECT 29.440 38.640 29.760 38.960 ;
RECT 29.840 38.640 30.160 38.960 ;
RECT 30.240 38.640 30.560 38.960 ;
RECT 30.640 38.640 30.960 38.960 ;
RECT 31.040 38.640 31.360 38.960 ;
RECT 31.440 38.640 31.760 38.960 ;
RECT 31.840 38.640 32.160 38.960 ;
RECT 32.240 38.640 32.560 38.960 ;
RECT 32.640 38.640 32.960 38.960 ;
RECT 33.040 38.640 33.360 38.960 ;
RECT 33.440 38.640 33.760 38.960 ;
RECT 33.840 38.640 34.160 38.960 ;
RECT 34.240 38.640 34.560 38.960 ;
RECT 34.640 38.640 34.960 38.960 ;
RECT 35.040 38.640 35.360 38.960 ;
RECT 35.440 38.640 35.760 38.960 ;
RECT 35.840 38.640 36.160 38.960 ;
RECT 36.240 38.640 36.560 38.960 ;
RECT 36.640 38.640 36.960 38.960 ;
RECT 37.040 38.640 37.360 38.960 ;
RECT 37.440 38.640 37.760 38.960 ;
RECT 37.840 38.640 38.160 38.960 ;
RECT 38.240 38.640 38.560 38.960 ;
RECT 38.640 38.640 38.960 38.960 ;
RECT 39.040 38.640 39.360 38.960 ;
RECT 39.440 38.640 39.760 38.960 ;
RECT 39.840 38.640 40.160 38.960 ;
RECT 40.240 38.640 40.560 38.960 ;
RECT 40.640 38.640 40.960 38.960 ;
RECT 41.040 38.640 41.360 38.960 ;
RECT 41.440 38.640 41.760 38.960 ;
RECT 41.840 38.640 42.160 38.960 ;
RECT 42.240 38.640 42.560 38.960 ;
RECT 42.640 38.640 42.960 38.960 ;
RECT 43.040 38.640 43.360 38.960 ;
RECT 43.440 38.640 43.760 38.960 ;
RECT 43.840 38.640 44.160 38.960 ;
RECT 44.240 38.640 44.560 38.960 ;
RECT 44.640 38.640 44.960 38.960 ;
RECT 70.560 38.640 70.880 38.960 ;
RECT 70.960 38.640 71.280 38.960 ;
RECT 71.360 38.640 71.680 38.960 ;
RECT 71.760 38.640 72.080 38.960 ;
RECT 120.560 38.640 120.880 38.960 ;
RECT 120.960 38.640 121.280 38.960 ;
RECT 121.360 38.640 121.680 38.960 ;
RECT 121.760 38.640 122.080 38.960 ;
RECT 181.880 38.640 182.200 38.960 ;
RECT 182.280 38.640 182.600 38.960 ;
RECT 182.680 38.640 183.000 38.960 ;
RECT 183.080 38.640 183.400 38.960 ;
RECT 183.480 38.640 183.800 38.960 ;
RECT 183.880 38.640 184.200 38.960 ;
RECT 184.280 38.640 184.600 38.960 ;
RECT 184.680 38.640 185.000 38.960 ;
RECT 185.080 38.640 185.400 38.960 ;
RECT 185.480 38.640 185.800 38.960 ;
RECT 185.880 38.640 186.200 38.960 ;
RECT 186.280 38.640 186.600 38.960 ;
RECT 186.680 38.640 187.000 38.960 ;
RECT 187.080 38.640 187.400 38.960 ;
RECT 187.480 38.640 187.800 38.960 ;
RECT 187.880 38.640 188.200 38.960 ;
RECT 188.280 38.640 188.600 38.960 ;
RECT 188.680 38.640 189.000 38.960 ;
RECT 189.080 38.640 189.400 38.960 ;
RECT 189.480 38.640 189.800 38.960 ;
RECT 189.880 38.640 190.200 38.960 ;
RECT 190.280 38.640 190.600 38.960 ;
RECT 190.680 38.640 191.000 38.960 ;
RECT 191.080 38.640 191.400 38.960 ;
RECT 191.480 38.640 191.800 38.960 ;
RECT 191.880 38.640 192.200 38.960 ;
RECT 192.280 38.640 192.600 38.960 ;
RECT 192.680 38.640 193.000 38.960 ;
RECT 193.080 38.640 193.400 38.960 ;
RECT 193.480 38.640 193.800 38.960 ;
RECT 193.880 38.640 194.200 38.960 ;
RECT 194.280 38.640 194.600 38.960 ;
RECT 194.680 38.640 195.000 38.960 ;
RECT 195.080 38.640 195.400 38.960 ;
RECT 195.480 38.640 195.800 38.960 ;
RECT 195.880 38.640 196.200 38.960 ;
RECT 196.280 38.640 196.600 38.960 ;
RECT 196.680 38.640 197.000 38.960 ;
RECT 197.080 38.640 197.400 38.960 ;
RECT 197.480 38.640 197.800 38.960 ;
RECT 197.880 38.640 198.200 38.960 ;
RECT 198.280 38.640 198.600 38.960 ;
RECT 198.680 38.640 199.000 38.960 ;
RECT 199.080 38.640 199.400 38.960 ;
RECT 199.480 38.640 199.800 38.960 ;
RECT 199.880 38.640 200.200 38.960 ;
RECT 200.280 38.640 200.600 38.960 ;
RECT 200.680 38.640 201.000 38.960 ;
RECT 201.080 38.640 201.400 38.960 ;
RECT 201.480 38.640 201.800 38.960 ;
RECT 25.040 38.240 25.360 38.560 ;
RECT 25.440 38.240 25.760 38.560 ;
RECT 25.840 38.240 26.160 38.560 ;
RECT 26.240 38.240 26.560 38.560 ;
RECT 26.640 38.240 26.960 38.560 ;
RECT 27.040 38.240 27.360 38.560 ;
RECT 27.440 38.240 27.760 38.560 ;
RECT 27.840 38.240 28.160 38.560 ;
RECT 28.240 38.240 28.560 38.560 ;
RECT 28.640 38.240 28.960 38.560 ;
RECT 29.040 38.240 29.360 38.560 ;
RECT 29.440 38.240 29.760 38.560 ;
RECT 29.840 38.240 30.160 38.560 ;
RECT 30.240 38.240 30.560 38.560 ;
RECT 30.640 38.240 30.960 38.560 ;
RECT 31.040 38.240 31.360 38.560 ;
RECT 31.440 38.240 31.760 38.560 ;
RECT 31.840 38.240 32.160 38.560 ;
RECT 32.240 38.240 32.560 38.560 ;
RECT 32.640 38.240 32.960 38.560 ;
RECT 33.040 38.240 33.360 38.560 ;
RECT 33.440 38.240 33.760 38.560 ;
RECT 33.840 38.240 34.160 38.560 ;
RECT 34.240 38.240 34.560 38.560 ;
RECT 34.640 38.240 34.960 38.560 ;
RECT 35.040 38.240 35.360 38.560 ;
RECT 35.440 38.240 35.760 38.560 ;
RECT 35.840 38.240 36.160 38.560 ;
RECT 36.240 38.240 36.560 38.560 ;
RECT 36.640 38.240 36.960 38.560 ;
RECT 37.040 38.240 37.360 38.560 ;
RECT 37.440 38.240 37.760 38.560 ;
RECT 37.840 38.240 38.160 38.560 ;
RECT 38.240 38.240 38.560 38.560 ;
RECT 38.640 38.240 38.960 38.560 ;
RECT 39.040 38.240 39.360 38.560 ;
RECT 39.440 38.240 39.760 38.560 ;
RECT 39.840 38.240 40.160 38.560 ;
RECT 40.240 38.240 40.560 38.560 ;
RECT 40.640 38.240 40.960 38.560 ;
RECT 41.040 38.240 41.360 38.560 ;
RECT 41.440 38.240 41.760 38.560 ;
RECT 41.840 38.240 42.160 38.560 ;
RECT 42.240 38.240 42.560 38.560 ;
RECT 42.640 38.240 42.960 38.560 ;
RECT 43.040 38.240 43.360 38.560 ;
RECT 43.440 38.240 43.760 38.560 ;
RECT 43.840 38.240 44.160 38.560 ;
RECT 44.240 38.240 44.560 38.560 ;
RECT 44.640 38.240 44.960 38.560 ;
RECT 70.560 38.240 70.880 38.560 ;
RECT 70.960 38.240 71.280 38.560 ;
RECT 71.360 38.240 71.680 38.560 ;
RECT 71.760 38.240 72.080 38.560 ;
RECT 120.560 38.240 120.880 38.560 ;
RECT 120.960 38.240 121.280 38.560 ;
RECT 121.360 38.240 121.680 38.560 ;
RECT 121.760 38.240 122.080 38.560 ;
RECT 181.880 38.240 182.200 38.560 ;
RECT 182.280 38.240 182.600 38.560 ;
RECT 182.680 38.240 183.000 38.560 ;
RECT 183.080 38.240 183.400 38.560 ;
RECT 183.480 38.240 183.800 38.560 ;
RECT 183.880 38.240 184.200 38.560 ;
RECT 184.280 38.240 184.600 38.560 ;
RECT 184.680 38.240 185.000 38.560 ;
RECT 185.080 38.240 185.400 38.560 ;
RECT 185.480 38.240 185.800 38.560 ;
RECT 185.880 38.240 186.200 38.560 ;
RECT 186.280 38.240 186.600 38.560 ;
RECT 186.680 38.240 187.000 38.560 ;
RECT 187.080 38.240 187.400 38.560 ;
RECT 187.480 38.240 187.800 38.560 ;
RECT 187.880 38.240 188.200 38.560 ;
RECT 188.280 38.240 188.600 38.560 ;
RECT 188.680 38.240 189.000 38.560 ;
RECT 189.080 38.240 189.400 38.560 ;
RECT 189.480 38.240 189.800 38.560 ;
RECT 189.880 38.240 190.200 38.560 ;
RECT 190.280 38.240 190.600 38.560 ;
RECT 190.680 38.240 191.000 38.560 ;
RECT 191.080 38.240 191.400 38.560 ;
RECT 191.480 38.240 191.800 38.560 ;
RECT 191.880 38.240 192.200 38.560 ;
RECT 192.280 38.240 192.600 38.560 ;
RECT 192.680 38.240 193.000 38.560 ;
RECT 193.080 38.240 193.400 38.560 ;
RECT 193.480 38.240 193.800 38.560 ;
RECT 193.880 38.240 194.200 38.560 ;
RECT 194.280 38.240 194.600 38.560 ;
RECT 194.680 38.240 195.000 38.560 ;
RECT 195.080 38.240 195.400 38.560 ;
RECT 195.480 38.240 195.800 38.560 ;
RECT 195.880 38.240 196.200 38.560 ;
RECT 196.280 38.240 196.600 38.560 ;
RECT 196.680 38.240 197.000 38.560 ;
RECT 197.080 38.240 197.400 38.560 ;
RECT 197.480 38.240 197.800 38.560 ;
RECT 197.880 38.240 198.200 38.560 ;
RECT 198.280 38.240 198.600 38.560 ;
RECT 198.680 38.240 199.000 38.560 ;
RECT 199.080 38.240 199.400 38.560 ;
RECT 199.480 38.240 199.800 38.560 ;
RECT 199.880 38.240 200.200 38.560 ;
RECT 200.280 38.240 200.600 38.560 ;
RECT 200.680 38.240 201.000 38.560 ;
RECT 201.080 38.240 201.400 38.560 ;
RECT 201.480 38.240 201.800 38.560 ;
RECT 25.040 37.840 25.360 38.160 ;
RECT 25.440 37.840 25.760 38.160 ;
RECT 25.840 37.840 26.160 38.160 ;
RECT 26.240 37.840 26.560 38.160 ;
RECT 26.640 37.840 26.960 38.160 ;
RECT 27.040 37.840 27.360 38.160 ;
RECT 27.440 37.840 27.760 38.160 ;
RECT 27.840 37.840 28.160 38.160 ;
RECT 28.240 37.840 28.560 38.160 ;
RECT 28.640 37.840 28.960 38.160 ;
RECT 29.040 37.840 29.360 38.160 ;
RECT 29.440 37.840 29.760 38.160 ;
RECT 29.840 37.840 30.160 38.160 ;
RECT 30.240 37.840 30.560 38.160 ;
RECT 30.640 37.840 30.960 38.160 ;
RECT 31.040 37.840 31.360 38.160 ;
RECT 31.440 37.840 31.760 38.160 ;
RECT 31.840 37.840 32.160 38.160 ;
RECT 32.240 37.840 32.560 38.160 ;
RECT 32.640 37.840 32.960 38.160 ;
RECT 33.040 37.840 33.360 38.160 ;
RECT 33.440 37.840 33.760 38.160 ;
RECT 33.840 37.840 34.160 38.160 ;
RECT 34.240 37.840 34.560 38.160 ;
RECT 34.640 37.840 34.960 38.160 ;
RECT 35.040 37.840 35.360 38.160 ;
RECT 35.440 37.840 35.760 38.160 ;
RECT 35.840 37.840 36.160 38.160 ;
RECT 36.240 37.840 36.560 38.160 ;
RECT 36.640 37.840 36.960 38.160 ;
RECT 37.040 37.840 37.360 38.160 ;
RECT 37.440 37.840 37.760 38.160 ;
RECT 37.840 37.840 38.160 38.160 ;
RECT 38.240 37.840 38.560 38.160 ;
RECT 38.640 37.840 38.960 38.160 ;
RECT 39.040 37.840 39.360 38.160 ;
RECT 39.440 37.840 39.760 38.160 ;
RECT 39.840 37.840 40.160 38.160 ;
RECT 40.240 37.840 40.560 38.160 ;
RECT 40.640 37.840 40.960 38.160 ;
RECT 41.040 37.840 41.360 38.160 ;
RECT 41.440 37.840 41.760 38.160 ;
RECT 41.840 37.840 42.160 38.160 ;
RECT 42.240 37.840 42.560 38.160 ;
RECT 42.640 37.840 42.960 38.160 ;
RECT 43.040 37.840 43.360 38.160 ;
RECT 43.440 37.840 43.760 38.160 ;
RECT 43.840 37.840 44.160 38.160 ;
RECT 44.240 37.840 44.560 38.160 ;
RECT 44.640 37.840 44.960 38.160 ;
RECT 70.560 37.840 70.880 38.160 ;
RECT 70.960 37.840 71.280 38.160 ;
RECT 71.360 37.840 71.680 38.160 ;
RECT 71.760 37.840 72.080 38.160 ;
RECT 120.560 37.840 120.880 38.160 ;
RECT 120.960 37.840 121.280 38.160 ;
RECT 121.360 37.840 121.680 38.160 ;
RECT 121.760 37.840 122.080 38.160 ;
RECT 181.880 37.840 182.200 38.160 ;
RECT 182.280 37.840 182.600 38.160 ;
RECT 182.680 37.840 183.000 38.160 ;
RECT 183.080 37.840 183.400 38.160 ;
RECT 183.480 37.840 183.800 38.160 ;
RECT 183.880 37.840 184.200 38.160 ;
RECT 184.280 37.840 184.600 38.160 ;
RECT 184.680 37.840 185.000 38.160 ;
RECT 185.080 37.840 185.400 38.160 ;
RECT 185.480 37.840 185.800 38.160 ;
RECT 185.880 37.840 186.200 38.160 ;
RECT 186.280 37.840 186.600 38.160 ;
RECT 186.680 37.840 187.000 38.160 ;
RECT 187.080 37.840 187.400 38.160 ;
RECT 187.480 37.840 187.800 38.160 ;
RECT 187.880 37.840 188.200 38.160 ;
RECT 188.280 37.840 188.600 38.160 ;
RECT 188.680 37.840 189.000 38.160 ;
RECT 189.080 37.840 189.400 38.160 ;
RECT 189.480 37.840 189.800 38.160 ;
RECT 189.880 37.840 190.200 38.160 ;
RECT 190.280 37.840 190.600 38.160 ;
RECT 190.680 37.840 191.000 38.160 ;
RECT 191.080 37.840 191.400 38.160 ;
RECT 191.480 37.840 191.800 38.160 ;
RECT 191.880 37.840 192.200 38.160 ;
RECT 192.280 37.840 192.600 38.160 ;
RECT 192.680 37.840 193.000 38.160 ;
RECT 193.080 37.840 193.400 38.160 ;
RECT 193.480 37.840 193.800 38.160 ;
RECT 193.880 37.840 194.200 38.160 ;
RECT 194.280 37.840 194.600 38.160 ;
RECT 194.680 37.840 195.000 38.160 ;
RECT 195.080 37.840 195.400 38.160 ;
RECT 195.480 37.840 195.800 38.160 ;
RECT 195.880 37.840 196.200 38.160 ;
RECT 196.280 37.840 196.600 38.160 ;
RECT 196.680 37.840 197.000 38.160 ;
RECT 197.080 37.840 197.400 38.160 ;
RECT 197.480 37.840 197.800 38.160 ;
RECT 197.880 37.840 198.200 38.160 ;
RECT 198.280 37.840 198.600 38.160 ;
RECT 198.680 37.840 199.000 38.160 ;
RECT 199.080 37.840 199.400 38.160 ;
RECT 199.480 37.840 199.800 38.160 ;
RECT 199.880 37.840 200.200 38.160 ;
RECT 200.280 37.840 200.600 38.160 ;
RECT 200.680 37.840 201.000 38.160 ;
RECT 201.080 37.840 201.400 38.160 ;
RECT 201.480 37.840 201.800 38.160 ;
RECT 25.040 37.440 25.360 37.760 ;
RECT 25.440 37.440 25.760 37.760 ;
RECT 25.840 37.440 26.160 37.760 ;
RECT 26.240 37.440 26.560 37.760 ;
RECT 26.640 37.440 26.960 37.760 ;
RECT 27.040 37.440 27.360 37.760 ;
RECT 27.440 37.440 27.760 37.760 ;
RECT 27.840 37.440 28.160 37.760 ;
RECT 28.240 37.440 28.560 37.760 ;
RECT 28.640 37.440 28.960 37.760 ;
RECT 29.040 37.440 29.360 37.760 ;
RECT 29.440 37.440 29.760 37.760 ;
RECT 29.840 37.440 30.160 37.760 ;
RECT 30.240 37.440 30.560 37.760 ;
RECT 30.640 37.440 30.960 37.760 ;
RECT 31.040 37.440 31.360 37.760 ;
RECT 31.440 37.440 31.760 37.760 ;
RECT 31.840 37.440 32.160 37.760 ;
RECT 32.240 37.440 32.560 37.760 ;
RECT 32.640 37.440 32.960 37.760 ;
RECT 33.040 37.440 33.360 37.760 ;
RECT 33.440 37.440 33.760 37.760 ;
RECT 33.840 37.440 34.160 37.760 ;
RECT 34.240 37.440 34.560 37.760 ;
RECT 34.640 37.440 34.960 37.760 ;
RECT 35.040 37.440 35.360 37.760 ;
RECT 35.440 37.440 35.760 37.760 ;
RECT 35.840 37.440 36.160 37.760 ;
RECT 36.240 37.440 36.560 37.760 ;
RECT 36.640 37.440 36.960 37.760 ;
RECT 37.040 37.440 37.360 37.760 ;
RECT 37.440 37.440 37.760 37.760 ;
RECT 37.840 37.440 38.160 37.760 ;
RECT 38.240 37.440 38.560 37.760 ;
RECT 38.640 37.440 38.960 37.760 ;
RECT 39.040 37.440 39.360 37.760 ;
RECT 39.440 37.440 39.760 37.760 ;
RECT 39.840 37.440 40.160 37.760 ;
RECT 40.240 37.440 40.560 37.760 ;
RECT 40.640 37.440 40.960 37.760 ;
RECT 41.040 37.440 41.360 37.760 ;
RECT 41.440 37.440 41.760 37.760 ;
RECT 41.840 37.440 42.160 37.760 ;
RECT 42.240 37.440 42.560 37.760 ;
RECT 42.640 37.440 42.960 37.760 ;
RECT 43.040 37.440 43.360 37.760 ;
RECT 43.440 37.440 43.760 37.760 ;
RECT 43.840 37.440 44.160 37.760 ;
RECT 44.240 37.440 44.560 37.760 ;
RECT 44.640 37.440 44.960 37.760 ;
RECT 70.560 37.440 70.880 37.760 ;
RECT 70.960 37.440 71.280 37.760 ;
RECT 71.360 37.440 71.680 37.760 ;
RECT 71.760 37.440 72.080 37.760 ;
RECT 120.560 37.440 120.880 37.760 ;
RECT 120.960 37.440 121.280 37.760 ;
RECT 121.360 37.440 121.680 37.760 ;
RECT 121.760 37.440 122.080 37.760 ;
RECT 181.880 37.440 182.200 37.760 ;
RECT 182.280 37.440 182.600 37.760 ;
RECT 182.680 37.440 183.000 37.760 ;
RECT 183.080 37.440 183.400 37.760 ;
RECT 183.480 37.440 183.800 37.760 ;
RECT 183.880 37.440 184.200 37.760 ;
RECT 184.280 37.440 184.600 37.760 ;
RECT 184.680 37.440 185.000 37.760 ;
RECT 185.080 37.440 185.400 37.760 ;
RECT 185.480 37.440 185.800 37.760 ;
RECT 185.880 37.440 186.200 37.760 ;
RECT 186.280 37.440 186.600 37.760 ;
RECT 186.680 37.440 187.000 37.760 ;
RECT 187.080 37.440 187.400 37.760 ;
RECT 187.480 37.440 187.800 37.760 ;
RECT 187.880 37.440 188.200 37.760 ;
RECT 188.280 37.440 188.600 37.760 ;
RECT 188.680 37.440 189.000 37.760 ;
RECT 189.080 37.440 189.400 37.760 ;
RECT 189.480 37.440 189.800 37.760 ;
RECT 189.880 37.440 190.200 37.760 ;
RECT 190.280 37.440 190.600 37.760 ;
RECT 190.680 37.440 191.000 37.760 ;
RECT 191.080 37.440 191.400 37.760 ;
RECT 191.480 37.440 191.800 37.760 ;
RECT 191.880 37.440 192.200 37.760 ;
RECT 192.280 37.440 192.600 37.760 ;
RECT 192.680 37.440 193.000 37.760 ;
RECT 193.080 37.440 193.400 37.760 ;
RECT 193.480 37.440 193.800 37.760 ;
RECT 193.880 37.440 194.200 37.760 ;
RECT 194.280 37.440 194.600 37.760 ;
RECT 194.680 37.440 195.000 37.760 ;
RECT 195.080 37.440 195.400 37.760 ;
RECT 195.480 37.440 195.800 37.760 ;
RECT 195.880 37.440 196.200 37.760 ;
RECT 196.280 37.440 196.600 37.760 ;
RECT 196.680 37.440 197.000 37.760 ;
RECT 197.080 37.440 197.400 37.760 ;
RECT 197.480 37.440 197.800 37.760 ;
RECT 197.880 37.440 198.200 37.760 ;
RECT 198.280 37.440 198.600 37.760 ;
RECT 198.680 37.440 199.000 37.760 ;
RECT 199.080 37.440 199.400 37.760 ;
RECT 199.480 37.440 199.800 37.760 ;
RECT 199.880 37.440 200.200 37.760 ;
RECT 200.280 37.440 200.600 37.760 ;
RECT 200.680 37.440 201.000 37.760 ;
RECT 201.080 37.440 201.400 37.760 ;
RECT 201.480 37.440 201.800 37.760 ;
RECT 25.040 37.040 25.360 37.360 ;
RECT 25.440 37.040 25.760 37.360 ;
RECT 25.840 37.040 26.160 37.360 ;
RECT 26.240 37.040 26.560 37.360 ;
RECT 26.640 37.040 26.960 37.360 ;
RECT 27.040 37.040 27.360 37.360 ;
RECT 27.440 37.040 27.760 37.360 ;
RECT 27.840 37.040 28.160 37.360 ;
RECT 28.240 37.040 28.560 37.360 ;
RECT 28.640 37.040 28.960 37.360 ;
RECT 29.040 37.040 29.360 37.360 ;
RECT 29.440 37.040 29.760 37.360 ;
RECT 29.840 37.040 30.160 37.360 ;
RECT 30.240 37.040 30.560 37.360 ;
RECT 30.640 37.040 30.960 37.360 ;
RECT 31.040 37.040 31.360 37.360 ;
RECT 31.440 37.040 31.760 37.360 ;
RECT 31.840 37.040 32.160 37.360 ;
RECT 32.240 37.040 32.560 37.360 ;
RECT 32.640 37.040 32.960 37.360 ;
RECT 33.040 37.040 33.360 37.360 ;
RECT 33.440 37.040 33.760 37.360 ;
RECT 33.840 37.040 34.160 37.360 ;
RECT 34.240 37.040 34.560 37.360 ;
RECT 34.640 37.040 34.960 37.360 ;
RECT 35.040 37.040 35.360 37.360 ;
RECT 35.440 37.040 35.760 37.360 ;
RECT 35.840 37.040 36.160 37.360 ;
RECT 36.240 37.040 36.560 37.360 ;
RECT 36.640 37.040 36.960 37.360 ;
RECT 37.040 37.040 37.360 37.360 ;
RECT 37.440 37.040 37.760 37.360 ;
RECT 37.840 37.040 38.160 37.360 ;
RECT 38.240 37.040 38.560 37.360 ;
RECT 38.640 37.040 38.960 37.360 ;
RECT 39.040 37.040 39.360 37.360 ;
RECT 39.440 37.040 39.760 37.360 ;
RECT 39.840 37.040 40.160 37.360 ;
RECT 40.240 37.040 40.560 37.360 ;
RECT 40.640 37.040 40.960 37.360 ;
RECT 41.040 37.040 41.360 37.360 ;
RECT 41.440 37.040 41.760 37.360 ;
RECT 41.840 37.040 42.160 37.360 ;
RECT 42.240 37.040 42.560 37.360 ;
RECT 42.640 37.040 42.960 37.360 ;
RECT 43.040 37.040 43.360 37.360 ;
RECT 43.440 37.040 43.760 37.360 ;
RECT 43.840 37.040 44.160 37.360 ;
RECT 44.240 37.040 44.560 37.360 ;
RECT 44.640 37.040 44.960 37.360 ;
RECT 70.560 37.040 70.880 37.360 ;
RECT 70.960 37.040 71.280 37.360 ;
RECT 71.360 37.040 71.680 37.360 ;
RECT 71.760 37.040 72.080 37.360 ;
RECT 120.560 37.040 120.880 37.360 ;
RECT 120.960 37.040 121.280 37.360 ;
RECT 121.360 37.040 121.680 37.360 ;
RECT 121.760 37.040 122.080 37.360 ;
RECT 181.880 37.040 182.200 37.360 ;
RECT 182.280 37.040 182.600 37.360 ;
RECT 182.680 37.040 183.000 37.360 ;
RECT 183.080 37.040 183.400 37.360 ;
RECT 183.480 37.040 183.800 37.360 ;
RECT 183.880 37.040 184.200 37.360 ;
RECT 184.280 37.040 184.600 37.360 ;
RECT 184.680 37.040 185.000 37.360 ;
RECT 185.080 37.040 185.400 37.360 ;
RECT 185.480 37.040 185.800 37.360 ;
RECT 185.880 37.040 186.200 37.360 ;
RECT 186.280 37.040 186.600 37.360 ;
RECT 186.680 37.040 187.000 37.360 ;
RECT 187.080 37.040 187.400 37.360 ;
RECT 187.480 37.040 187.800 37.360 ;
RECT 187.880 37.040 188.200 37.360 ;
RECT 188.280 37.040 188.600 37.360 ;
RECT 188.680 37.040 189.000 37.360 ;
RECT 189.080 37.040 189.400 37.360 ;
RECT 189.480 37.040 189.800 37.360 ;
RECT 189.880 37.040 190.200 37.360 ;
RECT 190.280 37.040 190.600 37.360 ;
RECT 190.680 37.040 191.000 37.360 ;
RECT 191.080 37.040 191.400 37.360 ;
RECT 191.480 37.040 191.800 37.360 ;
RECT 191.880 37.040 192.200 37.360 ;
RECT 192.280 37.040 192.600 37.360 ;
RECT 192.680 37.040 193.000 37.360 ;
RECT 193.080 37.040 193.400 37.360 ;
RECT 193.480 37.040 193.800 37.360 ;
RECT 193.880 37.040 194.200 37.360 ;
RECT 194.280 37.040 194.600 37.360 ;
RECT 194.680 37.040 195.000 37.360 ;
RECT 195.080 37.040 195.400 37.360 ;
RECT 195.480 37.040 195.800 37.360 ;
RECT 195.880 37.040 196.200 37.360 ;
RECT 196.280 37.040 196.600 37.360 ;
RECT 196.680 37.040 197.000 37.360 ;
RECT 197.080 37.040 197.400 37.360 ;
RECT 197.480 37.040 197.800 37.360 ;
RECT 197.880 37.040 198.200 37.360 ;
RECT 198.280 37.040 198.600 37.360 ;
RECT 198.680 37.040 199.000 37.360 ;
RECT 199.080 37.040 199.400 37.360 ;
RECT 199.480 37.040 199.800 37.360 ;
RECT 199.880 37.040 200.200 37.360 ;
RECT 200.280 37.040 200.600 37.360 ;
RECT 200.680 37.040 201.000 37.360 ;
RECT 201.080 37.040 201.400 37.360 ;
RECT 201.480 37.040 201.800 37.360 ;
RECT 25.040 36.640 25.360 36.960 ;
RECT 25.440 36.640 25.760 36.960 ;
RECT 25.840 36.640 26.160 36.960 ;
RECT 26.240 36.640 26.560 36.960 ;
RECT 26.640 36.640 26.960 36.960 ;
RECT 27.040 36.640 27.360 36.960 ;
RECT 27.440 36.640 27.760 36.960 ;
RECT 27.840 36.640 28.160 36.960 ;
RECT 28.240 36.640 28.560 36.960 ;
RECT 28.640 36.640 28.960 36.960 ;
RECT 29.040 36.640 29.360 36.960 ;
RECT 29.440 36.640 29.760 36.960 ;
RECT 29.840 36.640 30.160 36.960 ;
RECT 30.240 36.640 30.560 36.960 ;
RECT 30.640 36.640 30.960 36.960 ;
RECT 31.040 36.640 31.360 36.960 ;
RECT 31.440 36.640 31.760 36.960 ;
RECT 31.840 36.640 32.160 36.960 ;
RECT 32.240 36.640 32.560 36.960 ;
RECT 32.640 36.640 32.960 36.960 ;
RECT 33.040 36.640 33.360 36.960 ;
RECT 33.440 36.640 33.760 36.960 ;
RECT 33.840 36.640 34.160 36.960 ;
RECT 34.240 36.640 34.560 36.960 ;
RECT 34.640 36.640 34.960 36.960 ;
RECT 35.040 36.640 35.360 36.960 ;
RECT 35.440 36.640 35.760 36.960 ;
RECT 35.840 36.640 36.160 36.960 ;
RECT 36.240 36.640 36.560 36.960 ;
RECT 36.640 36.640 36.960 36.960 ;
RECT 37.040 36.640 37.360 36.960 ;
RECT 37.440 36.640 37.760 36.960 ;
RECT 37.840 36.640 38.160 36.960 ;
RECT 38.240 36.640 38.560 36.960 ;
RECT 38.640 36.640 38.960 36.960 ;
RECT 39.040 36.640 39.360 36.960 ;
RECT 39.440 36.640 39.760 36.960 ;
RECT 39.840 36.640 40.160 36.960 ;
RECT 40.240 36.640 40.560 36.960 ;
RECT 40.640 36.640 40.960 36.960 ;
RECT 41.040 36.640 41.360 36.960 ;
RECT 41.440 36.640 41.760 36.960 ;
RECT 41.840 36.640 42.160 36.960 ;
RECT 42.240 36.640 42.560 36.960 ;
RECT 42.640 36.640 42.960 36.960 ;
RECT 43.040 36.640 43.360 36.960 ;
RECT 43.440 36.640 43.760 36.960 ;
RECT 43.840 36.640 44.160 36.960 ;
RECT 44.240 36.640 44.560 36.960 ;
RECT 44.640 36.640 44.960 36.960 ;
RECT 70.560 36.640 70.880 36.960 ;
RECT 70.960 36.640 71.280 36.960 ;
RECT 71.360 36.640 71.680 36.960 ;
RECT 71.760 36.640 72.080 36.960 ;
RECT 120.560 36.640 120.880 36.960 ;
RECT 120.960 36.640 121.280 36.960 ;
RECT 121.360 36.640 121.680 36.960 ;
RECT 121.760 36.640 122.080 36.960 ;
RECT 181.880 36.640 182.200 36.960 ;
RECT 182.280 36.640 182.600 36.960 ;
RECT 182.680 36.640 183.000 36.960 ;
RECT 183.080 36.640 183.400 36.960 ;
RECT 183.480 36.640 183.800 36.960 ;
RECT 183.880 36.640 184.200 36.960 ;
RECT 184.280 36.640 184.600 36.960 ;
RECT 184.680 36.640 185.000 36.960 ;
RECT 185.080 36.640 185.400 36.960 ;
RECT 185.480 36.640 185.800 36.960 ;
RECT 185.880 36.640 186.200 36.960 ;
RECT 186.280 36.640 186.600 36.960 ;
RECT 186.680 36.640 187.000 36.960 ;
RECT 187.080 36.640 187.400 36.960 ;
RECT 187.480 36.640 187.800 36.960 ;
RECT 187.880 36.640 188.200 36.960 ;
RECT 188.280 36.640 188.600 36.960 ;
RECT 188.680 36.640 189.000 36.960 ;
RECT 189.080 36.640 189.400 36.960 ;
RECT 189.480 36.640 189.800 36.960 ;
RECT 189.880 36.640 190.200 36.960 ;
RECT 190.280 36.640 190.600 36.960 ;
RECT 190.680 36.640 191.000 36.960 ;
RECT 191.080 36.640 191.400 36.960 ;
RECT 191.480 36.640 191.800 36.960 ;
RECT 191.880 36.640 192.200 36.960 ;
RECT 192.280 36.640 192.600 36.960 ;
RECT 192.680 36.640 193.000 36.960 ;
RECT 193.080 36.640 193.400 36.960 ;
RECT 193.480 36.640 193.800 36.960 ;
RECT 193.880 36.640 194.200 36.960 ;
RECT 194.280 36.640 194.600 36.960 ;
RECT 194.680 36.640 195.000 36.960 ;
RECT 195.080 36.640 195.400 36.960 ;
RECT 195.480 36.640 195.800 36.960 ;
RECT 195.880 36.640 196.200 36.960 ;
RECT 196.280 36.640 196.600 36.960 ;
RECT 196.680 36.640 197.000 36.960 ;
RECT 197.080 36.640 197.400 36.960 ;
RECT 197.480 36.640 197.800 36.960 ;
RECT 197.880 36.640 198.200 36.960 ;
RECT 198.280 36.640 198.600 36.960 ;
RECT 198.680 36.640 199.000 36.960 ;
RECT 199.080 36.640 199.400 36.960 ;
RECT 199.480 36.640 199.800 36.960 ;
RECT 199.880 36.640 200.200 36.960 ;
RECT 200.280 36.640 200.600 36.960 ;
RECT 200.680 36.640 201.000 36.960 ;
RECT 201.080 36.640 201.400 36.960 ;
RECT 201.480 36.640 201.800 36.960 ;
RECT 25.040 36.240 25.360 36.560 ;
RECT 25.440 36.240 25.760 36.560 ;
RECT 25.840 36.240 26.160 36.560 ;
RECT 26.240 36.240 26.560 36.560 ;
RECT 26.640 36.240 26.960 36.560 ;
RECT 27.040 36.240 27.360 36.560 ;
RECT 27.440 36.240 27.760 36.560 ;
RECT 27.840 36.240 28.160 36.560 ;
RECT 28.240 36.240 28.560 36.560 ;
RECT 28.640 36.240 28.960 36.560 ;
RECT 29.040 36.240 29.360 36.560 ;
RECT 29.440 36.240 29.760 36.560 ;
RECT 29.840 36.240 30.160 36.560 ;
RECT 30.240 36.240 30.560 36.560 ;
RECT 30.640 36.240 30.960 36.560 ;
RECT 31.040 36.240 31.360 36.560 ;
RECT 31.440 36.240 31.760 36.560 ;
RECT 31.840 36.240 32.160 36.560 ;
RECT 32.240 36.240 32.560 36.560 ;
RECT 32.640 36.240 32.960 36.560 ;
RECT 33.040 36.240 33.360 36.560 ;
RECT 33.440 36.240 33.760 36.560 ;
RECT 33.840 36.240 34.160 36.560 ;
RECT 34.240 36.240 34.560 36.560 ;
RECT 34.640 36.240 34.960 36.560 ;
RECT 35.040 36.240 35.360 36.560 ;
RECT 35.440 36.240 35.760 36.560 ;
RECT 35.840 36.240 36.160 36.560 ;
RECT 36.240 36.240 36.560 36.560 ;
RECT 36.640 36.240 36.960 36.560 ;
RECT 37.040 36.240 37.360 36.560 ;
RECT 37.440 36.240 37.760 36.560 ;
RECT 37.840 36.240 38.160 36.560 ;
RECT 38.240 36.240 38.560 36.560 ;
RECT 38.640 36.240 38.960 36.560 ;
RECT 39.040 36.240 39.360 36.560 ;
RECT 39.440 36.240 39.760 36.560 ;
RECT 39.840 36.240 40.160 36.560 ;
RECT 40.240 36.240 40.560 36.560 ;
RECT 40.640 36.240 40.960 36.560 ;
RECT 41.040 36.240 41.360 36.560 ;
RECT 41.440 36.240 41.760 36.560 ;
RECT 41.840 36.240 42.160 36.560 ;
RECT 42.240 36.240 42.560 36.560 ;
RECT 42.640 36.240 42.960 36.560 ;
RECT 43.040 36.240 43.360 36.560 ;
RECT 43.440 36.240 43.760 36.560 ;
RECT 43.840 36.240 44.160 36.560 ;
RECT 44.240 36.240 44.560 36.560 ;
RECT 44.640 36.240 44.960 36.560 ;
RECT 70.560 36.240 70.880 36.560 ;
RECT 70.960 36.240 71.280 36.560 ;
RECT 71.360 36.240 71.680 36.560 ;
RECT 71.760 36.240 72.080 36.560 ;
RECT 120.560 36.240 120.880 36.560 ;
RECT 120.960 36.240 121.280 36.560 ;
RECT 121.360 36.240 121.680 36.560 ;
RECT 121.760 36.240 122.080 36.560 ;
RECT 181.880 36.240 182.200 36.560 ;
RECT 182.280 36.240 182.600 36.560 ;
RECT 182.680 36.240 183.000 36.560 ;
RECT 183.080 36.240 183.400 36.560 ;
RECT 183.480 36.240 183.800 36.560 ;
RECT 183.880 36.240 184.200 36.560 ;
RECT 184.280 36.240 184.600 36.560 ;
RECT 184.680 36.240 185.000 36.560 ;
RECT 185.080 36.240 185.400 36.560 ;
RECT 185.480 36.240 185.800 36.560 ;
RECT 185.880 36.240 186.200 36.560 ;
RECT 186.280 36.240 186.600 36.560 ;
RECT 186.680 36.240 187.000 36.560 ;
RECT 187.080 36.240 187.400 36.560 ;
RECT 187.480 36.240 187.800 36.560 ;
RECT 187.880 36.240 188.200 36.560 ;
RECT 188.280 36.240 188.600 36.560 ;
RECT 188.680 36.240 189.000 36.560 ;
RECT 189.080 36.240 189.400 36.560 ;
RECT 189.480 36.240 189.800 36.560 ;
RECT 189.880 36.240 190.200 36.560 ;
RECT 190.280 36.240 190.600 36.560 ;
RECT 190.680 36.240 191.000 36.560 ;
RECT 191.080 36.240 191.400 36.560 ;
RECT 191.480 36.240 191.800 36.560 ;
RECT 191.880 36.240 192.200 36.560 ;
RECT 192.280 36.240 192.600 36.560 ;
RECT 192.680 36.240 193.000 36.560 ;
RECT 193.080 36.240 193.400 36.560 ;
RECT 193.480 36.240 193.800 36.560 ;
RECT 193.880 36.240 194.200 36.560 ;
RECT 194.280 36.240 194.600 36.560 ;
RECT 194.680 36.240 195.000 36.560 ;
RECT 195.080 36.240 195.400 36.560 ;
RECT 195.480 36.240 195.800 36.560 ;
RECT 195.880 36.240 196.200 36.560 ;
RECT 196.280 36.240 196.600 36.560 ;
RECT 196.680 36.240 197.000 36.560 ;
RECT 197.080 36.240 197.400 36.560 ;
RECT 197.480 36.240 197.800 36.560 ;
RECT 197.880 36.240 198.200 36.560 ;
RECT 198.280 36.240 198.600 36.560 ;
RECT 198.680 36.240 199.000 36.560 ;
RECT 199.080 36.240 199.400 36.560 ;
RECT 199.480 36.240 199.800 36.560 ;
RECT 199.880 36.240 200.200 36.560 ;
RECT 200.280 36.240 200.600 36.560 ;
RECT 200.680 36.240 201.000 36.560 ;
RECT 201.080 36.240 201.400 36.560 ;
RECT 201.480 36.240 201.800 36.560 ;
RECT 25.040 35.840 25.360 36.160 ;
RECT 25.440 35.840 25.760 36.160 ;
RECT 25.840 35.840 26.160 36.160 ;
RECT 26.240 35.840 26.560 36.160 ;
RECT 26.640 35.840 26.960 36.160 ;
RECT 27.040 35.840 27.360 36.160 ;
RECT 27.440 35.840 27.760 36.160 ;
RECT 27.840 35.840 28.160 36.160 ;
RECT 28.240 35.840 28.560 36.160 ;
RECT 28.640 35.840 28.960 36.160 ;
RECT 29.040 35.840 29.360 36.160 ;
RECT 29.440 35.840 29.760 36.160 ;
RECT 29.840 35.840 30.160 36.160 ;
RECT 30.240 35.840 30.560 36.160 ;
RECT 30.640 35.840 30.960 36.160 ;
RECT 31.040 35.840 31.360 36.160 ;
RECT 31.440 35.840 31.760 36.160 ;
RECT 31.840 35.840 32.160 36.160 ;
RECT 32.240 35.840 32.560 36.160 ;
RECT 32.640 35.840 32.960 36.160 ;
RECT 33.040 35.840 33.360 36.160 ;
RECT 33.440 35.840 33.760 36.160 ;
RECT 33.840 35.840 34.160 36.160 ;
RECT 34.240 35.840 34.560 36.160 ;
RECT 34.640 35.840 34.960 36.160 ;
RECT 35.040 35.840 35.360 36.160 ;
RECT 35.440 35.840 35.760 36.160 ;
RECT 35.840 35.840 36.160 36.160 ;
RECT 36.240 35.840 36.560 36.160 ;
RECT 36.640 35.840 36.960 36.160 ;
RECT 37.040 35.840 37.360 36.160 ;
RECT 37.440 35.840 37.760 36.160 ;
RECT 37.840 35.840 38.160 36.160 ;
RECT 38.240 35.840 38.560 36.160 ;
RECT 38.640 35.840 38.960 36.160 ;
RECT 39.040 35.840 39.360 36.160 ;
RECT 39.440 35.840 39.760 36.160 ;
RECT 39.840 35.840 40.160 36.160 ;
RECT 40.240 35.840 40.560 36.160 ;
RECT 40.640 35.840 40.960 36.160 ;
RECT 41.040 35.840 41.360 36.160 ;
RECT 41.440 35.840 41.760 36.160 ;
RECT 41.840 35.840 42.160 36.160 ;
RECT 42.240 35.840 42.560 36.160 ;
RECT 42.640 35.840 42.960 36.160 ;
RECT 43.040 35.840 43.360 36.160 ;
RECT 43.440 35.840 43.760 36.160 ;
RECT 43.840 35.840 44.160 36.160 ;
RECT 44.240 35.840 44.560 36.160 ;
RECT 44.640 35.840 44.960 36.160 ;
RECT 70.560 35.840 70.880 36.160 ;
RECT 70.960 35.840 71.280 36.160 ;
RECT 71.360 35.840 71.680 36.160 ;
RECT 71.760 35.840 72.080 36.160 ;
RECT 120.560 35.840 120.880 36.160 ;
RECT 120.960 35.840 121.280 36.160 ;
RECT 121.360 35.840 121.680 36.160 ;
RECT 121.760 35.840 122.080 36.160 ;
RECT 181.880 35.840 182.200 36.160 ;
RECT 182.280 35.840 182.600 36.160 ;
RECT 182.680 35.840 183.000 36.160 ;
RECT 183.080 35.840 183.400 36.160 ;
RECT 183.480 35.840 183.800 36.160 ;
RECT 183.880 35.840 184.200 36.160 ;
RECT 184.280 35.840 184.600 36.160 ;
RECT 184.680 35.840 185.000 36.160 ;
RECT 185.080 35.840 185.400 36.160 ;
RECT 185.480 35.840 185.800 36.160 ;
RECT 185.880 35.840 186.200 36.160 ;
RECT 186.280 35.840 186.600 36.160 ;
RECT 186.680 35.840 187.000 36.160 ;
RECT 187.080 35.840 187.400 36.160 ;
RECT 187.480 35.840 187.800 36.160 ;
RECT 187.880 35.840 188.200 36.160 ;
RECT 188.280 35.840 188.600 36.160 ;
RECT 188.680 35.840 189.000 36.160 ;
RECT 189.080 35.840 189.400 36.160 ;
RECT 189.480 35.840 189.800 36.160 ;
RECT 189.880 35.840 190.200 36.160 ;
RECT 190.280 35.840 190.600 36.160 ;
RECT 190.680 35.840 191.000 36.160 ;
RECT 191.080 35.840 191.400 36.160 ;
RECT 191.480 35.840 191.800 36.160 ;
RECT 191.880 35.840 192.200 36.160 ;
RECT 192.280 35.840 192.600 36.160 ;
RECT 192.680 35.840 193.000 36.160 ;
RECT 193.080 35.840 193.400 36.160 ;
RECT 193.480 35.840 193.800 36.160 ;
RECT 193.880 35.840 194.200 36.160 ;
RECT 194.280 35.840 194.600 36.160 ;
RECT 194.680 35.840 195.000 36.160 ;
RECT 195.080 35.840 195.400 36.160 ;
RECT 195.480 35.840 195.800 36.160 ;
RECT 195.880 35.840 196.200 36.160 ;
RECT 196.280 35.840 196.600 36.160 ;
RECT 196.680 35.840 197.000 36.160 ;
RECT 197.080 35.840 197.400 36.160 ;
RECT 197.480 35.840 197.800 36.160 ;
RECT 197.880 35.840 198.200 36.160 ;
RECT 198.280 35.840 198.600 36.160 ;
RECT 198.680 35.840 199.000 36.160 ;
RECT 199.080 35.840 199.400 36.160 ;
RECT 199.480 35.840 199.800 36.160 ;
RECT 199.880 35.840 200.200 36.160 ;
RECT 200.280 35.840 200.600 36.160 ;
RECT 200.680 35.840 201.000 36.160 ;
RECT 201.080 35.840 201.400 36.160 ;
RECT 201.480 35.840 201.800 36.160 ;
RECT 25.040 35.440 25.360 35.760 ;
RECT 25.440 35.440 25.760 35.760 ;
RECT 25.840 35.440 26.160 35.760 ;
RECT 26.240 35.440 26.560 35.760 ;
RECT 26.640 35.440 26.960 35.760 ;
RECT 27.040 35.440 27.360 35.760 ;
RECT 27.440 35.440 27.760 35.760 ;
RECT 27.840 35.440 28.160 35.760 ;
RECT 28.240 35.440 28.560 35.760 ;
RECT 28.640 35.440 28.960 35.760 ;
RECT 29.040 35.440 29.360 35.760 ;
RECT 29.440 35.440 29.760 35.760 ;
RECT 29.840 35.440 30.160 35.760 ;
RECT 30.240 35.440 30.560 35.760 ;
RECT 30.640 35.440 30.960 35.760 ;
RECT 31.040 35.440 31.360 35.760 ;
RECT 31.440 35.440 31.760 35.760 ;
RECT 31.840 35.440 32.160 35.760 ;
RECT 32.240 35.440 32.560 35.760 ;
RECT 32.640 35.440 32.960 35.760 ;
RECT 33.040 35.440 33.360 35.760 ;
RECT 33.440 35.440 33.760 35.760 ;
RECT 33.840 35.440 34.160 35.760 ;
RECT 34.240 35.440 34.560 35.760 ;
RECT 34.640 35.440 34.960 35.760 ;
RECT 35.040 35.440 35.360 35.760 ;
RECT 35.440 35.440 35.760 35.760 ;
RECT 35.840 35.440 36.160 35.760 ;
RECT 36.240 35.440 36.560 35.760 ;
RECT 36.640 35.440 36.960 35.760 ;
RECT 37.040 35.440 37.360 35.760 ;
RECT 37.440 35.440 37.760 35.760 ;
RECT 37.840 35.440 38.160 35.760 ;
RECT 38.240 35.440 38.560 35.760 ;
RECT 38.640 35.440 38.960 35.760 ;
RECT 39.040 35.440 39.360 35.760 ;
RECT 39.440 35.440 39.760 35.760 ;
RECT 39.840 35.440 40.160 35.760 ;
RECT 40.240 35.440 40.560 35.760 ;
RECT 40.640 35.440 40.960 35.760 ;
RECT 41.040 35.440 41.360 35.760 ;
RECT 41.440 35.440 41.760 35.760 ;
RECT 41.840 35.440 42.160 35.760 ;
RECT 42.240 35.440 42.560 35.760 ;
RECT 42.640 35.440 42.960 35.760 ;
RECT 43.040 35.440 43.360 35.760 ;
RECT 43.440 35.440 43.760 35.760 ;
RECT 43.840 35.440 44.160 35.760 ;
RECT 44.240 35.440 44.560 35.760 ;
RECT 44.640 35.440 44.960 35.760 ;
RECT 70.560 35.440 70.880 35.760 ;
RECT 70.960 35.440 71.280 35.760 ;
RECT 71.360 35.440 71.680 35.760 ;
RECT 71.760 35.440 72.080 35.760 ;
RECT 120.560 35.440 120.880 35.760 ;
RECT 120.960 35.440 121.280 35.760 ;
RECT 121.360 35.440 121.680 35.760 ;
RECT 121.760 35.440 122.080 35.760 ;
RECT 181.880 35.440 182.200 35.760 ;
RECT 182.280 35.440 182.600 35.760 ;
RECT 182.680 35.440 183.000 35.760 ;
RECT 183.080 35.440 183.400 35.760 ;
RECT 183.480 35.440 183.800 35.760 ;
RECT 183.880 35.440 184.200 35.760 ;
RECT 184.280 35.440 184.600 35.760 ;
RECT 184.680 35.440 185.000 35.760 ;
RECT 185.080 35.440 185.400 35.760 ;
RECT 185.480 35.440 185.800 35.760 ;
RECT 185.880 35.440 186.200 35.760 ;
RECT 186.280 35.440 186.600 35.760 ;
RECT 186.680 35.440 187.000 35.760 ;
RECT 187.080 35.440 187.400 35.760 ;
RECT 187.480 35.440 187.800 35.760 ;
RECT 187.880 35.440 188.200 35.760 ;
RECT 188.280 35.440 188.600 35.760 ;
RECT 188.680 35.440 189.000 35.760 ;
RECT 189.080 35.440 189.400 35.760 ;
RECT 189.480 35.440 189.800 35.760 ;
RECT 189.880 35.440 190.200 35.760 ;
RECT 190.280 35.440 190.600 35.760 ;
RECT 190.680 35.440 191.000 35.760 ;
RECT 191.080 35.440 191.400 35.760 ;
RECT 191.480 35.440 191.800 35.760 ;
RECT 191.880 35.440 192.200 35.760 ;
RECT 192.280 35.440 192.600 35.760 ;
RECT 192.680 35.440 193.000 35.760 ;
RECT 193.080 35.440 193.400 35.760 ;
RECT 193.480 35.440 193.800 35.760 ;
RECT 193.880 35.440 194.200 35.760 ;
RECT 194.280 35.440 194.600 35.760 ;
RECT 194.680 35.440 195.000 35.760 ;
RECT 195.080 35.440 195.400 35.760 ;
RECT 195.480 35.440 195.800 35.760 ;
RECT 195.880 35.440 196.200 35.760 ;
RECT 196.280 35.440 196.600 35.760 ;
RECT 196.680 35.440 197.000 35.760 ;
RECT 197.080 35.440 197.400 35.760 ;
RECT 197.480 35.440 197.800 35.760 ;
RECT 197.880 35.440 198.200 35.760 ;
RECT 198.280 35.440 198.600 35.760 ;
RECT 198.680 35.440 199.000 35.760 ;
RECT 199.080 35.440 199.400 35.760 ;
RECT 199.480 35.440 199.800 35.760 ;
RECT 199.880 35.440 200.200 35.760 ;
RECT 200.280 35.440 200.600 35.760 ;
RECT 200.680 35.440 201.000 35.760 ;
RECT 201.080 35.440 201.400 35.760 ;
RECT 201.480 35.440 201.800 35.760 ;
RECT 25.040 35.040 25.360 35.360 ;
RECT 25.440 35.040 25.760 35.360 ;
RECT 25.840 35.040 26.160 35.360 ;
RECT 26.240 35.040 26.560 35.360 ;
RECT 26.640 35.040 26.960 35.360 ;
RECT 27.040 35.040 27.360 35.360 ;
RECT 27.440 35.040 27.760 35.360 ;
RECT 27.840 35.040 28.160 35.360 ;
RECT 28.240 35.040 28.560 35.360 ;
RECT 28.640 35.040 28.960 35.360 ;
RECT 29.040 35.040 29.360 35.360 ;
RECT 29.440 35.040 29.760 35.360 ;
RECT 29.840 35.040 30.160 35.360 ;
RECT 30.240 35.040 30.560 35.360 ;
RECT 30.640 35.040 30.960 35.360 ;
RECT 31.040 35.040 31.360 35.360 ;
RECT 31.440 35.040 31.760 35.360 ;
RECT 31.840 35.040 32.160 35.360 ;
RECT 32.240 35.040 32.560 35.360 ;
RECT 32.640 35.040 32.960 35.360 ;
RECT 33.040 35.040 33.360 35.360 ;
RECT 33.440 35.040 33.760 35.360 ;
RECT 33.840 35.040 34.160 35.360 ;
RECT 34.240 35.040 34.560 35.360 ;
RECT 34.640 35.040 34.960 35.360 ;
RECT 35.040 35.040 35.360 35.360 ;
RECT 35.440 35.040 35.760 35.360 ;
RECT 35.840 35.040 36.160 35.360 ;
RECT 36.240 35.040 36.560 35.360 ;
RECT 36.640 35.040 36.960 35.360 ;
RECT 37.040 35.040 37.360 35.360 ;
RECT 37.440 35.040 37.760 35.360 ;
RECT 37.840 35.040 38.160 35.360 ;
RECT 38.240 35.040 38.560 35.360 ;
RECT 38.640 35.040 38.960 35.360 ;
RECT 39.040 35.040 39.360 35.360 ;
RECT 39.440 35.040 39.760 35.360 ;
RECT 39.840 35.040 40.160 35.360 ;
RECT 40.240 35.040 40.560 35.360 ;
RECT 40.640 35.040 40.960 35.360 ;
RECT 41.040 35.040 41.360 35.360 ;
RECT 41.440 35.040 41.760 35.360 ;
RECT 41.840 35.040 42.160 35.360 ;
RECT 42.240 35.040 42.560 35.360 ;
RECT 42.640 35.040 42.960 35.360 ;
RECT 43.040 35.040 43.360 35.360 ;
RECT 43.440 35.040 43.760 35.360 ;
RECT 43.840 35.040 44.160 35.360 ;
RECT 44.240 35.040 44.560 35.360 ;
RECT 44.640 35.040 44.960 35.360 ;
RECT 70.560 35.040 70.880 35.360 ;
RECT 70.960 35.040 71.280 35.360 ;
RECT 71.360 35.040 71.680 35.360 ;
RECT 71.760 35.040 72.080 35.360 ;
RECT 120.560 35.040 120.880 35.360 ;
RECT 120.960 35.040 121.280 35.360 ;
RECT 121.360 35.040 121.680 35.360 ;
RECT 121.760 35.040 122.080 35.360 ;
RECT 181.880 35.040 182.200 35.360 ;
RECT 182.280 35.040 182.600 35.360 ;
RECT 182.680 35.040 183.000 35.360 ;
RECT 183.080 35.040 183.400 35.360 ;
RECT 183.480 35.040 183.800 35.360 ;
RECT 183.880 35.040 184.200 35.360 ;
RECT 184.280 35.040 184.600 35.360 ;
RECT 184.680 35.040 185.000 35.360 ;
RECT 185.080 35.040 185.400 35.360 ;
RECT 185.480 35.040 185.800 35.360 ;
RECT 185.880 35.040 186.200 35.360 ;
RECT 186.280 35.040 186.600 35.360 ;
RECT 186.680 35.040 187.000 35.360 ;
RECT 187.080 35.040 187.400 35.360 ;
RECT 187.480 35.040 187.800 35.360 ;
RECT 187.880 35.040 188.200 35.360 ;
RECT 188.280 35.040 188.600 35.360 ;
RECT 188.680 35.040 189.000 35.360 ;
RECT 189.080 35.040 189.400 35.360 ;
RECT 189.480 35.040 189.800 35.360 ;
RECT 189.880 35.040 190.200 35.360 ;
RECT 190.280 35.040 190.600 35.360 ;
RECT 190.680 35.040 191.000 35.360 ;
RECT 191.080 35.040 191.400 35.360 ;
RECT 191.480 35.040 191.800 35.360 ;
RECT 191.880 35.040 192.200 35.360 ;
RECT 192.280 35.040 192.600 35.360 ;
RECT 192.680 35.040 193.000 35.360 ;
RECT 193.080 35.040 193.400 35.360 ;
RECT 193.480 35.040 193.800 35.360 ;
RECT 193.880 35.040 194.200 35.360 ;
RECT 194.280 35.040 194.600 35.360 ;
RECT 194.680 35.040 195.000 35.360 ;
RECT 195.080 35.040 195.400 35.360 ;
RECT 195.480 35.040 195.800 35.360 ;
RECT 195.880 35.040 196.200 35.360 ;
RECT 196.280 35.040 196.600 35.360 ;
RECT 196.680 35.040 197.000 35.360 ;
RECT 197.080 35.040 197.400 35.360 ;
RECT 197.480 35.040 197.800 35.360 ;
RECT 197.880 35.040 198.200 35.360 ;
RECT 198.280 35.040 198.600 35.360 ;
RECT 198.680 35.040 199.000 35.360 ;
RECT 199.080 35.040 199.400 35.360 ;
RECT 199.480 35.040 199.800 35.360 ;
RECT 199.880 35.040 200.200 35.360 ;
RECT 200.280 35.040 200.600 35.360 ;
RECT 200.680 35.040 201.000 35.360 ;
RECT 201.080 35.040 201.400 35.360 ;
RECT 201.480 35.040 201.800 35.360 ;
RECT 25.040 34.640 25.360 34.960 ;
RECT 25.440 34.640 25.760 34.960 ;
RECT 25.840 34.640 26.160 34.960 ;
RECT 26.240 34.640 26.560 34.960 ;
RECT 26.640 34.640 26.960 34.960 ;
RECT 27.040 34.640 27.360 34.960 ;
RECT 27.440 34.640 27.760 34.960 ;
RECT 27.840 34.640 28.160 34.960 ;
RECT 28.240 34.640 28.560 34.960 ;
RECT 28.640 34.640 28.960 34.960 ;
RECT 29.040 34.640 29.360 34.960 ;
RECT 29.440 34.640 29.760 34.960 ;
RECT 29.840 34.640 30.160 34.960 ;
RECT 30.240 34.640 30.560 34.960 ;
RECT 30.640 34.640 30.960 34.960 ;
RECT 31.040 34.640 31.360 34.960 ;
RECT 31.440 34.640 31.760 34.960 ;
RECT 31.840 34.640 32.160 34.960 ;
RECT 32.240 34.640 32.560 34.960 ;
RECT 32.640 34.640 32.960 34.960 ;
RECT 33.040 34.640 33.360 34.960 ;
RECT 33.440 34.640 33.760 34.960 ;
RECT 33.840 34.640 34.160 34.960 ;
RECT 34.240 34.640 34.560 34.960 ;
RECT 34.640 34.640 34.960 34.960 ;
RECT 35.040 34.640 35.360 34.960 ;
RECT 35.440 34.640 35.760 34.960 ;
RECT 35.840 34.640 36.160 34.960 ;
RECT 36.240 34.640 36.560 34.960 ;
RECT 36.640 34.640 36.960 34.960 ;
RECT 37.040 34.640 37.360 34.960 ;
RECT 37.440 34.640 37.760 34.960 ;
RECT 37.840 34.640 38.160 34.960 ;
RECT 38.240 34.640 38.560 34.960 ;
RECT 38.640 34.640 38.960 34.960 ;
RECT 39.040 34.640 39.360 34.960 ;
RECT 39.440 34.640 39.760 34.960 ;
RECT 39.840 34.640 40.160 34.960 ;
RECT 40.240 34.640 40.560 34.960 ;
RECT 40.640 34.640 40.960 34.960 ;
RECT 41.040 34.640 41.360 34.960 ;
RECT 41.440 34.640 41.760 34.960 ;
RECT 41.840 34.640 42.160 34.960 ;
RECT 42.240 34.640 42.560 34.960 ;
RECT 42.640 34.640 42.960 34.960 ;
RECT 43.040 34.640 43.360 34.960 ;
RECT 43.440 34.640 43.760 34.960 ;
RECT 43.840 34.640 44.160 34.960 ;
RECT 44.240 34.640 44.560 34.960 ;
RECT 44.640 34.640 44.960 34.960 ;
RECT 70.560 34.640 70.880 34.960 ;
RECT 70.960 34.640 71.280 34.960 ;
RECT 71.360 34.640 71.680 34.960 ;
RECT 71.760 34.640 72.080 34.960 ;
RECT 120.560 34.640 120.880 34.960 ;
RECT 120.960 34.640 121.280 34.960 ;
RECT 121.360 34.640 121.680 34.960 ;
RECT 121.760 34.640 122.080 34.960 ;
RECT 181.880 34.640 182.200 34.960 ;
RECT 182.280 34.640 182.600 34.960 ;
RECT 182.680 34.640 183.000 34.960 ;
RECT 183.080 34.640 183.400 34.960 ;
RECT 183.480 34.640 183.800 34.960 ;
RECT 183.880 34.640 184.200 34.960 ;
RECT 184.280 34.640 184.600 34.960 ;
RECT 184.680 34.640 185.000 34.960 ;
RECT 185.080 34.640 185.400 34.960 ;
RECT 185.480 34.640 185.800 34.960 ;
RECT 185.880 34.640 186.200 34.960 ;
RECT 186.280 34.640 186.600 34.960 ;
RECT 186.680 34.640 187.000 34.960 ;
RECT 187.080 34.640 187.400 34.960 ;
RECT 187.480 34.640 187.800 34.960 ;
RECT 187.880 34.640 188.200 34.960 ;
RECT 188.280 34.640 188.600 34.960 ;
RECT 188.680 34.640 189.000 34.960 ;
RECT 189.080 34.640 189.400 34.960 ;
RECT 189.480 34.640 189.800 34.960 ;
RECT 189.880 34.640 190.200 34.960 ;
RECT 190.280 34.640 190.600 34.960 ;
RECT 190.680 34.640 191.000 34.960 ;
RECT 191.080 34.640 191.400 34.960 ;
RECT 191.480 34.640 191.800 34.960 ;
RECT 191.880 34.640 192.200 34.960 ;
RECT 192.280 34.640 192.600 34.960 ;
RECT 192.680 34.640 193.000 34.960 ;
RECT 193.080 34.640 193.400 34.960 ;
RECT 193.480 34.640 193.800 34.960 ;
RECT 193.880 34.640 194.200 34.960 ;
RECT 194.280 34.640 194.600 34.960 ;
RECT 194.680 34.640 195.000 34.960 ;
RECT 195.080 34.640 195.400 34.960 ;
RECT 195.480 34.640 195.800 34.960 ;
RECT 195.880 34.640 196.200 34.960 ;
RECT 196.280 34.640 196.600 34.960 ;
RECT 196.680 34.640 197.000 34.960 ;
RECT 197.080 34.640 197.400 34.960 ;
RECT 197.480 34.640 197.800 34.960 ;
RECT 197.880 34.640 198.200 34.960 ;
RECT 198.280 34.640 198.600 34.960 ;
RECT 198.680 34.640 199.000 34.960 ;
RECT 199.080 34.640 199.400 34.960 ;
RECT 199.480 34.640 199.800 34.960 ;
RECT 199.880 34.640 200.200 34.960 ;
RECT 200.280 34.640 200.600 34.960 ;
RECT 200.680 34.640 201.000 34.960 ;
RECT 201.080 34.640 201.400 34.960 ;
RECT 201.480 34.640 201.800 34.960 ;
RECT 25.040 34.240 25.360 34.560 ;
RECT 25.440 34.240 25.760 34.560 ;
RECT 25.840 34.240 26.160 34.560 ;
RECT 26.240 34.240 26.560 34.560 ;
RECT 26.640 34.240 26.960 34.560 ;
RECT 27.040 34.240 27.360 34.560 ;
RECT 27.440 34.240 27.760 34.560 ;
RECT 27.840 34.240 28.160 34.560 ;
RECT 28.240 34.240 28.560 34.560 ;
RECT 28.640 34.240 28.960 34.560 ;
RECT 29.040 34.240 29.360 34.560 ;
RECT 29.440 34.240 29.760 34.560 ;
RECT 29.840 34.240 30.160 34.560 ;
RECT 30.240 34.240 30.560 34.560 ;
RECT 30.640 34.240 30.960 34.560 ;
RECT 31.040 34.240 31.360 34.560 ;
RECT 31.440 34.240 31.760 34.560 ;
RECT 31.840 34.240 32.160 34.560 ;
RECT 32.240 34.240 32.560 34.560 ;
RECT 32.640 34.240 32.960 34.560 ;
RECT 33.040 34.240 33.360 34.560 ;
RECT 33.440 34.240 33.760 34.560 ;
RECT 33.840 34.240 34.160 34.560 ;
RECT 34.240 34.240 34.560 34.560 ;
RECT 34.640 34.240 34.960 34.560 ;
RECT 35.040 34.240 35.360 34.560 ;
RECT 35.440 34.240 35.760 34.560 ;
RECT 35.840 34.240 36.160 34.560 ;
RECT 36.240 34.240 36.560 34.560 ;
RECT 36.640 34.240 36.960 34.560 ;
RECT 37.040 34.240 37.360 34.560 ;
RECT 37.440 34.240 37.760 34.560 ;
RECT 37.840 34.240 38.160 34.560 ;
RECT 38.240 34.240 38.560 34.560 ;
RECT 38.640 34.240 38.960 34.560 ;
RECT 39.040 34.240 39.360 34.560 ;
RECT 39.440 34.240 39.760 34.560 ;
RECT 39.840 34.240 40.160 34.560 ;
RECT 40.240 34.240 40.560 34.560 ;
RECT 40.640 34.240 40.960 34.560 ;
RECT 41.040 34.240 41.360 34.560 ;
RECT 41.440 34.240 41.760 34.560 ;
RECT 41.840 34.240 42.160 34.560 ;
RECT 42.240 34.240 42.560 34.560 ;
RECT 42.640 34.240 42.960 34.560 ;
RECT 43.040 34.240 43.360 34.560 ;
RECT 43.440 34.240 43.760 34.560 ;
RECT 43.840 34.240 44.160 34.560 ;
RECT 44.240 34.240 44.560 34.560 ;
RECT 44.640 34.240 44.960 34.560 ;
RECT 70.560 34.240 70.880 34.560 ;
RECT 70.960 34.240 71.280 34.560 ;
RECT 71.360 34.240 71.680 34.560 ;
RECT 71.760 34.240 72.080 34.560 ;
RECT 120.560 34.240 120.880 34.560 ;
RECT 120.960 34.240 121.280 34.560 ;
RECT 121.360 34.240 121.680 34.560 ;
RECT 121.760 34.240 122.080 34.560 ;
RECT 181.880 34.240 182.200 34.560 ;
RECT 182.280 34.240 182.600 34.560 ;
RECT 182.680 34.240 183.000 34.560 ;
RECT 183.080 34.240 183.400 34.560 ;
RECT 183.480 34.240 183.800 34.560 ;
RECT 183.880 34.240 184.200 34.560 ;
RECT 184.280 34.240 184.600 34.560 ;
RECT 184.680 34.240 185.000 34.560 ;
RECT 185.080 34.240 185.400 34.560 ;
RECT 185.480 34.240 185.800 34.560 ;
RECT 185.880 34.240 186.200 34.560 ;
RECT 186.280 34.240 186.600 34.560 ;
RECT 186.680 34.240 187.000 34.560 ;
RECT 187.080 34.240 187.400 34.560 ;
RECT 187.480 34.240 187.800 34.560 ;
RECT 187.880 34.240 188.200 34.560 ;
RECT 188.280 34.240 188.600 34.560 ;
RECT 188.680 34.240 189.000 34.560 ;
RECT 189.080 34.240 189.400 34.560 ;
RECT 189.480 34.240 189.800 34.560 ;
RECT 189.880 34.240 190.200 34.560 ;
RECT 190.280 34.240 190.600 34.560 ;
RECT 190.680 34.240 191.000 34.560 ;
RECT 191.080 34.240 191.400 34.560 ;
RECT 191.480 34.240 191.800 34.560 ;
RECT 191.880 34.240 192.200 34.560 ;
RECT 192.280 34.240 192.600 34.560 ;
RECT 192.680 34.240 193.000 34.560 ;
RECT 193.080 34.240 193.400 34.560 ;
RECT 193.480 34.240 193.800 34.560 ;
RECT 193.880 34.240 194.200 34.560 ;
RECT 194.280 34.240 194.600 34.560 ;
RECT 194.680 34.240 195.000 34.560 ;
RECT 195.080 34.240 195.400 34.560 ;
RECT 195.480 34.240 195.800 34.560 ;
RECT 195.880 34.240 196.200 34.560 ;
RECT 196.280 34.240 196.600 34.560 ;
RECT 196.680 34.240 197.000 34.560 ;
RECT 197.080 34.240 197.400 34.560 ;
RECT 197.480 34.240 197.800 34.560 ;
RECT 197.880 34.240 198.200 34.560 ;
RECT 198.280 34.240 198.600 34.560 ;
RECT 198.680 34.240 199.000 34.560 ;
RECT 199.080 34.240 199.400 34.560 ;
RECT 199.480 34.240 199.800 34.560 ;
RECT 199.880 34.240 200.200 34.560 ;
RECT 200.280 34.240 200.600 34.560 ;
RECT 200.680 34.240 201.000 34.560 ;
RECT 201.080 34.240 201.400 34.560 ;
RECT 201.480 34.240 201.800 34.560 ;
RECT 25.040 33.840 25.360 34.160 ;
RECT 25.440 33.840 25.760 34.160 ;
RECT 25.840 33.840 26.160 34.160 ;
RECT 26.240 33.840 26.560 34.160 ;
RECT 26.640 33.840 26.960 34.160 ;
RECT 27.040 33.840 27.360 34.160 ;
RECT 27.440 33.840 27.760 34.160 ;
RECT 27.840 33.840 28.160 34.160 ;
RECT 28.240 33.840 28.560 34.160 ;
RECT 28.640 33.840 28.960 34.160 ;
RECT 29.040 33.840 29.360 34.160 ;
RECT 29.440 33.840 29.760 34.160 ;
RECT 29.840 33.840 30.160 34.160 ;
RECT 30.240 33.840 30.560 34.160 ;
RECT 30.640 33.840 30.960 34.160 ;
RECT 31.040 33.840 31.360 34.160 ;
RECT 31.440 33.840 31.760 34.160 ;
RECT 31.840 33.840 32.160 34.160 ;
RECT 32.240 33.840 32.560 34.160 ;
RECT 32.640 33.840 32.960 34.160 ;
RECT 33.040 33.840 33.360 34.160 ;
RECT 33.440 33.840 33.760 34.160 ;
RECT 33.840 33.840 34.160 34.160 ;
RECT 34.240 33.840 34.560 34.160 ;
RECT 34.640 33.840 34.960 34.160 ;
RECT 35.040 33.840 35.360 34.160 ;
RECT 35.440 33.840 35.760 34.160 ;
RECT 35.840 33.840 36.160 34.160 ;
RECT 36.240 33.840 36.560 34.160 ;
RECT 36.640 33.840 36.960 34.160 ;
RECT 37.040 33.840 37.360 34.160 ;
RECT 37.440 33.840 37.760 34.160 ;
RECT 37.840 33.840 38.160 34.160 ;
RECT 38.240 33.840 38.560 34.160 ;
RECT 38.640 33.840 38.960 34.160 ;
RECT 39.040 33.840 39.360 34.160 ;
RECT 39.440 33.840 39.760 34.160 ;
RECT 39.840 33.840 40.160 34.160 ;
RECT 40.240 33.840 40.560 34.160 ;
RECT 40.640 33.840 40.960 34.160 ;
RECT 41.040 33.840 41.360 34.160 ;
RECT 41.440 33.840 41.760 34.160 ;
RECT 41.840 33.840 42.160 34.160 ;
RECT 42.240 33.840 42.560 34.160 ;
RECT 42.640 33.840 42.960 34.160 ;
RECT 43.040 33.840 43.360 34.160 ;
RECT 43.440 33.840 43.760 34.160 ;
RECT 43.840 33.840 44.160 34.160 ;
RECT 44.240 33.840 44.560 34.160 ;
RECT 44.640 33.840 44.960 34.160 ;
RECT 70.560 33.840 70.880 34.160 ;
RECT 70.960 33.840 71.280 34.160 ;
RECT 71.360 33.840 71.680 34.160 ;
RECT 71.760 33.840 72.080 34.160 ;
RECT 120.560 33.840 120.880 34.160 ;
RECT 120.960 33.840 121.280 34.160 ;
RECT 121.360 33.840 121.680 34.160 ;
RECT 121.760 33.840 122.080 34.160 ;
RECT 181.880 33.840 182.200 34.160 ;
RECT 182.280 33.840 182.600 34.160 ;
RECT 182.680 33.840 183.000 34.160 ;
RECT 183.080 33.840 183.400 34.160 ;
RECT 183.480 33.840 183.800 34.160 ;
RECT 183.880 33.840 184.200 34.160 ;
RECT 184.280 33.840 184.600 34.160 ;
RECT 184.680 33.840 185.000 34.160 ;
RECT 185.080 33.840 185.400 34.160 ;
RECT 185.480 33.840 185.800 34.160 ;
RECT 185.880 33.840 186.200 34.160 ;
RECT 186.280 33.840 186.600 34.160 ;
RECT 186.680 33.840 187.000 34.160 ;
RECT 187.080 33.840 187.400 34.160 ;
RECT 187.480 33.840 187.800 34.160 ;
RECT 187.880 33.840 188.200 34.160 ;
RECT 188.280 33.840 188.600 34.160 ;
RECT 188.680 33.840 189.000 34.160 ;
RECT 189.080 33.840 189.400 34.160 ;
RECT 189.480 33.840 189.800 34.160 ;
RECT 189.880 33.840 190.200 34.160 ;
RECT 190.280 33.840 190.600 34.160 ;
RECT 190.680 33.840 191.000 34.160 ;
RECT 191.080 33.840 191.400 34.160 ;
RECT 191.480 33.840 191.800 34.160 ;
RECT 191.880 33.840 192.200 34.160 ;
RECT 192.280 33.840 192.600 34.160 ;
RECT 192.680 33.840 193.000 34.160 ;
RECT 193.080 33.840 193.400 34.160 ;
RECT 193.480 33.840 193.800 34.160 ;
RECT 193.880 33.840 194.200 34.160 ;
RECT 194.280 33.840 194.600 34.160 ;
RECT 194.680 33.840 195.000 34.160 ;
RECT 195.080 33.840 195.400 34.160 ;
RECT 195.480 33.840 195.800 34.160 ;
RECT 195.880 33.840 196.200 34.160 ;
RECT 196.280 33.840 196.600 34.160 ;
RECT 196.680 33.840 197.000 34.160 ;
RECT 197.080 33.840 197.400 34.160 ;
RECT 197.480 33.840 197.800 34.160 ;
RECT 197.880 33.840 198.200 34.160 ;
RECT 198.280 33.840 198.600 34.160 ;
RECT 198.680 33.840 199.000 34.160 ;
RECT 199.080 33.840 199.400 34.160 ;
RECT 199.480 33.840 199.800 34.160 ;
RECT 199.880 33.840 200.200 34.160 ;
RECT 200.280 33.840 200.600 34.160 ;
RECT 200.680 33.840 201.000 34.160 ;
RECT 201.080 33.840 201.400 34.160 ;
RECT 201.480 33.840 201.800 34.160 ;
RECT 25.040 33.440 25.360 33.760 ;
RECT 25.440 33.440 25.760 33.760 ;
RECT 25.840 33.440 26.160 33.760 ;
RECT 26.240 33.440 26.560 33.760 ;
RECT 26.640 33.440 26.960 33.760 ;
RECT 27.040 33.440 27.360 33.760 ;
RECT 27.440 33.440 27.760 33.760 ;
RECT 27.840 33.440 28.160 33.760 ;
RECT 28.240 33.440 28.560 33.760 ;
RECT 28.640 33.440 28.960 33.760 ;
RECT 29.040 33.440 29.360 33.760 ;
RECT 29.440 33.440 29.760 33.760 ;
RECT 29.840 33.440 30.160 33.760 ;
RECT 30.240 33.440 30.560 33.760 ;
RECT 30.640 33.440 30.960 33.760 ;
RECT 31.040 33.440 31.360 33.760 ;
RECT 31.440 33.440 31.760 33.760 ;
RECT 31.840 33.440 32.160 33.760 ;
RECT 32.240 33.440 32.560 33.760 ;
RECT 32.640 33.440 32.960 33.760 ;
RECT 33.040 33.440 33.360 33.760 ;
RECT 33.440 33.440 33.760 33.760 ;
RECT 33.840 33.440 34.160 33.760 ;
RECT 34.240 33.440 34.560 33.760 ;
RECT 34.640 33.440 34.960 33.760 ;
RECT 35.040 33.440 35.360 33.760 ;
RECT 35.440 33.440 35.760 33.760 ;
RECT 35.840 33.440 36.160 33.760 ;
RECT 36.240 33.440 36.560 33.760 ;
RECT 36.640 33.440 36.960 33.760 ;
RECT 37.040 33.440 37.360 33.760 ;
RECT 37.440 33.440 37.760 33.760 ;
RECT 37.840 33.440 38.160 33.760 ;
RECT 38.240 33.440 38.560 33.760 ;
RECT 38.640 33.440 38.960 33.760 ;
RECT 39.040 33.440 39.360 33.760 ;
RECT 39.440 33.440 39.760 33.760 ;
RECT 39.840 33.440 40.160 33.760 ;
RECT 40.240 33.440 40.560 33.760 ;
RECT 40.640 33.440 40.960 33.760 ;
RECT 41.040 33.440 41.360 33.760 ;
RECT 41.440 33.440 41.760 33.760 ;
RECT 41.840 33.440 42.160 33.760 ;
RECT 42.240 33.440 42.560 33.760 ;
RECT 42.640 33.440 42.960 33.760 ;
RECT 43.040 33.440 43.360 33.760 ;
RECT 43.440 33.440 43.760 33.760 ;
RECT 43.840 33.440 44.160 33.760 ;
RECT 44.240 33.440 44.560 33.760 ;
RECT 44.640 33.440 44.960 33.760 ;
RECT 70.560 33.440 70.880 33.760 ;
RECT 70.960 33.440 71.280 33.760 ;
RECT 71.360 33.440 71.680 33.760 ;
RECT 71.760 33.440 72.080 33.760 ;
RECT 120.560 33.440 120.880 33.760 ;
RECT 120.960 33.440 121.280 33.760 ;
RECT 121.360 33.440 121.680 33.760 ;
RECT 121.760 33.440 122.080 33.760 ;
RECT 181.880 33.440 182.200 33.760 ;
RECT 182.280 33.440 182.600 33.760 ;
RECT 182.680 33.440 183.000 33.760 ;
RECT 183.080 33.440 183.400 33.760 ;
RECT 183.480 33.440 183.800 33.760 ;
RECT 183.880 33.440 184.200 33.760 ;
RECT 184.280 33.440 184.600 33.760 ;
RECT 184.680 33.440 185.000 33.760 ;
RECT 185.080 33.440 185.400 33.760 ;
RECT 185.480 33.440 185.800 33.760 ;
RECT 185.880 33.440 186.200 33.760 ;
RECT 186.280 33.440 186.600 33.760 ;
RECT 186.680 33.440 187.000 33.760 ;
RECT 187.080 33.440 187.400 33.760 ;
RECT 187.480 33.440 187.800 33.760 ;
RECT 187.880 33.440 188.200 33.760 ;
RECT 188.280 33.440 188.600 33.760 ;
RECT 188.680 33.440 189.000 33.760 ;
RECT 189.080 33.440 189.400 33.760 ;
RECT 189.480 33.440 189.800 33.760 ;
RECT 189.880 33.440 190.200 33.760 ;
RECT 190.280 33.440 190.600 33.760 ;
RECT 190.680 33.440 191.000 33.760 ;
RECT 191.080 33.440 191.400 33.760 ;
RECT 191.480 33.440 191.800 33.760 ;
RECT 191.880 33.440 192.200 33.760 ;
RECT 192.280 33.440 192.600 33.760 ;
RECT 192.680 33.440 193.000 33.760 ;
RECT 193.080 33.440 193.400 33.760 ;
RECT 193.480 33.440 193.800 33.760 ;
RECT 193.880 33.440 194.200 33.760 ;
RECT 194.280 33.440 194.600 33.760 ;
RECT 194.680 33.440 195.000 33.760 ;
RECT 195.080 33.440 195.400 33.760 ;
RECT 195.480 33.440 195.800 33.760 ;
RECT 195.880 33.440 196.200 33.760 ;
RECT 196.280 33.440 196.600 33.760 ;
RECT 196.680 33.440 197.000 33.760 ;
RECT 197.080 33.440 197.400 33.760 ;
RECT 197.480 33.440 197.800 33.760 ;
RECT 197.880 33.440 198.200 33.760 ;
RECT 198.280 33.440 198.600 33.760 ;
RECT 198.680 33.440 199.000 33.760 ;
RECT 199.080 33.440 199.400 33.760 ;
RECT 199.480 33.440 199.800 33.760 ;
RECT 199.880 33.440 200.200 33.760 ;
RECT 200.280 33.440 200.600 33.760 ;
RECT 200.680 33.440 201.000 33.760 ;
RECT 201.080 33.440 201.400 33.760 ;
RECT 201.480 33.440 201.800 33.760 ;
RECT 25.040 33.040 25.360 33.360 ;
RECT 25.440 33.040 25.760 33.360 ;
RECT 25.840 33.040 26.160 33.360 ;
RECT 26.240 33.040 26.560 33.360 ;
RECT 26.640 33.040 26.960 33.360 ;
RECT 27.040 33.040 27.360 33.360 ;
RECT 27.440 33.040 27.760 33.360 ;
RECT 27.840 33.040 28.160 33.360 ;
RECT 28.240 33.040 28.560 33.360 ;
RECT 28.640 33.040 28.960 33.360 ;
RECT 29.040 33.040 29.360 33.360 ;
RECT 29.440 33.040 29.760 33.360 ;
RECT 29.840 33.040 30.160 33.360 ;
RECT 30.240 33.040 30.560 33.360 ;
RECT 30.640 33.040 30.960 33.360 ;
RECT 31.040 33.040 31.360 33.360 ;
RECT 31.440 33.040 31.760 33.360 ;
RECT 31.840 33.040 32.160 33.360 ;
RECT 32.240 33.040 32.560 33.360 ;
RECT 32.640 33.040 32.960 33.360 ;
RECT 33.040 33.040 33.360 33.360 ;
RECT 33.440 33.040 33.760 33.360 ;
RECT 33.840 33.040 34.160 33.360 ;
RECT 34.240 33.040 34.560 33.360 ;
RECT 34.640 33.040 34.960 33.360 ;
RECT 35.040 33.040 35.360 33.360 ;
RECT 35.440 33.040 35.760 33.360 ;
RECT 35.840 33.040 36.160 33.360 ;
RECT 36.240 33.040 36.560 33.360 ;
RECT 36.640 33.040 36.960 33.360 ;
RECT 37.040 33.040 37.360 33.360 ;
RECT 37.440 33.040 37.760 33.360 ;
RECT 37.840 33.040 38.160 33.360 ;
RECT 38.240 33.040 38.560 33.360 ;
RECT 38.640 33.040 38.960 33.360 ;
RECT 39.040 33.040 39.360 33.360 ;
RECT 39.440 33.040 39.760 33.360 ;
RECT 39.840 33.040 40.160 33.360 ;
RECT 40.240 33.040 40.560 33.360 ;
RECT 40.640 33.040 40.960 33.360 ;
RECT 41.040 33.040 41.360 33.360 ;
RECT 41.440 33.040 41.760 33.360 ;
RECT 41.840 33.040 42.160 33.360 ;
RECT 42.240 33.040 42.560 33.360 ;
RECT 42.640 33.040 42.960 33.360 ;
RECT 43.040 33.040 43.360 33.360 ;
RECT 43.440 33.040 43.760 33.360 ;
RECT 43.840 33.040 44.160 33.360 ;
RECT 44.240 33.040 44.560 33.360 ;
RECT 44.640 33.040 44.960 33.360 ;
RECT 70.560 33.040 70.880 33.360 ;
RECT 70.960 33.040 71.280 33.360 ;
RECT 71.360 33.040 71.680 33.360 ;
RECT 71.760 33.040 72.080 33.360 ;
RECT 120.560 33.040 120.880 33.360 ;
RECT 120.960 33.040 121.280 33.360 ;
RECT 121.360 33.040 121.680 33.360 ;
RECT 121.760 33.040 122.080 33.360 ;
RECT 181.880 33.040 182.200 33.360 ;
RECT 182.280 33.040 182.600 33.360 ;
RECT 182.680 33.040 183.000 33.360 ;
RECT 183.080 33.040 183.400 33.360 ;
RECT 183.480 33.040 183.800 33.360 ;
RECT 183.880 33.040 184.200 33.360 ;
RECT 184.280 33.040 184.600 33.360 ;
RECT 184.680 33.040 185.000 33.360 ;
RECT 185.080 33.040 185.400 33.360 ;
RECT 185.480 33.040 185.800 33.360 ;
RECT 185.880 33.040 186.200 33.360 ;
RECT 186.280 33.040 186.600 33.360 ;
RECT 186.680 33.040 187.000 33.360 ;
RECT 187.080 33.040 187.400 33.360 ;
RECT 187.480 33.040 187.800 33.360 ;
RECT 187.880 33.040 188.200 33.360 ;
RECT 188.280 33.040 188.600 33.360 ;
RECT 188.680 33.040 189.000 33.360 ;
RECT 189.080 33.040 189.400 33.360 ;
RECT 189.480 33.040 189.800 33.360 ;
RECT 189.880 33.040 190.200 33.360 ;
RECT 190.280 33.040 190.600 33.360 ;
RECT 190.680 33.040 191.000 33.360 ;
RECT 191.080 33.040 191.400 33.360 ;
RECT 191.480 33.040 191.800 33.360 ;
RECT 191.880 33.040 192.200 33.360 ;
RECT 192.280 33.040 192.600 33.360 ;
RECT 192.680 33.040 193.000 33.360 ;
RECT 193.080 33.040 193.400 33.360 ;
RECT 193.480 33.040 193.800 33.360 ;
RECT 193.880 33.040 194.200 33.360 ;
RECT 194.280 33.040 194.600 33.360 ;
RECT 194.680 33.040 195.000 33.360 ;
RECT 195.080 33.040 195.400 33.360 ;
RECT 195.480 33.040 195.800 33.360 ;
RECT 195.880 33.040 196.200 33.360 ;
RECT 196.280 33.040 196.600 33.360 ;
RECT 196.680 33.040 197.000 33.360 ;
RECT 197.080 33.040 197.400 33.360 ;
RECT 197.480 33.040 197.800 33.360 ;
RECT 197.880 33.040 198.200 33.360 ;
RECT 198.280 33.040 198.600 33.360 ;
RECT 198.680 33.040 199.000 33.360 ;
RECT 199.080 33.040 199.400 33.360 ;
RECT 199.480 33.040 199.800 33.360 ;
RECT 199.880 33.040 200.200 33.360 ;
RECT 200.280 33.040 200.600 33.360 ;
RECT 200.680 33.040 201.000 33.360 ;
RECT 201.080 33.040 201.400 33.360 ;
RECT 201.480 33.040 201.800 33.360 ;
RECT 25.040 32.640 25.360 32.960 ;
RECT 25.440 32.640 25.760 32.960 ;
RECT 25.840 32.640 26.160 32.960 ;
RECT 26.240 32.640 26.560 32.960 ;
RECT 26.640 32.640 26.960 32.960 ;
RECT 27.040 32.640 27.360 32.960 ;
RECT 27.440 32.640 27.760 32.960 ;
RECT 27.840 32.640 28.160 32.960 ;
RECT 28.240 32.640 28.560 32.960 ;
RECT 28.640 32.640 28.960 32.960 ;
RECT 29.040 32.640 29.360 32.960 ;
RECT 29.440 32.640 29.760 32.960 ;
RECT 29.840 32.640 30.160 32.960 ;
RECT 30.240 32.640 30.560 32.960 ;
RECT 30.640 32.640 30.960 32.960 ;
RECT 31.040 32.640 31.360 32.960 ;
RECT 31.440 32.640 31.760 32.960 ;
RECT 31.840 32.640 32.160 32.960 ;
RECT 32.240 32.640 32.560 32.960 ;
RECT 32.640 32.640 32.960 32.960 ;
RECT 33.040 32.640 33.360 32.960 ;
RECT 33.440 32.640 33.760 32.960 ;
RECT 33.840 32.640 34.160 32.960 ;
RECT 34.240 32.640 34.560 32.960 ;
RECT 34.640 32.640 34.960 32.960 ;
RECT 35.040 32.640 35.360 32.960 ;
RECT 35.440 32.640 35.760 32.960 ;
RECT 35.840 32.640 36.160 32.960 ;
RECT 36.240 32.640 36.560 32.960 ;
RECT 36.640 32.640 36.960 32.960 ;
RECT 37.040 32.640 37.360 32.960 ;
RECT 37.440 32.640 37.760 32.960 ;
RECT 37.840 32.640 38.160 32.960 ;
RECT 38.240 32.640 38.560 32.960 ;
RECT 38.640 32.640 38.960 32.960 ;
RECT 39.040 32.640 39.360 32.960 ;
RECT 39.440 32.640 39.760 32.960 ;
RECT 39.840 32.640 40.160 32.960 ;
RECT 40.240 32.640 40.560 32.960 ;
RECT 40.640 32.640 40.960 32.960 ;
RECT 41.040 32.640 41.360 32.960 ;
RECT 41.440 32.640 41.760 32.960 ;
RECT 41.840 32.640 42.160 32.960 ;
RECT 42.240 32.640 42.560 32.960 ;
RECT 42.640 32.640 42.960 32.960 ;
RECT 43.040 32.640 43.360 32.960 ;
RECT 43.440 32.640 43.760 32.960 ;
RECT 43.840 32.640 44.160 32.960 ;
RECT 44.240 32.640 44.560 32.960 ;
RECT 44.640 32.640 44.960 32.960 ;
RECT 70.560 32.640 70.880 32.960 ;
RECT 70.960 32.640 71.280 32.960 ;
RECT 71.360 32.640 71.680 32.960 ;
RECT 71.760 32.640 72.080 32.960 ;
RECT 120.560 32.640 120.880 32.960 ;
RECT 120.960 32.640 121.280 32.960 ;
RECT 121.360 32.640 121.680 32.960 ;
RECT 121.760 32.640 122.080 32.960 ;
RECT 181.880 32.640 182.200 32.960 ;
RECT 182.280 32.640 182.600 32.960 ;
RECT 182.680 32.640 183.000 32.960 ;
RECT 183.080 32.640 183.400 32.960 ;
RECT 183.480 32.640 183.800 32.960 ;
RECT 183.880 32.640 184.200 32.960 ;
RECT 184.280 32.640 184.600 32.960 ;
RECT 184.680 32.640 185.000 32.960 ;
RECT 185.080 32.640 185.400 32.960 ;
RECT 185.480 32.640 185.800 32.960 ;
RECT 185.880 32.640 186.200 32.960 ;
RECT 186.280 32.640 186.600 32.960 ;
RECT 186.680 32.640 187.000 32.960 ;
RECT 187.080 32.640 187.400 32.960 ;
RECT 187.480 32.640 187.800 32.960 ;
RECT 187.880 32.640 188.200 32.960 ;
RECT 188.280 32.640 188.600 32.960 ;
RECT 188.680 32.640 189.000 32.960 ;
RECT 189.080 32.640 189.400 32.960 ;
RECT 189.480 32.640 189.800 32.960 ;
RECT 189.880 32.640 190.200 32.960 ;
RECT 190.280 32.640 190.600 32.960 ;
RECT 190.680 32.640 191.000 32.960 ;
RECT 191.080 32.640 191.400 32.960 ;
RECT 191.480 32.640 191.800 32.960 ;
RECT 191.880 32.640 192.200 32.960 ;
RECT 192.280 32.640 192.600 32.960 ;
RECT 192.680 32.640 193.000 32.960 ;
RECT 193.080 32.640 193.400 32.960 ;
RECT 193.480 32.640 193.800 32.960 ;
RECT 193.880 32.640 194.200 32.960 ;
RECT 194.280 32.640 194.600 32.960 ;
RECT 194.680 32.640 195.000 32.960 ;
RECT 195.080 32.640 195.400 32.960 ;
RECT 195.480 32.640 195.800 32.960 ;
RECT 195.880 32.640 196.200 32.960 ;
RECT 196.280 32.640 196.600 32.960 ;
RECT 196.680 32.640 197.000 32.960 ;
RECT 197.080 32.640 197.400 32.960 ;
RECT 197.480 32.640 197.800 32.960 ;
RECT 197.880 32.640 198.200 32.960 ;
RECT 198.280 32.640 198.600 32.960 ;
RECT 198.680 32.640 199.000 32.960 ;
RECT 199.080 32.640 199.400 32.960 ;
RECT 199.480 32.640 199.800 32.960 ;
RECT 199.880 32.640 200.200 32.960 ;
RECT 200.280 32.640 200.600 32.960 ;
RECT 200.680 32.640 201.000 32.960 ;
RECT 201.080 32.640 201.400 32.960 ;
RECT 201.480 32.640 201.800 32.960 ;
RECT 25.040 32.240 25.360 32.560 ;
RECT 25.440 32.240 25.760 32.560 ;
RECT 25.840 32.240 26.160 32.560 ;
RECT 26.240 32.240 26.560 32.560 ;
RECT 26.640 32.240 26.960 32.560 ;
RECT 27.040 32.240 27.360 32.560 ;
RECT 27.440 32.240 27.760 32.560 ;
RECT 27.840 32.240 28.160 32.560 ;
RECT 28.240 32.240 28.560 32.560 ;
RECT 28.640 32.240 28.960 32.560 ;
RECT 29.040 32.240 29.360 32.560 ;
RECT 29.440 32.240 29.760 32.560 ;
RECT 29.840 32.240 30.160 32.560 ;
RECT 30.240 32.240 30.560 32.560 ;
RECT 30.640 32.240 30.960 32.560 ;
RECT 31.040 32.240 31.360 32.560 ;
RECT 31.440 32.240 31.760 32.560 ;
RECT 31.840 32.240 32.160 32.560 ;
RECT 32.240 32.240 32.560 32.560 ;
RECT 32.640 32.240 32.960 32.560 ;
RECT 33.040 32.240 33.360 32.560 ;
RECT 33.440 32.240 33.760 32.560 ;
RECT 33.840 32.240 34.160 32.560 ;
RECT 34.240 32.240 34.560 32.560 ;
RECT 34.640 32.240 34.960 32.560 ;
RECT 35.040 32.240 35.360 32.560 ;
RECT 35.440 32.240 35.760 32.560 ;
RECT 35.840 32.240 36.160 32.560 ;
RECT 36.240 32.240 36.560 32.560 ;
RECT 36.640 32.240 36.960 32.560 ;
RECT 37.040 32.240 37.360 32.560 ;
RECT 37.440 32.240 37.760 32.560 ;
RECT 37.840 32.240 38.160 32.560 ;
RECT 38.240 32.240 38.560 32.560 ;
RECT 38.640 32.240 38.960 32.560 ;
RECT 39.040 32.240 39.360 32.560 ;
RECT 39.440 32.240 39.760 32.560 ;
RECT 39.840 32.240 40.160 32.560 ;
RECT 40.240 32.240 40.560 32.560 ;
RECT 40.640 32.240 40.960 32.560 ;
RECT 41.040 32.240 41.360 32.560 ;
RECT 41.440 32.240 41.760 32.560 ;
RECT 41.840 32.240 42.160 32.560 ;
RECT 42.240 32.240 42.560 32.560 ;
RECT 42.640 32.240 42.960 32.560 ;
RECT 43.040 32.240 43.360 32.560 ;
RECT 43.440 32.240 43.760 32.560 ;
RECT 43.840 32.240 44.160 32.560 ;
RECT 44.240 32.240 44.560 32.560 ;
RECT 44.640 32.240 44.960 32.560 ;
RECT 70.560 32.240 70.880 32.560 ;
RECT 70.960 32.240 71.280 32.560 ;
RECT 71.360 32.240 71.680 32.560 ;
RECT 71.760 32.240 72.080 32.560 ;
RECT 120.560 32.240 120.880 32.560 ;
RECT 120.960 32.240 121.280 32.560 ;
RECT 121.360 32.240 121.680 32.560 ;
RECT 121.760 32.240 122.080 32.560 ;
RECT 181.880 32.240 182.200 32.560 ;
RECT 182.280 32.240 182.600 32.560 ;
RECT 182.680 32.240 183.000 32.560 ;
RECT 183.080 32.240 183.400 32.560 ;
RECT 183.480 32.240 183.800 32.560 ;
RECT 183.880 32.240 184.200 32.560 ;
RECT 184.280 32.240 184.600 32.560 ;
RECT 184.680 32.240 185.000 32.560 ;
RECT 185.080 32.240 185.400 32.560 ;
RECT 185.480 32.240 185.800 32.560 ;
RECT 185.880 32.240 186.200 32.560 ;
RECT 186.280 32.240 186.600 32.560 ;
RECT 186.680 32.240 187.000 32.560 ;
RECT 187.080 32.240 187.400 32.560 ;
RECT 187.480 32.240 187.800 32.560 ;
RECT 187.880 32.240 188.200 32.560 ;
RECT 188.280 32.240 188.600 32.560 ;
RECT 188.680 32.240 189.000 32.560 ;
RECT 189.080 32.240 189.400 32.560 ;
RECT 189.480 32.240 189.800 32.560 ;
RECT 189.880 32.240 190.200 32.560 ;
RECT 190.280 32.240 190.600 32.560 ;
RECT 190.680 32.240 191.000 32.560 ;
RECT 191.080 32.240 191.400 32.560 ;
RECT 191.480 32.240 191.800 32.560 ;
RECT 191.880 32.240 192.200 32.560 ;
RECT 192.280 32.240 192.600 32.560 ;
RECT 192.680 32.240 193.000 32.560 ;
RECT 193.080 32.240 193.400 32.560 ;
RECT 193.480 32.240 193.800 32.560 ;
RECT 193.880 32.240 194.200 32.560 ;
RECT 194.280 32.240 194.600 32.560 ;
RECT 194.680 32.240 195.000 32.560 ;
RECT 195.080 32.240 195.400 32.560 ;
RECT 195.480 32.240 195.800 32.560 ;
RECT 195.880 32.240 196.200 32.560 ;
RECT 196.280 32.240 196.600 32.560 ;
RECT 196.680 32.240 197.000 32.560 ;
RECT 197.080 32.240 197.400 32.560 ;
RECT 197.480 32.240 197.800 32.560 ;
RECT 197.880 32.240 198.200 32.560 ;
RECT 198.280 32.240 198.600 32.560 ;
RECT 198.680 32.240 199.000 32.560 ;
RECT 199.080 32.240 199.400 32.560 ;
RECT 199.480 32.240 199.800 32.560 ;
RECT 199.880 32.240 200.200 32.560 ;
RECT 200.280 32.240 200.600 32.560 ;
RECT 200.680 32.240 201.000 32.560 ;
RECT 201.080 32.240 201.400 32.560 ;
RECT 201.480 32.240 201.800 32.560 ;
RECT 25.040 31.840 25.360 32.160 ;
RECT 25.440 31.840 25.760 32.160 ;
RECT 25.840 31.840 26.160 32.160 ;
RECT 26.240 31.840 26.560 32.160 ;
RECT 26.640 31.840 26.960 32.160 ;
RECT 27.040 31.840 27.360 32.160 ;
RECT 27.440 31.840 27.760 32.160 ;
RECT 27.840 31.840 28.160 32.160 ;
RECT 28.240 31.840 28.560 32.160 ;
RECT 28.640 31.840 28.960 32.160 ;
RECT 29.040 31.840 29.360 32.160 ;
RECT 29.440 31.840 29.760 32.160 ;
RECT 29.840 31.840 30.160 32.160 ;
RECT 30.240 31.840 30.560 32.160 ;
RECT 30.640 31.840 30.960 32.160 ;
RECT 31.040 31.840 31.360 32.160 ;
RECT 31.440 31.840 31.760 32.160 ;
RECT 31.840 31.840 32.160 32.160 ;
RECT 32.240 31.840 32.560 32.160 ;
RECT 32.640 31.840 32.960 32.160 ;
RECT 33.040 31.840 33.360 32.160 ;
RECT 33.440 31.840 33.760 32.160 ;
RECT 33.840 31.840 34.160 32.160 ;
RECT 34.240 31.840 34.560 32.160 ;
RECT 34.640 31.840 34.960 32.160 ;
RECT 35.040 31.840 35.360 32.160 ;
RECT 35.440 31.840 35.760 32.160 ;
RECT 35.840 31.840 36.160 32.160 ;
RECT 36.240 31.840 36.560 32.160 ;
RECT 36.640 31.840 36.960 32.160 ;
RECT 37.040 31.840 37.360 32.160 ;
RECT 37.440 31.840 37.760 32.160 ;
RECT 37.840 31.840 38.160 32.160 ;
RECT 38.240 31.840 38.560 32.160 ;
RECT 38.640 31.840 38.960 32.160 ;
RECT 39.040 31.840 39.360 32.160 ;
RECT 39.440 31.840 39.760 32.160 ;
RECT 39.840 31.840 40.160 32.160 ;
RECT 40.240 31.840 40.560 32.160 ;
RECT 40.640 31.840 40.960 32.160 ;
RECT 41.040 31.840 41.360 32.160 ;
RECT 41.440 31.840 41.760 32.160 ;
RECT 41.840 31.840 42.160 32.160 ;
RECT 42.240 31.840 42.560 32.160 ;
RECT 42.640 31.840 42.960 32.160 ;
RECT 43.040 31.840 43.360 32.160 ;
RECT 43.440 31.840 43.760 32.160 ;
RECT 43.840 31.840 44.160 32.160 ;
RECT 44.240 31.840 44.560 32.160 ;
RECT 44.640 31.840 44.960 32.160 ;
RECT 70.560 31.840 70.880 32.160 ;
RECT 70.960 31.840 71.280 32.160 ;
RECT 71.360 31.840 71.680 32.160 ;
RECT 71.760 31.840 72.080 32.160 ;
RECT 120.560 31.840 120.880 32.160 ;
RECT 120.960 31.840 121.280 32.160 ;
RECT 121.360 31.840 121.680 32.160 ;
RECT 121.760 31.840 122.080 32.160 ;
RECT 181.880 31.840 182.200 32.160 ;
RECT 182.280 31.840 182.600 32.160 ;
RECT 182.680 31.840 183.000 32.160 ;
RECT 183.080 31.840 183.400 32.160 ;
RECT 183.480 31.840 183.800 32.160 ;
RECT 183.880 31.840 184.200 32.160 ;
RECT 184.280 31.840 184.600 32.160 ;
RECT 184.680 31.840 185.000 32.160 ;
RECT 185.080 31.840 185.400 32.160 ;
RECT 185.480 31.840 185.800 32.160 ;
RECT 185.880 31.840 186.200 32.160 ;
RECT 186.280 31.840 186.600 32.160 ;
RECT 186.680 31.840 187.000 32.160 ;
RECT 187.080 31.840 187.400 32.160 ;
RECT 187.480 31.840 187.800 32.160 ;
RECT 187.880 31.840 188.200 32.160 ;
RECT 188.280 31.840 188.600 32.160 ;
RECT 188.680 31.840 189.000 32.160 ;
RECT 189.080 31.840 189.400 32.160 ;
RECT 189.480 31.840 189.800 32.160 ;
RECT 189.880 31.840 190.200 32.160 ;
RECT 190.280 31.840 190.600 32.160 ;
RECT 190.680 31.840 191.000 32.160 ;
RECT 191.080 31.840 191.400 32.160 ;
RECT 191.480 31.840 191.800 32.160 ;
RECT 191.880 31.840 192.200 32.160 ;
RECT 192.280 31.840 192.600 32.160 ;
RECT 192.680 31.840 193.000 32.160 ;
RECT 193.080 31.840 193.400 32.160 ;
RECT 193.480 31.840 193.800 32.160 ;
RECT 193.880 31.840 194.200 32.160 ;
RECT 194.280 31.840 194.600 32.160 ;
RECT 194.680 31.840 195.000 32.160 ;
RECT 195.080 31.840 195.400 32.160 ;
RECT 195.480 31.840 195.800 32.160 ;
RECT 195.880 31.840 196.200 32.160 ;
RECT 196.280 31.840 196.600 32.160 ;
RECT 196.680 31.840 197.000 32.160 ;
RECT 197.080 31.840 197.400 32.160 ;
RECT 197.480 31.840 197.800 32.160 ;
RECT 197.880 31.840 198.200 32.160 ;
RECT 198.280 31.840 198.600 32.160 ;
RECT 198.680 31.840 199.000 32.160 ;
RECT 199.080 31.840 199.400 32.160 ;
RECT 199.480 31.840 199.800 32.160 ;
RECT 199.880 31.840 200.200 32.160 ;
RECT 200.280 31.840 200.600 32.160 ;
RECT 200.680 31.840 201.000 32.160 ;
RECT 201.080 31.840 201.400 32.160 ;
RECT 201.480 31.840 201.800 32.160 ;
RECT 25.040 31.440 25.360 31.760 ;
RECT 25.440 31.440 25.760 31.760 ;
RECT 25.840 31.440 26.160 31.760 ;
RECT 26.240 31.440 26.560 31.760 ;
RECT 26.640 31.440 26.960 31.760 ;
RECT 27.040 31.440 27.360 31.760 ;
RECT 27.440 31.440 27.760 31.760 ;
RECT 27.840 31.440 28.160 31.760 ;
RECT 28.240 31.440 28.560 31.760 ;
RECT 28.640 31.440 28.960 31.760 ;
RECT 29.040 31.440 29.360 31.760 ;
RECT 29.440 31.440 29.760 31.760 ;
RECT 29.840 31.440 30.160 31.760 ;
RECT 30.240 31.440 30.560 31.760 ;
RECT 30.640 31.440 30.960 31.760 ;
RECT 31.040 31.440 31.360 31.760 ;
RECT 31.440 31.440 31.760 31.760 ;
RECT 31.840 31.440 32.160 31.760 ;
RECT 32.240 31.440 32.560 31.760 ;
RECT 32.640 31.440 32.960 31.760 ;
RECT 33.040 31.440 33.360 31.760 ;
RECT 33.440 31.440 33.760 31.760 ;
RECT 33.840 31.440 34.160 31.760 ;
RECT 34.240 31.440 34.560 31.760 ;
RECT 34.640 31.440 34.960 31.760 ;
RECT 35.040 31.440 35.360 31.760 ;
RECT 35.440 31.440 35.760 31.760 ;
RECT 35.840 31.440 36.160 31.760 ;
RECT 36.240 31.440 36.560 31.760 ;
RECT 36.640 31.440 36.960 31.760 ;
RECT 37.040 31.440 37.360 31.760 ;
RECT 37.440 31.440 37.760 31.760 ;
RECT 37.840 31.440 38.160 31.760 ;
RECT 38.240 31.440 38.560 31.760 ;
RECT 38.640 31.440 38.960 31.760 ;
RECT 39.040 31.440 39.360 31.760 ;
RECT 39.440 31.440 39.760 31.760 ;
RECT 39.840 31.440 40.160 31.760 ;
RECT 40.240 31.440 40.560 31.760 ;
RECT 40.640 31.440 40.960 31.760 ;
RECT 41.040 31.440 41.360 31.760 ;
RECT 41.440 31.440 41.760 31.760 ;
RECT 41.840 31.440 42.160 31.760 ;
RECT 42.240 31.440 42.560 31.760 ;
RECT 42.640 31.440 42.960 31.760 ;
RECT 43.040 31.440 43.360 31.760 ;
RECT 43.440 31.440 43.760 31.760 ;
RECT 43.840 31.440 44.160 31.760 ;
RECT 44.240 31.440 44.560 31.760 ;
RECT 44.640 31.440 44.960 31.760 ;
RECT 70.560 31.440 70.880 31.760 ;
RECT 70.960 31.440 71.280 31.760 ;
RECT 71.360 31.440 71.680 31.760 ;
RECT 71.760 31.440 72.080 31.760 ;
RECT 120.560 31.440 120.880 31.760 ;
RECT 120.960 31.440 121.280 31.760 ;
RECT 121.360 31.440 121.680 31.760 ;
RECT 121.760 31.440 122.080 31.760 ;
RECT 181.880 31.440 182.200 31.760 ;
RECT 182.280 31.440 182.600 31.760 ;
RECT 182.680 31.440 183.000 31.760 ;
RECT 183.080 31.440 183.400 31.760 ;
RECT 183.480 31.440 183.800 31.760 ;
RECT 183.880 31.440 184.200 31.760 ;
RECT 184.280 31.440 184.600 31.760 ;
RECT 184.680 31.440 185.000 31.760 ;
RECT 185.080 31.440 185.400 31.760 ;
RECT 185.480 31.440 185.800 31.760 ;
RECT 185.880 31.440 186.200 31.760 ;
RECT 186.280 31.440 186.600 31.760 ;
RECT 186.680 31.440 187.000 31.760 ;
RECT 187.080 31.440 187.400 31.760 ;
RECT 187.480 31.440 187.800 31.760 ;
RECT 187.880 31.440 188.200 31.760 ;
RECT 188.280 31.440 188.600 31.760 ;
RECT 188.680 31.440 189.000 31.760 ;
RECT 189.080 31.440 189.400 31.760 ;
RECT 189.480 31.440 189.800 31.760 ;
RECT 189.880 31.440 190.200 31.760 ;
RECT 190.280 31.440 190.600 31.760 ;
RECT 190.680 31.440 191.000 31.760 ;
RECT 191.080 31.440 191.400 31.760 ;
RECT 191.480 31.440 191.800 31.760 ;
RECT 191.880 31.440 192.200 31.760 ;
RECT 192.280 31.440 192.600 31.760 ;
RECT 192.680 31.440 193.000 31.760 ;
RECT 193.080 31.440 193.400 31.760 ;
RECT 193.480 31.440 193.800 31.760 ;
RECT 193.880 31.440 194.200 31.760 ;
RECT 194.280 31.440 194.600 31.760 ;
RECT 194.680 31.440 195.000 31.760 ;
RECT 195.080 31.440 195.400 31.760 ;
RECT 195.480 31.440 195.800 31.760 ;
RECT 195.880 31.440 196.200 31.760 ;
RECT 196.280 31.440 196.600 31.760 ;
RECT 196.680 31.440 197.000 31.760 ;
RECT 197.080 31.440 197.400 31.760 ;
RECT 197.480 31.440 197.800 31.760 ;
RECT 197.880 31.440 198.200 31.760 ;
RECT 198.280 31.440 198.600 31.760 ;
RECT 198.680 31.440 199.000 31.760 ;
RECT 199.080 31.440 199.400 31.760 ;
RECT 199.480 31.440 199.800 31.760 ;
RECT 199.880 31.440 200.200 31.760 ;
RECT 200.280 31.440 200.600 31.760 ;
RECT 200.680 31.440 201.000 31.760 ;
RECT 201.080 31.440 201.400 31.760 ;
RECT 201.480 31.440 201.800 31.760 ;
RECT 25.040 31.040 25.360 31.360 ;
RECT 25.440 31.040 25.760 31.360 ;
RECT 25.840 31.040 26.160 31.360 ;
RECT 26.240 31.040 26.560 31.360 ;
RECT 26.640 31.040 26.960 31.360 ;
RECT 27.040 31.040 27.360 31.360 ;
RECT 27.440 31.040 27.760 31.360 ;
RECT 27.840 31.040 28.160 31.360 ;
RECT 28.240 31.040 28.560 31.360 ;
RECT 28.640 31.040 28.960 31.360 ;
RECT 29.040 31.040 29.360 31.360 ;
RECT 29.440 31.040 29.760 31.360 ;
RECT 29.840 31.040 30.160 31.360 ;
RECT 30.240 31.040 30.560 31.360 ;
RECT 30.640 31.040 30.960 31.360 ;
RECT 31.040 31.040 31.360 31.360 ;
RECT 31.440 31.040 31.760 31.360 ;
RECT 31.840 31.040 32.160 31.360 ;
RECT 32.240 31.040 32.560 31.360 ;
RECT 32.640 31.040 32.960 31.360 ;
RECT 33.040 31.040 33.360 31.360 ;
RECT 33.440 31.040 33.760 31.360 ;
RECT 33.840 31.040 34.160 31.360 ;
RECT 34.240 31.040 34.560 31.360 ;
RECT 34.640 31.040 34.960 31.360 ;
RECT 35.040 31.040 35.360 31.360 ;
RECT 35.440 31.040 35.760 31.360 ;
RECT 35.840 31.040 36.160 31.360 ;
RECT 36.240 31.040 36.560 31.360 ;
RECT 36.640 31.040 36.960 31.360 ;
RECT 37.040 31.040 37.360 31.360 ;
RECT 37.440 31.040 37.760 31.360 ;
RECT 37.840 31.040 38.160 31.360 ;
RECT 38.240 31.040 38.560 31.360 ;
RECT 38.640 31.040 38.960 31.360 ;
RECT 39.040 31.040 39.360 31.360 ;
RECT 39.440 31.040 39.760 31.360 ;
RECT 39.840 31.040 40.160 31.360 ;
RECT 40.240 31.040 40.560 31.360 ;
RECT 40.640 31.040 40.960 31.360 ;
RECT 41.040 31.040 41.360 31.360 ;
RECT 41.440 31.040 41.760 31.360 ;
RECT 41.840 31.040 42.160 31.360 ;
RECT 42.240 31.040 42.560 31.360 ;
RECT 42.640 31.040 42.960 31.360 ;
RECT 43.040 31.040 43.360 31.360 ;
RECT 43.440 31.040 43.760 31.360 ;
RECT 43.840 31.040 44.160 31.360 ;
RECT 44.240 31.040 44.560 31.360 ;
RECT 44.640 31.040 44.960 31.360 ;
RECT 70.560 31.040 70.880 31.360 ;
RECT 70.960 31.040 71.280 31.360 ;
RECT 71.360 31.040 71.680 31.360 ;
RECT 71.760 31.040 72.080 31.360 ;
RECT 120.560 31.040 120.880 31.360 ;
RECT 120.960 31.040 121.280 31.360 ;
RECT 121.360 31.040 121.680 31.360 ;
RECT 121.760 31.040 122.080 31.360 ;
RECT 181.880 31.040 182.200 31.360 ;
RECT 182.280 31.040 182.600 31.360 ;
RECT 182.680 31.040 183.000 31.360 ;
RECT 183.080 31.040 183.400 31.360 ;
RECT 183.480 31.040 183.800 31.360 ;
RECT 183.880 31.040 184.200 31.360 ;
RECT 184.280 31.040 184.600 31.360 ;
RECT 184.680 31.040 185.000 31.360 ;
RECT 185.080 31.040 185.400 31.360 ;
RECT 185.480 31.040 185.800 31.360 ;
RECT 185.880 31.040 186.200 31.360 ;
RECT 186.280 31.040 186.600 31.360 ;
RECT 186.680 31.040 187.000 31.360 ;
RECT 187.080 31.040 187.400 31.360 ;
RECT 187.480 31.040 187.800 31.360 ;
RECT 187.880 31.040 188.200 31.360 ;
RECT 188.280 31.040 188.600 31.360 ;
RECT 188.680 31.040 189.000 31.360 ;
RECT 189.080 31.040 189.400 31.360 ;
RECT 189.480 31.040 189.800 31.360 ;
RECT 189.880 31.040 190.200 31.360 ;
RECT 190.280 31.040 190.600 31.360 ;
RECT 190.680 31.040 191.000 31.360 ;
RECT 191.080 31.040 191.400 31.360 ;
RECT 191.480 31.040 191.800 31.360 ;
RECT 191.880 31.040 192.200 31.360 ;
RECT 192.280 31.040 192.600 31.360 ;
RECT 192.680 31.040 193.000 31.360 ;
RECT 193.080 31.040 193.400 31.360 ;
RECT 193.480 31.040 193.800 31.360 ;
RECT 193.880 31.040 194.200 31.360 ;
RECT 194.280 31.040 194.600 31.360 ;
RECT 194.680 31.040 195.000 31.360 ;
RECT 195.080 31.040 195.400 31.360 ;
RECT 195.480 31.040 195.800 31.360 ;
RECT 195.880 31.040 196.200 31.360 ;
RECT 196.280 31.040 196.600 31.360 ;
RECT 196.680 31.040 197.000 31.360 ;
RECT 197.080 31.040 197.400 31.360 ;
RECT 197.480 31.040 197.800 31.360 ;
RECT 197.880 31.040 198.200 31.360 ;
RECT 198.280 31.040 198.600 31.360 ;
RECT 198.680 31.040 199.000 31.360 ;
RECT 199.080 31.040 199.400 31.360 ;
RECT 199.480 31.040 199.800 31.360 ;
RECT 199.880 31.040 200.200 31.360 ;
RECT 200.280 31.040 200.600 31.360 ;
RECT 200.680 31.040 201.000 31.360 ;
RECT 201.080 31.040 201.400 31.360 ;
RECT 201.480 31.040 201.800 31.360 ;
RECT 25.040 30.640 25.360 30.960 ;
RECT 25.440 30.640 25.760 30.960 ;
RECT 25.840 30.640 26.160 30.960 ;
RECT 26.240 30.640 26.560 30.960 ;
RECT 26.640 30.640 26.960 30.960 ;
RECT 27.040 30.640 27.360 30.960 ;
RECT 27.440 30.640 27.760 30.960 ;
RECT 27.840 30.640 28.160 30.960 ;
RECT 28.240 30.640 28.560 30.960 ;
RECT 28.640 30.640 28.960 30.960 ;
RECT 29.040 30.640 29.360 30.960 ;
RECT 29.440 30.640 29.760 30.960 ;
RECT 29.840 30.640 30.160 30.960 ;
RECT 30.240 30.640 30.560 30.960 ;
RECT 30.640 30.640 30.960 30.960 ;
RECT 31.040 30.640 31.360 30.960 ;
RECT 31.440 30.640 31.760 30.960 ;
RECT 31.840 30.640 32.160 30.960 ;
RECT 32.240 30.640 32.560 30.960 ;
RECT 32.640 30.640 32.960 30.960 ;
RECT 33.040 30.640 33.360 30.960 ;
RECT 33.440 30.640 33.760 30.960 ;
RECT 33.840 30.640 34.160 30.960 ;
RECT 34.240 30.640 34.560 30.960 ;
RECT 34.640 30.640 34.960 30.960 ;
RECT 35.040 30.640 35.360 30.960 ;
RECT 35.440 30.640 35.760 30.960 ;
RECT 35.840 30.640 36.160 30.960 ;
RECT 36.240 30.640 36.560 30.960 ;
RECT 36.640 30.640 36.960 30.960 ;
RECT 37.040 30.640 37.360 30.960 ;
RECT 37.440 30.640 37.760 30.960 ;
RECT 37.840 30.640 38.160 30.960 ;
RECT 38.240 30.640 38.560 30.960 ;
RECT 38.640 30.640 38.960 30.960 ;
RECT 39.040 30.640 39.360 30.960 ;
RECT 39.440 30.640 39.760 30.960 ;
RECT 39.840 30.640 40.160 30.960 ;
RECT 40.240 30.640 40.560 30.960 ;
RECT 40.640 30.640 40.960 30.960 ;
RECT 41.040 30.640 41.360 30.960 ;
RECT 41.440 30.640 41.760 30.960 ;
RECT 41.840 30.640 42.160 30.960 ;
RECT 42.240 30.640 42.560 30.960 ;
RECT 42.640 30.640 42.960 30.960 ;
RECT 43.040 30.640 43.360 30.960 ;
RECT 43.440 30.640 43.760 30.960 ;
RECT 43.840 30.640 44.160 30.960 ;
RECT 44.240 30.640 44.560 30.960 ;
RECT 44.640 30.640 44.960 30.960 ;
RECT 70.560 30.640 70.880 30.960 ;
RECT 70.960 30.640 71.280 30.960 ;
RECT 71.360 30.640 71.680 30.960 ;
RECT 71.760 30.640 72.080 30.960 ;
RECT 120.560 30.640 120.880 30.960 ;
RECT 120.960 30.640 121.280 30.960 ;
RECT 121.360 30.640 121.680 30.960 ;
RECT 121.760 30.640 122.080 30.960 ;
RECT 181.880 30.640 182.200 30.960 ;
RECT 182.280 30.640 182.600 30.960 ;
RECT 182.680 30.640 183.000 30.960 ;
RECT 183.080 30.640 183.400 30.960 ;
RECT 183.480 30.640 183.800 30.960 ;
RECT 183.880 30.640 184.200 30.960 ;
RECT 184.280 30.640 184.600 30.960 ;
RECT 184.680 30.640 185.000 30.960 ;
RECT 185.080 30.640 185.400 30.960 ;
RECT 185.480 30.640 185.800 30.960 ;
RECT 185.880 30.640 186.200 30.960 ;
RECT 186.280 30.640 186.600 30.960 ;
RECT 186.680 30.640 187.000 30.960 ;
RECT 187.080 30.640 187.400 30.960 ;
RECT 187.480 30.640 187.800 30.960 ;
RECT 187.880 30.640 188.200 30.960 ;
RECT 188.280 30.640 188.600 30.960 ;
RECT 188.680 30.640 189.000 30.960 ;
RECT 189.080 30.640 189.400 30.960 ;
RECT 189.480 30.640 189.800 30.960 ;
RECT 189.880 30.640 190.200 30.960 ;
RECT 190.280 30.640 190.600 30.960 ;
RECT 190.680 30.640 191.000 30.960 ;
RECT 191.080 30.640 191.400 30.960 ;
RECT 191.480 30.640 191.800 30.960 ;
RECT 191.880 30.640 192.200 30.960 ;
RECT 192.280 30.640 192.600 30.960 ;
RECT 192.680 30.640 193.000 30.960 ;
RECT 193.080 30.640 193.400 30.960 ;
RECT 193.480 30.640 193.800 30.960 ;
RECT 193.880 30.640 194.200 30.960 ;
RECT 194.280 30.640 194.600 30.960 ;
RECT 194.680 30.640 195.000 30.960 ;
RECT 195.080 30.640 195.400 30.960 ;
RECT 195.480 30.640 195.800 30.960 ;
RECT 195.880 30.640 196.200 30.960 ;
RECT 196.280 30.640 196.600 30.960 ;
RECT 196.680 30.640 197.000 30.960 ;
RECT 197.080 30.640 197.400 30.960 ;
RECT 197.480 30.640 197.800 30.960 ;
RECT 197.880 30.640 198.200 30.960 ;
RECT 198.280 30.640 198.600 30.960 ;
RECT 198.680 30.640 199.000 30.960 ;
RECT 199.080 30.640 199.400 30.960 ;
RECT 199.480 30.640 199.800 30.960 ;
RECT 199.880 30.640 200.200 30.960 ;
RECT 200.280 30.640 200.600 30.960 ;
RECT 200.680 30.640 201.000 30.960 ;
RECT 201.080 30.640 201.400 30.960 ;
RECT 201.480 30.640 201.800 30.960 ;
RECT 25.040 30.240 25.360 30.560 ;
RECT 25.440 30.240 25.760 30.560 ;
RECT 25.840 30.240 26.160 30.560 ;
RECT 26.240 30.240 26.560 30.560 ;
RECT 26.640 30.240 26.960 30.560 ;
RECT 27.040 30.240 27.360 30.560 ;
RECT 27.440 30.240 27.760 30.560 ;
RECT 27.840 30.240 28.160 30.560 ;
RECT 28.240 30.240 28.560 30.560 ;
RECT 28.640 30.240 28.960 30.560 ;
RECT 29.040 30.240 29.360 30.560 ;
RECT 29.440 30.240 29.760 30.560 ;
RECT 29.840 30.240 30.160 30.560 ;
RECT 30.240 30.240 30.560 30.560 ;
RECT 30.640 30.240 30.960 30.560 ;
RECT 31.040 30.240 31.360 30.560 ;
RECT 31.440 30.240 31.760 30.560 ;
RECT 31.840 30.240 32.160 30.560 ;
RECT 32.240 30.240 32.560 30.560 ;
RECT 32.640 30.240 32.960 30.560 ;
RECT 33.040 30.240 33.360 30.560 ;
RECT 33.440 30.240 33.760 30.560 ;
RECT 33.840 30.240 34.160 30.560 ;
RECT 34.240 30.240 34.560 30.560 ;
RECT 34.640 30.240 34.960 30.560 ;
RECT 35.040 30.240 35.360 30.560 ;
RECT 35.440 30.240 35.760 30.560 ;
RECT 35.840 30.240 36.160 30.560 ;
RECT 36.240 30.240 36.560 30.560 ;
RECT 36.640 30.240 36.960 30.560 ;
RECT 37.040 30.240 37.360 30.560 ;
RECT 37.440 30.240 37.760 30.560 ;
RECT 37.840 30.240 38.160 30.560 ;
RECT 38.240 30.240 38.560 30.560 ;
RECT 38.640 30.240 38.960 30.560 ;
RECT 39.040 30.240 39.360 30.560 ;
RECT 39.440 30.240 39.760 30.560 ;
RECT 39.840 30.240 40.160 30.560 ;
RECT 40.240 30.240 40.560 30.560 ;
RECT 40.640 30.240 40.960 30.560 ;
RECT 41.040 30.240 41.360 30.560 ;
RECT 41.440 30.240 41.760 30.560 ;
RECT 41.840 30.240 42.160 30.560 ;
RECT 42.240 30.240 42.560 30.560 ;
RECT 42.640 30.240 42.960 30.560 ;
RECT 43.040 30.240 43.360 30.560 ;
RECT 43.440 30.240 43.760 30.560 ;
RECT 43.840 30.240 44.160 30.560 ;
RECT 44.240 30.240 44.560 30.560 ;
RECT 44.640 30.240 44.960 30.560 ;
RECT 70.560 30.240 70.880 30.560 ;
RECT 70.960 30.240 71.280 30.560 ;
RECT 71.360 30.240 71.680 30.560 ;
RECT 71.760 30.240 72.080 30.560 ;
RECT 120.560 30.240 120.880 30.560 ;
RECT 120.960 30.240 121.280 30.560 ;
RECT 121.360 30.240 121.680 30.560 ;
RECT 121.760 30.240 122.080 30.560 ;
RECT 181.880 30.240 182.200 30.560 ;
RECT 182.280 30.240 182.600 30.560 ;
RECT 182.680 30.240 183.000 30.560 ;
RECT 183.080 30.240 183.400 30.560 ;
RECT 183.480 30.240 183.800 30.560 ;
RECT 183.880 30.240 184.200 30.560 ;
RECT 184.280 30.240 184.600 30.560 ;
RECT 184.680 30.240 185.000 30.560 ;
RECT 185.080 30.240 185.400 30.560 ;
RECT 185.480 30.240 185.800 30.560 ;
RECT 185.880 30.240 186.200 30.560 ;
RECT 186.280 30.240 186.600 30.560 ;
RECT 186.680 30.240 187.000 30.560 ;
RECT 187.080 30.240 187.400 30.560 ;
RECT 187.480 30.240 187.800 30.560 ;
RECT 187.880 30.240 188.200 30.560 ;
RECT 188.280 30.240 188.600 30.560 ;
RECT 188.680 30.240 189.000 30.560 ;
RECT 189.080 30.240 189.400 30.560 ;
RECT 189.480 30.240 189.800 30.560 ;
RECT 189.880 30.240 190.200 30.560 ;
RECT 190.280 30.240 190.600 30.560 ;
RECT 190.680 30.240 191.000 30.560 ;
RECT 191.080 30.240 191.400 30.560 ;
RECT 191.480 30.240 191.800 30.560 ;
RECT 191.880 30.240 192.200 30.560 ;
RECT 192.280 30.240 192.600 30.560 ;
RECT 192.680 30.240 193.000 30.560 ;
RECT 193.080 30.240 193.400 30.560 ;
RECT 193.480 30.240 193.800 30.560 ;
RECT 193.880 30.240 194.200 30.560 ;
RECT 194.280 30.240 194.600 30.560 ;
RECT 194.680 30.240 195.000 30.560 ;
RECT 195.080 30.240 195.400 30.560 ;
RECT 195.480 30.240 195.800 30.560 ;
RECT 195.880 30.240 196.200 30.560 ;
RECT 196.280 30.240 196.600 30.560 ;
RECT 196.680 30.240 197.000 30.560 ;
RECT 197.080 30.240 197.400 30.560 ;
RECT 197.480 30.240 197.800 30.560 ;
RECT 197.880 30.240 198.200 30.560 ;
RECT 198.280 30.240 198.600 30.560 ;
RECT 198.680 30.240 199.000 30.560 ;
RECT 199.080 30.240 199.400 30.560 ;
RECT 199.480 30.240 199.800 30.560 ;
RECT 199.880 30.240 200.200 30.560 ;
RECT 200.280 30.240 200.600 30.560 ;
RECT 200.680 30.240 201.000 30.560 ;
RECT 201.080 30.240 201.400 30.560 ;
RECT 201.480 30.240 201.800 30.560 ;
RECT 25.040 29.840 25.360 30.160 ;
RECT 25.440 29.840 25.760 30.160 ;
RECT 25.840 29.840 26.160 30.160 ;
RECT 26.240 29.840 26.560 30.160 ;
RECT 26.640 29.840 26.960 30.160 ;
RECT 27.040 29.840 27.360 30.160 ;
RECT 27.440 29.840 27.760 30.160 ;
RECT 27.840 29.840 28.160 30.160 ;
RECT 28.240 29.840 28.560 30.160 ;
RECT 28.640 29.840 28.960 30.160 ;
RECT 29.040 29.840 29.360 30.160 ;
RECT 29.440 29.840 29.760 30.160 ;
RECT 29.840 29.840 30.160 30.160 ;
RECT 30.240 29.840 30.560 30.160 ;
RECT 30.640 29.840 30.960 30.160 ;
RECT 31.040 29.840 31.360 30.160 ;
RECT 31.440 29.840 31.760 30.160 ;
RECT 31.840 29.840 32.160 30.160 ;
RECT 32.240 29.840 32.560 30.160 ;
RECT 32.640 29.840 32.960 30.160 ;
RECT 33.040 29.840 33.360 30.160 ;
RECT 33.440 29.840 33.760 30.160 ;
RECT 33.840 29.840 34.160 30.160 ;
RECT 34.240 29.840 34.560 30.160 ;
RECT 34.640 29.840 34.960 30.160 ;
RECT 35.040 29.840 35.360 30.160 ;
RECT 35.440 29.840 35.760 30.160 ;
RECT 35.840 29.840 36.160 30.160 ;
RECT 36.240 29.840 36.560 30.160 ;
RECT 36.640 29.840 36.960 30.160 ;
RECT 37.040 29.840 37.360 30.160 ;
RECT 37.440 29.840 37.760 30.160 ;
RECT 37.840 29.840 38.160 30.160 ;
RECT 38.240 29.840 38.560 30.160 ;
RECT 38.640 29.840 38.960 30.160 ;
RECT 39.040 29.840 39.360 30.160 ;
RECT 39.440 29.840 39.760 30.160 ;
RECT 39.840 29.840 40.160 30.160 ;
RECT 40.240 29.840 40.560 30.160 ;
RECT 40.640 29.840 40.960 30.160 ;
RECT 41.040 29.840 41.360 30.160 ;
RECT 41.440 29.840 41.760 30.160 ;
RECT 41.840 29.840 42.160 30.160 ;
RECT 42.240 29.840 42.560 30.160 ;
RECT 42.640 29.840 42.960 30.160 ;
RECT 43.040 29.840 43.360 30.160 ;
RECT 43.440 29.840 43.760 30.160 ;
RECT 43.840 29.840 44.160 30.160 ;
RECT 44.240 29.840 44.560 30.160 ;
RECT 44.640 29.840 44.960 30.160 ;
RECT 70.560 29.840 70.880 30.160 ;
RECT 70.960 29.840 71.280 30.160 ;
RECT 71.360 29.840 71.680 30.160 ;
RECT 71.760 29.840 72.080 30.160 ;
RECT 120.560 29.840 120.880 30.160 ;
RECT 120.960 29.840 121.280 30.160 ;
RECT 121.360 29.840 121.680 30.160 ;
RECT 121.760 29.840 122.080 30.160 ;
RECT 181.880 29.840 182.200 30.160 ;
RECT 182.280 29.840 182.600 30.160 ;
RECT 182.680 29.840 183.000 30.160 ;
RECT 183.080 29.840 183.400 30.160 ;
RECT 183.480 29.840 183.800 30.160 ;
RECT 183.880 29.840 184.200 30.160 ;
RECT 184.280 29.840 184.600 30.160 ;
RECT 184.680 29.840 185.000 30.160 ;
RECT 185.080 29.840 185.400 30.160 ;
RECT 185.480 29.840 185.800 30.160 ;
RECT 185.880 29.840 186.200 30.160 ;
RECT 186.280 29.840 186.600 30.160 ;
RECT 186.680 29.840 187.000 30.160 ;
RECT 187.080 29.840 187.400 30.160 ;
RECT 187.480 29.840 187.800 30.160 ;
RECT 187.880 29.840 188.200 30.160 ;
RECT 188.280 29.840 188.600 30.160 ;
RECT 188.680 29.840 189.000 30.160 ;
RECT 189.080 29.840 189.400 30.160 ;
RECT 189.480 29.840 189.800 30.160 ;
RECT 189.880 29.840 190.200 30.160 ;
RECT 190.280 29.840 190.600 30.160 ;
RECT 190.680 29.840 191.000 30.160 ;
RECT 191.080 29.840 191.400 30.160 ;
RECT 191.480 29.840 191.800 30.160 ;
RECT 191.880 29.840 192.200 30.160 ;
RECT 192.280 29.840 192.600 30.160 ;
RECT 192.680 29.840 193.000 30.160 ;
RECT 193.080 29.840 193.400 30.160 ;
RECT 193.480 29.840 193.800 30.160 ;
RECT 193.880 29.840 194.200 30.160 ;
RECT 194.280 29.840 194.600 30.160 ;
RECT 194.680 29.840 195.000 30.160 ;
RECT 195.080 29.840 195.400 30.160 ;
RECT 195.480 29.840 195.800 30.160 ;
RECT 195.880 29.840 196.200 30.160 ;
RECT 196.280 29.840 196.600 30.160 ;
RECT 196.680 29.840 197.000 30.160 ;
RECT 197.080 29.840 197.400 30.160 ;
RECT 197.480 29.840 197.800 30.160 ;
RECT 197.880 29.840 198.200 30.160 ;
RECT 198.280 29.840 198.600 30.160 ;
RECT 198.680 29.840 199.000 30.160 ;
RECT 199.080 29.840 199.400 30.160 ;
RECT 199.480 29.840 199.800 30.160 ;
RECT 199.880 29.840 200.200 30.160 ;
RECT 200.280 29.840 200.600 30.160 ;
RECT 200.680 29.840 201.000 30.160 ;
RECT 201.080 29.840 201.400 30.160 ;
RECT 201.480 29.840 201.800 30.160 ;
RECT 25.040 29.440 25.360 29.760 ;
RECT 25.440 29.440 25.760 29.760 ;
RECT 25.840 29.440 26.160 29.760 ;
RECT 26.240 29.440 26.560 29.760 ;
RECT 26.640 29.440 26.960 29.760 ;
RECT 27.040 29.440 27.360 29.760 ;
RECT 27.440 29.440 27.760 29.760 ;
RECT 27.840 29.440 28.160 29.760 ;
RECT 28.240 29.440 28.560 29.760 ;
RECT 28.640 29.440 28.960 29.760 ;
RECT 29.040 29.440 29.360 29.760 ;
RECT 29.440 29.440 29.760 29.760 ;
RECT 29.840 29.440 30.160 29.760 ;
RECT 30.240 29.440 30.560 29.760 ;
RECT 30.640 29.440 30.960 29.760 ;
RECT 31.040 29.440 31.360 29.760 ;
RECT 31.440 29.440 31.760 29.760 ;
RECT 31.840 29.440 32.160 29.760 ;
RECT 32.240 29.440 32.560 29.760 ;
RECT 32.640 29.440 32.960 29.760 ;
RECT 33.040 29.440 33.360 29.760 ;
RECT 33.440 29.440 33.760 29.760 ;
RECT 33.840 29.440 34.160 29.760 ;
RECT 34.240 29.440 34.560 29.760 ;
RECT 34.640 29.440 34.960 29.760 ;
RECT 35.040 29.440 35.360 29.760 ;
RECT 35.440 29.440 35.760 29.760 ;
RECT 35.840 29.440 36.160 29.760 ;
RECT 36.240 29.440 36.560 29.760 ;
RECT 36.640 29.440 36.960 29.760 ;
RECT 37.040 29.440 37.360 29.760 ;
RECT 37.440 29.440 37.760 29.760 ;
RECT 37.840 29.440 38.160 29.760 ;
RECT 38.240 29.440 38.560 29.760 ;
RECT 38.640 29.440 38.960 29.760 ;
RECT 39.040 29.440 39.360 29.760 ;
RECT 39.440 29.440 39.760 29.760 ;
RECT 39.840 29.440 40.160 29.760 ;
RECT 40.240 29.440 40.560 29.760 ;
RECT 40.640 29.440 40.960 29.760 ;
RECT 41.040 29.440 41.360 29.760 ;
RECT 41.440 29.440 41.760 29.760 ;
RECT 41.840 29.440 42.160 29.760 ;
RECT 42.240 29.440 42.560 29.760 ;
RECT 42.640 29.440 42.960 29.760 ;
RECT 43.040 29.440 43.360 29.760 ;
RECT 43.440 29.440 43.760 29.760 ;
RECT 43.840 29.440 44.160 29.760 ;
RECT 44.240 29.440 44.560 29.760 ;
RECT 44.640 29.440 44.960 29.760 ;
RECT 70.560 29.440 70.880 29.760 ;
RECT 70.960 29.440 71.280 29.760 ;
RECT 71.360 29.440 71.680 29.760 ;
RECT 71.760 29.440 72.080 29.760 ;
RECT 120.560 29.440 120.880 29.760 ;
RECT 120.960 29.440 121.280 29.760 ;
RECT 121.360 29.440 121.680 29.760 ;
RECT 121.760 29.440 122.080 29.760 ;
RECT 181.880 29.440 182.200 29.760 ;
RECT 182.280 29.440 182.600 29.760 ;
RECT 182.680 29.440 183.000 29.760 ;
RECT 183.080 29.440 183.400 29.760 ;
RECT 183.480 29.440 183.800 29.760 ;
RECT 183.880 29.440 184.200 29.760 ;
RECT 184.280 29.440 184.600 29.760 ;
RECT 184.680 29.440 185.000 29.760 ;
RECT 185.080 29.440 185.400 29.760 ;
RECT 185.480 29.440 185.800 29.760 ;
RECT 185.880 29.440 186.200 29.760 ;
RECT 186.280 29.440 186.600 29.760 ;
RECT 186.680 29.440 187.000 29.760 ;
RECT 187.080 29.440 187.400 29.760 ;
RECT 187.480 29.440 187.800 29.760 ;
RECT 187.880 29.440 188.200 29.760 ;
RECT 188.280 29.440 188.600 29.760 ;
RECT 188.680 29.440 189.000 29.760 ;
RECT 189.080 29.440 189.400 29.760 ;
RECT 189.480 29.440 189.800 29.760 ;
RECT 189.880 29.440 190.200 29.760 ;
RECT 190.280 29.440 190.600 29.760 ;
RECT 190.680 29.440 191.000 29.760 ;
RECT 191.080 29.440 191.400 29.760 ;
RECT 191.480 29.440 191.800 29.760 ;
RECT 191.880 29.440 192.200 29.760 ;
RECT 192.280 29.440 192.600 29.760 ;
RECT 192.680 29.440 193.000 29.760 ;
RECT 193.080 29.440 193.400 29.760 ;
RECT 193.480 29.440 193.800 29.760 ;
RECT 193.880 29.440 194.200 29.760 ;
RECT 194.280 29.440 194.600 29.760 ;
RECT 194.680 29.440 195.000 29.760 ;
RECT 195.080 29.440 195.400 29.760 ;
RECT 195.480 29.440 195.800 29.760 ;
RECT 195.880 29.440 196.200 29.760 ;
RECT 196.280 29.440 196.600 29.760 ;
RECT 196.680 29.440 197.000 29.760 ;
RECT 197.080 29.440 197.400 29.760 ;
RECT 197.480 29.440 197.800 29.760 ;
RECT 197.880 29.440 198.200 29.760 ;
RECT 198.280 29.440 198.600 29.760 ;
RECT 198.680 29.440 199.000 29.760 ;
RECT 199.080 29.440 199.400 29.760 ;
RECT 199.480 29.440 199.800 29.760 ;
RECT 199.880 29.440 200.200 29.760 ;
RECT 200.280 29.440 200.600 29.760 ;
RECT 200.680 29.440 201.000 29.760 ;
RECT 201.080 29.440 201.400 29.760 ;
RECT 201.480 29.440 201.800 29.760 ;
RECT 25.040 29.040 25.360 29.360 ;
RECT 25.440 29.040 25.760 29.360 ;
RECT 25.840 29.040 26.160 29.360 ;
RECT 26.240 29.040 26.560 29.360 ;
RECT 26.640 29.040 26.960 29.360 ;
RECT 27.040 29.040 27.360 29.360 ;
RECT 27.440 29.040 27.760 29.360 ;
RECT 27.840 29.040 28.160 29.360 ;
RECT 28.240 29.040 28.560 29.360 ;
RECT 28.640 29.040 28.960 29.360 ;
RECT 29.040 29.040 29.360 29.360 ;
RECT 29.440 29.040 29.760 29.360 ;
RECT 29.840 29.040 30.160 29.360 ;
RECT 30.240 29.040 30.560 29.360 ;
RECT 30.640 29.040 30.960 29.360 ;
RECT 31.040 29.040 31.360 29.360 ;
RECT 31.440 29.040 31.760 29.360 ;
RECT 31.840 29.040 32.160 29.360 ;
RECT 32.240 29.040 32.560 29.360 ;
RECT 32.640 29.040 32.960 29.360 ;
RECT 33.040 29.040 33.360 29.360 ;
RECT 33.440 29.040 33.760 29.360 ;
RECT 33.840 29.040 34.160 29.360 ;
RECT 34.240 29.040 34.560 29.360 ;
RECT 34.640 29.040 34.960 29.360 ;
RECT 35.040 29.040 35.360 29.360 ;
RECT 35.440 29.040 35.760 29.360 ;
RECT 35.840 29.040 36.160 29.360 ;
RECT 36.240 29.040 36.560 29.360 ;
RECT 36.640 29.040 36.960 29.360 ;
RECT 37.040 29.040 37.360 29.360 ;
RECT 37.440 29.040 37.760 29.360 ;
RECT 37.840 29.040 38.160 29.360 ;
RECT 38.240 29.040 38.560 29.360 ;
RECT 38.640 29.040 38.960 29.360 ;
RECT 39.040 29.040 39.360 29.360 ;
RECT 39.440 29.040 39.760 29.360 ;
RECT 39.840 29.040 40.160 29.360 ;
RECT 40.240 29.040 40.560 29.360 ;
RECT 40.640 29.040 40.960 29.360 ;
RECT 41.040 29.040 41.360 29.360 ;
RECT 41.440 29.040 41.760 29.360 ;
RECT 41.840 29.040 42.160 29.360 ;
RECT 42.240 29.040 42.560 29.360 ;
RECT 42.640 29.040 42.960 29.360 ;
RECT 43.040 29.040 43.360 29.360 ;
RECT 43.440 29.040 43.760 29.360 ;
RECT 43.840 29.040 44.160 29.360 ;
RECT 44.240 29.040 44.560 29.360 ;
RECT 44.640 29.040 44.960 29.360 ;
RECT 70.560 29.040 70.880 29.360 ;
RECT 70.960 29.040 71.280 29.360 ;
RECT 71.360 29.040 71.680 29.360 ;
RECT 71.760 29.040 72.080 29.360 ;
RECT 120.560 29.040 120.880 29.360 ;
RECT 120.960 29.040 121.280 29.360 ;
RECT 121.360 29.040 121.680 29.360 ;
RECT 121.760 29.040 122.080 29.360 ;
RECT 181.880 29.040 182.200 29.360 ;
RECT 182.280 29.040 182.600 29.360 ;
RECT 182.680 29.040 183.000 29.360 ;
RECT 183.080 29.040 183.400 29.360 ;
RECT 183.480 29.040 183.800 29.360 ;
RECT 183.880 29.040 184.200 29.360 ;
RECT 184.280 29.040 184.600 29.360 ;
RECT 184.680 29.040 185.000 29.360 ;
RECT 185.080 29.040 185.400 29.360 ;
RECT 185.480 29.040 185.800 29.360 ;
RECT 185.880 29.040 186.200 29.360 ;
RECT 186.280 29.040 186.600 29.360 ;
RECT 186.680 29.040 187.000 29.360 ;
RECT 187.080 29.040 187.400 29.360 ;
RECT 187.480 29.040 187.800 29.360 ;
RECT 187.880 29.040 188.200 29.360 ;
RECT 188.280 29.040 188.600 29.360 ;
RECT 188.680 29.040 189.000 29.360 ;
RECT 189.080 29.040 189.400 29.360 ;
RECT 189.480 29.040 189.800 29.360 ;
RECT 189.880 29.040 190.200 29.360 ;
RECT 190.280 29.040 190.600 29.360 ;
RECT 190.680 29.040 191.000 29.360 ;
RECT 191.080 29.040 191.400 29.360 ;
RECT 191.480 29.040 191.800 29.360 ;
RECT 191.880 29.040 192.200 29.360 ;
RECT 192.280 29.040 192.600 29.360 ;
RECT 192.680 29.040 193.000 29.360 ;
RECT 193.080 29.040 193.400 29.360 ;
RECT 193.480 29.040 193.800 29.360 ;
RECT 193.880 29.040 194.200 29.360 ;
RECT 194.280 29.040 194.600 29.360 ;
RECT 194.680 29.040 195.000 29.360 ;
RECT 195.080 29.040 195.400 29.360 ;
RECT 195.480 29.040 195.800 29.360 ;
RECT 195.880 29.040 196.200 29.360 ;
RECT 196.280 29.040 196.600 29.360 ;
RECT 196.680 29.040 197.000 29.360 ;
RECT 197.080 29.040 197.400 29.360 ;
RECT 197.480 29.040 197.800 29.360 ;
RECT 197.880 29.040 198.200 29.360 ;
RECT 198.280 29.040 198.600 29.360 ;
RECT 198.680 29.040 199.000 29.360 ;
RECT 199.080 29.040 199.400 29.360 ;
RECT 199.480 29.040 199.800 29.360 ;
RECT 199.880 29.040 200.200 29.360 ;
RECT 200.280 29.040 200.600 29.360 ;
RECT 200.680 29.040 201.000 29.360 ;
RECT 201.080 29.040 201.400 29.360 ;
RECT 201.480 29.040 201.800 29.360 ;
RECT 25.040 28.640 25.360 28.960 ;
RECT 25.440 28.640 25.760 28.960 ;
RECT 25.840 28.640 26.160 28.960 ;
RECT 26.240 28.640 26.560 28.960 ;
RECT 26.640 28.640 26.960 28.960 ;
RECT 27.040 28.640 27.360 28.960 ;
RECT 27.440 28.640 27.760 28.960 ;
RECT 27.840 28.640 28.160 28.960 ;
RECT 28.240 28.640 28.560 28.960 ;
RECT 28.640 28.640 28.960 28.960 ;
RECT 29.040 28.640 29.360 28.960 ;
RECT 29.440 28.640 29.760 28.960 ;
RECT 29.840 28.640 30.160 28.960 ;
RECT 30.240 28.640 30.560 28.960 ;
RECT 30.640 28.640 30.960 28.960 ;
RECT 31.040 28.640 31.360 28.960 ;
RECT 31.440 28.640 31.760 28.960 ;
RECT 31.840 28.640 32.160 28.960 ;
RECT 32.240 28.640 32.560 28.960 ;
RECT 32.640 28.640 32.960 28.960 ;
RECT 33.040 28.640 33.360 28.960 ;
RECT 33.440 28.640 33.760 28.960 ;
RECT 33.840 28.640 34.160 28.960 ;
RECT 34.240 28.640 34.560 28.960 ;
RECT 34.640 28.640 34.960 28.960 ;
RECT 35.040 28.640 35.360 28.960 ;
RECT 35.440 28.640 35.760 28.960 ;
RECT 35.840 28.640 36.160 28.960 ;
RECT 36.240 28.640 36.560 28.960 ;
RECT 36.640 28.640 36.960 28.960 ;
RECT 37.040 28.640 37.360 28.960 ;
RECT 37.440 28.640 37.760 28.960 ;
RECT 37.840 28.640 38.160 28.960 ;
RECT 38.240 28.640 38.560 28.960 ;
RECT 38.640 28.640 38.960 28.960 ;
RECT 39.040 28.640 39.360 28.960 ;
RECT 39.440 28.640 39.760 28.960 ;
RECT 39.840 28.640 40.160 28.960 ;
RECT 40.240 28.640 40.560 28.960 ;
RECT 40.640 28.640 40.960 28.960 ;
RECT 41.040 28.640 41.360 28.960 ;
RECT 41.440 28.640 41.760 28.960 ;
RECT 41.840 28.640 42.160 28.960 ;
RECT 42.240 28.640 42.560 28.960 ;
RECT 42.640 28.640 42.960 28.960 ;
RECT 43.040 28.640 43.360 28.960 ;
RECT 43.440 28.640 43.760 28.960 ;
RECT 43.840 28.640 44.160 28.960 ;
RECT 44.240 28.640 44.560 28.960 ;
RECT 44.640 28.640 44.960 28.960 ;
RECT 70.560 28.640 70.880 28.960 ;
RECT 70.960 28.640 71.280 28.960 ;
RECT 71.360 28.640 71.680 28.960 ;
RECT 71.760 28.640 72.080 28.960 ;
RECT 120.560 28.640 120.880 28.960 ;
RECT 120.960 28.640 121.280 28.960 ;
RECT 121.360 28.640 121.680 28.960 ;
RECT 121.760 28.640 122.080 28.960 ;
RECT 181.880 28.640 182.200 28.960 ;
RECT 182.280 28.640 182.600 28.960 ;
RECT 182.680 28.640 183.000 28.960 ;
RECT 183.080 28.640 183.400 28.960 ;
RECT 183.480 28.640 183.800 28.960 ;
RECT 183.880 28.640 184.200 28.960 ;
RECT 184.280 28.640 184.600 28.960 ;
RECT 184.680 28.640 185.000 28.960 ;
RECT 185.080 28.640 185.400 28.960 ;
RECT 185.480 28.640 185.800 28.960 ;
RECT 185.880 28.640 186.200 28.960 ;
RECT 186.280 28.640 186.600 28.960 ;
RECT 186.680 28.640 187.000 28.960 ;
RECT 187.080 28.640 187.400 28.960 ;
RECT 187.480 28.640 187.800 28.960 ;
RECT 187.880 28.640 188.200 28.960 ;
RECT 188.280 28.640 188.600 28.960 ;
RECT 188.680 28.640 189.000 28.960 ;
RECT 189.080 28.640 189.400 28.960 ;
RECT 189.480 28.640 189.800 28.960 ;
RECT 189.880 28.640 190.200 28.960 ;
RECT 190.280 28.640 190.600 28.960 ;
RECT 190.680 28.640 191.000 28.960 ;
RECT 191.080 28.640 191.400 28.960 ;
RECT 191.480 28.640 191.800 28.960 ;
RECT 191.880 28.640 192.200 28.960 ;
RECT 192.280 28.640 192.600 28.960 ;
RECT 192.680 28.640 193.000 28.960 ;
RECT 193.080 28.640 193.400 28.960 ;
RECT 193.480 28.640 193.800 28.960 ;
RECT 193.880 28.640 194.200 28.960 ;
RECT 194.280 28.640 194.600 28.960 ;
RECT 194.680 28.640 195.000 28.960 ;
RECT 195.080 28.640 195.400 28.960 ;
RECT 195.480 28.640 195.800 28.960 ;
RECT 195.880 28.640 196.200 28.960 ;
RECT 196.280 28.640 196.600 28.960 ;
RECT 196.680 28.640 197.000 28.960 ;
RECT 197.080 28.640 197.400 28.960 ;
RECT 197.480 28.640 197.800 28.960 ;
RECT 197.880 28.640 198.200 28.960 ;
RECT 198.280 28.640 198.600 28.960 ;
RECT 198.680 28.640 199.000 28.960 ;
RECT 199.080 28.640 199.400 28.960 ;
RECT 199.480 28.640 199.800 28.960 ;
RECT 199.880 28.640 200.200 28.960 ;
RECT 200.280 28.640 200.600 28.960 ;
RECT 200.680 28.640 201.000 28.960 ;
RECT 201.080 28.640 201.400 28.960 ;
RECT 201.480 28.640 201.800 28.960 ;
RECT 25.040 28.240 25.360 28.560 ;
RECT 25.440 28.240 25.760 28.560 ;
RECT 25.840 28.240 26.160 28.560 ;
RECT 26.240 28.240 26.560 28.560 ;
RECT 26.640 28.240 26.960 28.560 ;
RECT 27.040 28.240 27.360 28.560 ;
RECT 27.440 28.240 27.760 28.560 ;
RECT 27.840 28.240 28.160 28.560 ;
RECT 28.240 28.240 28.560 28.560 ;
RECT 28.640 28.240 28.960 28.560 ;
RECT 29.040 28.240 29.360 28.560 ;
RECT 29.440 28.240 29.760 28.560 ;
RECT 29.840 28.240 30.160 28.560 ;
RECT 30.240 28.240 30.560 28.560 ;
RECT 30.640 28.240 30.960 28.560 ;
RECT 31.040 28.240 31.360 28.560 ;
RECT 31.440 28.240 31.760 28.560 ;
RECT 31.840 28.240 32.160 28.560 ;
RECT 32.240 28.240 32.560 28.560 ;
RECT 32.640 28.240 32.960 28.560 ;
RECT 33.040 28.240 33.360 28.560 ;
RECT 33.440 28.240 33.760 28.560 ;
RECT 33.840 28.240 34.160 28.560 ;
RECT 34.240 28.240 34.560 28.560 ;
RECT 34.640 28.240 34.960 28.560 ;
RECT 35.040 28.240 35.360 28.560 ;
RECT 35.440 28.240 35.760 28.560 ;
RECT 35.840 28.240 36.160 28.560 ;
RECT 36.240 28.240 36.560 28.560 ;
RECT 36.640 28.240 36.960 28.560 ;
RECT 37.040 28.240 37.360 28.560 ;
RECT 37.440 28.240 37.760 28.560 ;
RECT 37.840 28.240 38.160 28.560 ;
RECT 38.240 28.240 38.560 28.560 ;
RECT 38.640 28.240 38.960 28.560 ;
RECT 39.040 28.240 39.360 28.560 ;
RECT 39.440 28.240 39.760 28.560 ;
RECT 39.840 28.240 40.160 28.560 ;
RECT 40.240 28.240 40.560 28.560 ;
RECT 40.640 28.240 40.960 28.560 ;
RECT 41.040 28.240 41.360 28.560 ;
RECT 41.440 28.240 41.760 28.560 ;
RECT 41.840 28.240 42.160 28.560 ;
RECT 42.240 28.240 42.560 28.560 ;
RECT 42.640 28.240 42.960 28.560 ;
RECT 43.040 28.240 43.360 28.560 ;
RECT 43.440 28.240 43.760 28.560 ;
RECT 43.840 28.240 44.160 28.560 ;
RECT 44.240 28.240 44.560 28.560 ;
RECT 44.640 28.240 44.960 28.560 ;
RECT 70.560 28.240 70.880 28.560 ;
RECT 70.960 28.240 71.280 28.560 ;
RECT 71.360 28.240 71.680 28.560 ;
RECT 71.760 28.240 72.080 28.560 ;
RECT 120.560 28.240 120.880 28.560 ;
RECT 120.960 28.240 121.280 28.560 ;
RECT 121.360 28.240 121.680 28.560 ;
RECT 121.760 28.240 122.080 28.560 ;
RECT 181.880 28.240 182.200 28.560 ;
RECT 182.280 28.240 182.600 28.560 ;
RECT 182.680 28.240 183.000 28.560 ;
RECT 183.080 28.240 183.400 28.560 ;
RECT 183.480 28.240 183.800 28.560 ;
RECT 183.880 28.240 184.200 28.560 ;
RECT 184.280 28.240 184.600 28.560 ;
RECT 184.680 28.240 185.000 28.560 ;
RECT 185.080 28.240 185.400 28.560 ;
RECT 185.480 28.240 185.800 28.560 ;
RECT 185.880 28.240 186.200 28.560 ;
RECT 186.280 28.240 186.600 28.560 ;
RECT 186.680 28.240 187.000 28.560 ;
RECT 187.080 28.240 187.400 28.560 ;
RECT 187.480 28.240 187.800 28.560 ;
RECT 187.880 28.240 188.200 28.560 ;
RECT 188.280 28.240 188.600 28.560 ;
RECT 188.680 28.240 189.000 28.560 ;
RECT 189.080 28.240 189.400 28.560 ;
RECT 189.480 28.240 189.800 28.560 ;
RECT 189.880 28.240 190.200 28.560 ;
RECT 190.280 28.240 190.600 28.560 ;
RECT 190.680 28.240 191.000 28.560 ;
RECT 191.080 28.240 191.400 28.560 ;
RECT 191.480 28.240 191.800 28.560 ;
RECT 191.880 28.240 192.200 28.560 ;
RECT 192.280 28.240 192.600 28.560 ;
RECT 192.680 28.240 193.000 28.560 ;
RECT 193.080 28.240 193.400 28.560 ;
RECT 193.480 28.240 193.800 28.560 ;
RECT 193.880 28.240 194.200 28.560 ;
RECT 194.280 28.240 194.600 28.560 ;
RECT 194.680 28.240 195.000 28.560 ;
RECT 195.080 28.240 195.400 28.560 ;
RECT 195.480 28.240 195.800 28.560 ;
RECT 195.880 28.240 196.200 28.560 ;
RECT 196.280 28.240 196.600 28.560 ;
RECT 196.680 28.240 197.000 28.560 ;
RECT 197.080 28.240 197.400 28.560 ;
RECT 197.480 28.240 197.800 28.560 ;
RECT 197.880 28.240 198.200 28.560 ;
RECT 198.280 28.240 198.600 28.560 ;
RECT 198.680 28.240 199.000 28.560 ;
RECT 199.080 28.240 199.400 28.560 ;
RECT 199.480 28.240 199.800 28.560 ;
RECT 199.880 28.240 200.200 28.560 ;
RECT 200.280 28.240 200.600 28.560 ;
RECT 200.680 28.240 201.000 28.560 ;
RECT 201.080 28.240 201.400 28.560 ;
RECT 201.480 28.240 201.800 28.560 ;
RECT 25.040 27.840 25.360 28.160 ;
RECT 25.440 27.840 25.760 28.160 ;
RECT 25.840 27.840 26.160 28.160 ;
RECT 26.240 27.840 26.560 28.160 ;
RECT 26.640 27.840 26.960 28.160 ;
RECT 27.040 27.840 27.360 28.160 ;
RECT 27.440 27.840 27.760 28.160 ;
RECT 27.840 27.840 28.160 28.160 ;
RECT 28.240 27.840 28.560 28.160 ;
RECT 28.640 27.840 28.960 28.160 ;
RECT 29.040 27.840 29.360 28.160 ;
RECT 29.440 27.840 29.760 28.160 ;
RECT 29.840 27.840 30.160 28.160 ;
RECT 30.240 27.840 30.560 28.160 ;
RECT 30.640 27.840 30.960 28.160 ;
RECT 31.040 27.840 31.360 28.160 ;
RECT 31.440 27.840 31.760 28.160 ;
RECT 31.840 27.840 32.160 28.160 ;
RECT 32.240 27.840 32.560 28.160 ;
RECT 32.640 27.840 32.960 28.160 ;
RECT 33.040 27.840 33.360 28.160 ;
RECT 33.440 27.840 33.760 28.160 ;
RECT 33.840 27.840 34.160 28.160 ;
RECT 34.240 27.840 34.560 28.160 ;
RECT 34.640 27.840 34.960 28.160 ;
RECT 35.040 27.840 35.360 28.160 ;
RECT 35.440 27.840 35.760 28.160 ;
RECT 35.840 27.840 36.160 28.160 ;
RECT 36.240 27.840 36.560 28.160 ;
RECT 36.640 27.840 36.960 28.160 ;
RECT 37.040 27.840 37.360 28.160 ;
RECT 37.440 27.840 37.760 28.160 ;
RECT 37.840 27.840 38.160 28.160 ;
RECT 38.240 27.840 38.560 28.160 ;
RECT 38.640 27.840 38.960 28.160 ;
RECT 39.040 27.840 39.360 28.160 ;
RECT 39.440 27.840 39.760 28.160 ;
RECT 39.840 27.840 40.160 28.160 ;
RECT 40.240 27.840 40.560 28.160 ;
RECT 40.640 27.840 40.960 28.160 ;
RECT 41.040 27.840 41.360 28.160 ;
RECT 41.440 27.840 41.760 28.160 ;
RECT 41.840 27.840 42.160 28.160 ;
RECT 42.240 27.840 42.560 28.160 ;
RECT 42.640 27.840 42.960 28.160 ;
RECT 43.040 27.840 43.360 28.160 ;
RECT 43.440 27.840 43.760 28.160 ;
RECT 43.840 27.840 44.160 28.160 ;
RECT 44.240 27.840 44.560 28.160 ;
RECT 44.640 27.840 44.960 28.160 ;
RECT 70.560 27.840 70.880 28.160 ;
RECT 70.960 27.840 71.280 28.160 ;
RECT 71.360 27.840 71.680 28.160 ;
RECT 71.760 27.840 72.080 28.160 ;
RECT 120.560 27.840 120.880 28.160 ;
RECT 120.960 27.840 121.280 28.160 ;
RECT 121.360 27.840 121.680 28.160 ;
RECT 121.760 27.840 122.080 28.160 ;
RECT 181.880 27.840 182.200 28.160 ;
RECT 182.280 27.840 182.600 28.160 ;
RECT 182.680 27.840 183.000 28.160 ;
RECT 183.080 27.840 183.400 28.160 ;
RECT 183.480 27.840 183.800 28.160 ;
RECT 183.880 27.840 184.200 28.160 ;
RECT 184.280 27.840 184.600 28.160 ;
RECT 184.680 27.840 185.000 28.160 ;
RECT 185.080 27.840 185.400 28.160 ;
RECT 185.480 27.840 185.800 28.160 ;
RECT 185.880 27.840 186.200 28.160 ;
RECT 186.280 27.840 186.600 28.160 ;
RECT 186.680 27.840 187.000 28.160 ;
RECT 187.080 27.840 187.400 28.160 ;
RECT 187.480 27.840 187.800 28.160 ;
RECT 187.880 27.840 188.200 28.160 ;
RECT 188.280 27.840 188.600 28.160 ;
RECT 188.680 27.840 189.000 28.160 ;
RECT 189.080 27.840 189.400 28.160 ;
RECT 189.480 27.840 189.800 28.160 ;
RECT 189.880 27.840 190.200 28.160 ;
RECT 190.280 27.840 190.600 28.160 ;
RECT 190.680 27.840 191.000 28.160 ;
RECT 191.080 27.840 191.400 28.160 ;
RECT 191.480 27.840 191.800 28.160 ;
RECT 191.880 27.840 192.200 28.160 ;
RECT 192.280 27.840 192.600 28.160 ;
RECT 192.680 27.840 193.000 28.160 ;
RECT 193.080 27.840 193.400 28.160 ;
RECT 193.480 27.840 193.800 28.160 ;
RECT 193.880 27.840 194.200 28.160 ;
RECT 194.280 27.840 194.600 28.160 ;
RECT 194.680 27.840 195.000 28.160 ;
RECT 195.080 27.840 195.400 28.160 ;
RECT 195.480 27.840 195.800 28.160 ;
RECT 195.880 27.840 196.200 28.160 ;
RECT 196.280 27.840 196.600 28.160 ;
RECT 196.680 27.840 197.000 28.160 ;
RECT 197.080 27.840 197.400 28.160 ;
RECT 197.480 27.840 197.800 28.160 ;
RECT 197.880 27.840 198.200 28.160 ;
RECT 198.280 27.840 198.600 28.160 ;
RECT 198.680 27.840 199.000 28.160 ;
RECT 199.080 27.840 199.400 28.160 ;
RECT 199.480 27.840 199.800 28.160 ;
RECT 199.880 27.840 200.200 28.160 ;
RECT 200.280 27.840 200.600 28.160 ;
RECT 200.680 27.840 201.000 28.160 ;
RECT 201.080 27.840 201.400 28.160 ;
RECT 201.480 27.840 201.800 28.160 ;
RECT 25.040 27.440 25.360 27.760 ;
RECT 25.440 27.440 25.760 27.760 ;
RECT 25.840 27.440 26.160 27.760 ;
RECT 26.240 27.440 26.560 27.760 ;
RECT 26.640 27.440 26.960 27.760 ;
RECT 27.040 27.440 27.360 27.760 ;
RECT 27.440 27.440 27.760 27.760 ;
RECT 27.840 27.440 28.160 27.760 ;
RECT 28.240 27.440 28.560 27.760 ;
RECT 28.640 27.440 28.960 27.760 ;
RECT 29.040 27.440 29.360 27.760 ;
RECT 29.440 27.440 29.760 27.760 ;
RECT 29.840 27.440 30.160 27.760 ;
RECT 30.240 27.440 30.560 27.760 ;
RECT 30.640 27.440 30.960 27.760 ;
RECT 31.040 27.440 31.360 27.760 ;
RECT 31.440 27.440 31.760 27.760 ;
RECT 31.840 27.440 32.160 27.760 ;
RECT 32.240 27.440 32.560 27.760 ;
RECT 32.640 27.440 32.960 27.760 ;
RECT 33.040 27.440 33.360 27.760 ;
RECT 33.440 27.440 33.760 27.760 ;
RECT 33.840 27.440 34.160 27.760 ;
RECT 34.240 27.440 34.560 27.760 ;
RECT 34.640 27.440 34.960 27.760 ;
RECT 35.040 27.440 35.360 27.760 ;
RECT 35.440 27.440 35.760 27.760 ;
RECT 35.840 27.440 36.160 27.760 ;
RECT 36.240 27.440 36.560 27.760 ;
RECT 36.640 27.440 36.960 27.760 ;
RECT 37.040 27.440 37.360 27.760 ;
RECT 37.440 27.440 37.760 27.760 ;
RECT 37.840 27.440 38.160 27.760 ;
RECT 38.240 27.440 38.560 27.760 ;
RECT 38.640 27.440 38.960 27.760 ;
RECT 39.040 27.440 39.360 27.760 ;
RECT 39.440 27.440 39.760 27.760 ;
RECT 39.840 27.440 40.160 27.760 ;
RECT 40.240 27.440 40.560 27.760 ;
RECT 40.640 27.440 40.960 27.760 ;
RECT 41.040 27.440 41.360 27.760 ;
RECT 41.440 27.440 41.760 27.760 ;
RECT 41.840 27.440 42.160 27.760 ;
RECT 42.240 27.440 42.560 27.760 ;
RECT 42.640 27.440 42.960 27.760 ;
RECT 43.040 27.440 43.360 27.760 ;
RECT 43.440 27.440 43.760 27.760 ;
RECT 43.840 27.440 44.160 27.760 ;
RECT 44.240 27.440 44.560 27.760 ;
RECT 44.640 27.440 44.960 27.760 ;
RECT 70.560 27.440 70.880 27.760 ;
RECT 70.960 27.440 71.280 27.760 ;
RECT 71.360 27.440 71.680 27.760 ;
RECT 71.760 27.440 72.080 27.760 ;
RECT 120.560 27.440 120.880 27.760 ;
RECT 120.960 27.440 121.280 27.760 ;
RECT 121.360 27.440 121.680 27.760 ;
RECT 121.760 27.440 122.080 27.760 ;
RECT 181.880 27.440 182.200 27.760 ;
RECT 182.280 27.440 182.600 27.760 ;
RECT 182.680 27.440 183.000 27.760 ;
RECT 183.080 27.440 183.400 27.760 ;
RECT 183.480 27.440 183.800 27.760 ;
RECT 183.880 27.440 184.200 27.760 ;
RECT 184.280 27.440 184.600 27.760 ;
RECT 184.680 27.440 185.000 27.760 ;
RECT 185.080 27.440 185.400 27.760 ;
RECT 185.480 27.440 185.800 27.760 ;
RECT 185.880 27.440 186.200 27.760 ;
RECT 186.280 27.440 186.600 27.760 ;
RECT 186.680 27.440 187.000 27.760 ;
RECT 187.080 27.440 187.400 27.760 ;
RECT 187.480 27.440 187.800 27.760 ;
RECT 187.880 27.440 188.200 27.760 ;
RECT 188.280 27.440 188.600 27.760 ;
RECT 188.680 27.440 189.000 27.760 ;
RECT 189.080 27.440 189.400 27.760 ;
RECT 189.480 27.440 189.800 27.760 ;
RECT 189.880 27.440 190.200 27.760 ;
RECT 190.280 27.440 190.600 27.760 ;
RECT 190.680 27.440 191.000 27.760 ;
RECT 191.080 27.440 191.400 27.760 ;
RECT 191.480 27.440 191.800 27.760 ;
RECT 191.880 27.440 192.200 27.760 ;
RECT 192.280 27.440 192.600 27.760 ;
RECT 192.680 27.440 193.000 27.760 ;
RECT 193.080 27.440 193.400 27.760 ;
RECT 193.480 27.440 193.800 27.760 ;
RECT 193.880 27.440 194.200 27.760 ;
RECT 194.280 27.440 194.600 27.760 ;
RECT 194.680 27.440 195.000 27.760 ;
RECT 195.080 27.440 195.400 27.760 ;
RECT 195.480 27.440 195.800 27.760 ;
RECT 195.880 27.440 196.200 27.760 ;
RECT 196.280 27.440 196.600 27.760 ;
RECT 196.680 27.440 197.000 27.760 ;
RECT 197.080 27.440 197.400 27.760 ;
RECT 197.480 27.440 197.800 27.760 ;
RECT 197.880 27.440 198.200 27.760 ;
RECT 198.280 27.440 198.600 27.760 ;
RECT 198.680 27.440 199.000 27.760 ;
RECT 199.080 27.440 199.400 27.760 ;
RECT 199.480 27.440 199.800 27.760 ;
RECT 199.880 27.440 200.200 27.760 ;
RECT 200.280 27.440 200.600 27.760 ;
RECT 200.680 27.440 201.000 27.760 ;
RECT 201.080 27.440 201.400 27.760 ;
RECT 201.480 27.440 201.800 27.760 ;
RECT 25.040 27.040 25.360 27.360 ;
RECT 25.440 27.040 25.760 27.360 ;
RECT 25.840 27.040 26.160 27.360 ;
RECT 26.240 27.040 26.560 27.360 ;
RECT 26.640 27.040 26.960 27.360 ;
RECT 27.040 27.040 27.360 27.360 ;
RECT 27.440 27.040 27.760 27.360 ;
RECT 27.840 27.040 28.160 27.360 ;
RECT 28.240 27.040 28.560 27.360 ;
RECT 28.640 27.040 28.960 27.360 ;
RECT 29.040 27.040 29.360 27.360 ;
RECT 29.440 27.040 29.760 27.360 ;
RECT 29.840 27.040 30.160 27.360 ;
RECT 30.240 27.040 30.560 27.360 ;
RECT 30.640 27.040 30.960 27.360 ;
RECT 31.040 27.040 31.360 27.360 ;
RECT 31.440 27.040 31.760 27.360 ;
RECT 31.840 27.040 32.160 27.360 ;
RECT 32.240 27.040 32.560 27.360 ;
RECT 32.640 27.040 32.960 27.360 ;
RECT 33.040 27.040 33.360 27.360 ;
RECT 33.440 27.040 33.760 27.360 ;
RECT 33.840 27.040 34.160 27.360 ;
RECT 34.240 27.040 34.560 27.360 ;
RECT 34.640 27.040 34.960 27.360 ;
RECT 35.040 27.040 35.360 27.360 ;
RECT 35.440 27.040 35.760 27.360 ;
RECT 35.840 27.040 36.160 27.360 ;
RECT 36.240 27.040 36.560 27.360 ;
RECT 36.640 27.040 36.960 27.360 ;
RECT 37.040 27.040 37.360 27.360 ;
RECT 37.440 27.040 37.760 27.360 ;
RECT 37.840 27.040 38.160 27.360 ;
RECT 38.240 27.040 38.560 27.360 ;
RECT 38.640 27.040 38.960 27.360 ;
RECT 39.040 27.040 39.360 27.360 ;
RECT 39.440 27.040 39.760 27.360 ;
RECT 39.840 27.040 40.160 27.360 ;
RECT 40.240 27.040 40.560 27.360 ;
RECT 40.640 27.040 40.960 27.360 ;
RECT 41.040 27.040 41.360 27.360 ;
RECT 41.440 27.040 41.760 27.360 ;
RECT 41.840 27.040 42.160 27.360 ;
RECT 42.240 27.040 42.560 27.360 ;
RECT 42.640 27.040 42.960 27.360 ;
RECT 43.040 27.040 43.360 27.360 ;
RECT 43.440 27.040 43.760 27.360 ;
RECT 43.840 27.040 44.160 27.360 ;
RECT 44.240 27.040 44.560 27.360 ;
RECT 44.640 27.040 44.960 27.360 ;
RECT 70.560 27.040 70.880 27.360 ;
RECT 70.960 27.040 71.280 27.360 ;
RECT 71.360 27.040 71.680 27.360 ;
RECT 71.760 27.040 72.080 27.360 ;
RECT 120.560 27.040 120.880 27.360 ;
RECT 120.960 27.040 121.280 27.360 ;
RECT 121.360 27.040 121.680 27.360 ;
RECT 121.760 27.040 122.080 27.360 ;
RECT 181.880 27.040 182.200 27.360 ;
RECT 182.280 27.040 182.600 27.360 ;
RECT 182.680 27.040 183.000 27.360 ;
RECT 183.080 27.040 183.400 27.360 ;
RECT 183.480 27.040 183.800 27.360 ;
RECT 183.880 27.040 184.200 27.360 ;
RECT 184.280 27.040 184.600 27.360 ;
RECT 184.680 27.040 185.000 27.360 ;
RECT 185.080 27.040 185.400 27.360 ;
RECT 185.480 27.040 185.800 27.360 ;
RECT 185.880 27.040 186.200 27.360 ;
RECT 186.280 27.040 186.600 27.360 ;
RECT 186.680 27.040 187.000 27.360 ;
RECT 187.080 27.040 187.400 27.360 ;
RECT 187.480 27.040 187.800 27.360 ;
RECT 187.880 27.040 188.200 27.360 ;
RECT 188.280 27.040 188.600 27.360 ;
RECT 188.680 27.040 189.000 27.360 ;
RECT 189.080 27.040 189.400 27.360 ;
RECT 189.480 27.040 189.800 27.360 ;
RECT 189.880 27.040 190.200 27.360 ;
RECT 190.280 27.040 190.600 27.360 ;
RECT 190.680 27.040 191.000 27.360 ;
RECT 191.080 27.040 191.400 27.360 ;
RECT 191.480 27.040 191.800 27.360 ;
RECT 191.880 27.040 192.200 27.360 ;
RECT 192.280 27.040 192.600 27.360 ;
RECT 192.680 27.040 193.000 27.360 ;
RECT 193.080 27.040 193.400 27.360 ;
RECT 193.480 27.040 193.800 27.360 ;
RECT 193.880 27.040 194.200 27.360 ;
RECT 194.280 27.040 194.600 27.360 ;
RECT 194.680 27.040 195.000 27.360 ;
RECT 195.080 27.040 195.400 27.360 ;
RECT 195.480 27.040 195.800 27.360 ;
RECT 195.880 27.040 196.200 27.360 ;
RECT 196.280 27.040 196.600 27.360 ;
RECT 196.680 27.040 197.000 27.360 ;
RECT 197.080 27.040 197.400 27.360 ;
RECT 197.480 27.040 197.800 27.360 ;
RECT 197.880 27.040 198.200 27.360 ;
RECT 198.280 27.040 198.600 27.360 ;
RECT 198.680 27.040 199.000 27.360 ;
RECT 199.080 27.040 199.400 27.360 ;
RECT 199.480 27.040 199.800 27.360 ;
RECT 199.880 27.040 200.200 27.360 ;
RECT 200.280 27.040 200.600 27.360 ;
RECT 200.680 27.040 201.000 27.360 ;
RECT 201.080 27.040 201.400 27.360 ;
RECT 201.480 27.040 201.800 27.360 ;
RECT 25.040 26.640 25.360 26.960 ;
RECT 25.440 26.640 25.760 26.960 ;
RECT 25.840 26.640 26.160 26.960 ;
RECT 26.240 26.640 26.560 26.960 ;
RECT 26.640 26.640 26.960 26.960 ;
RECT 27.040 26.640 27.360 26.960 ;
RECT 27.440 26.640 27.760 26.960 ;
RECT 27.840 26.640 28.160 26.960 ;
RECT 28.240 26.640 28.560 26.960 ;
RECT 28.640 26.640 28.960 26.960 ;
RECT 29.040 26.640 29.360 26.960 ;
RECT 29.440 26.640 29.760 26.960 ;
RECT 29.840 26.640 30.160 26.960 ;
RECT 30.240 26.640 30.560 26.960 ;
RECT 30.640 26.640 30.960 26.960 ;
RECT 31.040 26.640 31.360 26.960 ;
RECT 31.440 26.640 31.760 26.960 ;
RECT 31.840 26.640 32.160 26.960 ;
RECT 32.240 26.640 32.560 26.960 ;
RECT 32.640 26.640 32.960 26.960 ;
RECT 33.040 26.640 33.360 26.960 ;
RECT 33.440 26.640 33.760 26.960 ;
RECT 33.840 26.640 34.160 26.960 ;
RECT 34.240 26.640 34.560 26.960 ;
RECT 34.640 26.640 34.960 26.960 ;
RECT 35.040 26.640 35.360 26.960 ;
RECT 35.440 26.640 35.760 26.960 ;
RECT 35.840 26.640 36.160 26.960 ;
RECT 36.240 26.640 36.560 26.960 ;
RECT 36.640 26.640 36.960 26.960 ;
RECT 37.040 26.640 37.360 26.960 ;
RECT 37.440 26.640 37.760 26.960 ;
RECT 37.840 26.640 38.160 26.960 ;
RECT 38.240 26.640 38.560 26.960 ;
RECT 38.640 26.640 38.960 26.960 ;
RECT 39.040 26.640 39.360 26.960 ;
RECT 39.440 26.640 39.760 26.960 ;
RECT 39.840 26.640 40.160 26.960 ;
RECT 40.240 26.640 40.560 26.960 ;
RECT 40.640 26.640 40.960 26.960 ;
RECT 41.040 26.640 41.360 26.960 ;
RECT 41.440 26.640 41.760 26.960 ;
RECT 41.840 26.640 42.160 26.960 ;
RECT 42.240 26.640 42.560 26.960 ;
RECT 42.640 26.640 42.960 26.960 ;
RECT 43.040 26.640 43.360 26.960 ;
RECT 43.440 26.640 43.760 26.960 ;
RECT 43.840 26.640 44.160 26.960 ;
RECT 44.240 26.640 44.560 26.960 ;
RECT 44.640 26.640 44.960 26.960 ;
RECT 70.560 26.640 70.880 26.960 ;
RECT 70.960 26.640 71.280 26.960 ;
RECT 71.360 26.640 71.680 26.960 ;
RECT 71.760 26.640 72.080 26.960 ;
RECT 120.560 26.640 120.880 26.960 ;
RECT 120.960 26.640 121.280 26.960 ;
RECT 121.360 26.640 121.680 26.960 ;
RECT 121.760 26.640 122.080 26.960 ;
RECT 181.880 26.640 182.200 26.960 ;
RECT 182.280 26.640 182.600 26.960 ;
RECT 182.680 26.640 183.000 26.960 ;
RECT 183.080 26.640 183.400 26.960 ;
RECT 183.480 26.640 183.800 26.960 ;
RECT 183.880 26.640 184.200 26.960 ;
RECT 184.280 26.640 184.600 26.960 ;
RECT 184.680 26.640 185.000 26.960 ;
RECT 185.080 26.640 185.400 26.960 ;
RECT 185.480 26.640 185.800 26.960 ;
RECT 185.880 26.640 186.200 26.960 ;
RECT 186.280 26.640 186.600 26.960 ;
RECT 186.680 26.640 187.000 26.960 ;
RECT 187.080 26.640 187.400 26.960 ;
RECT 187.480 26.640 187.800 26.960 ;
RECT 187.880 26.640 188.200 26.960 ;
RECT 188.280 26.640 188.600 26.960 ;
RECT 188.680 26.640 189.000 26.960 ;
RECT 189.080 26.640 189.400 26.960 ;
RECT 189.480 26.640 189.800 26.960 ;
RECT 189.880 26.640 190.200 26.960 ;
RECT 190.280 26.640 190.600 26.960 ;
RECT 190.680 26.640 191.000 26.960 ;
RECT 191.080 26.640 191.400 26.960 ;
RECT 191.480 26.640 191.800 26.960 ;
RECT 191.880 26.640 192.200 26.960 ;
RECT 192.280 26.640 192.600 26.960 ;
RECT 192.680 26.640 193.000 26.960 ;
RECT 193.080 26.640 193.400 26.960 ;
RECT 193.480 26.640 193.800 26.960 ;
RECT 193.880 26.640 194.200 26.960 ;
RECT 194.280 26.640 194.600 26.960 ;
RECT 194.680 26.640 195.000 26.960 ;
RECT 195.080 26.640 195.400 26.960 ;
RECT 195.480 26.640 195.800 26.960 ;
RECT 195.880 26.640 196.200 26.960 ;
RECT 196.280 26.640 196.600 26.960 ;
RECT 196.680 26.640 197.000 26.960 ;
RECT 197.080 26.640 197.400 26.960 ;
RECT 197.480 26.640 197.800 26.960 ;
RECT 197.880 26.640 198.200 26.960 ;
RECT 198.280 26.640 198.600 26.960 ;
RECT 198.680 26.640 199.000 26.960 ;
RECT 199.080 26.640 199.400 26.960 ;
RECT 199.480 26.640 199.800 26.960 ;
RECT 199.880 26.640 200.200 26.960 ;
RECT 200.280 26.640 200.600 26.960 ;
RECT 200.680 26.640 201.000 26.960 ;
RECT 201.080 26.640 201.400 26.960 ;
RECT 201.480 26.640 201.800 26.960 ;
RECT 25.040 26.240 25.360 26.560 ;
RECT 25.440 26.240 25.760 26.560 ;
RECT 25.840 26.240 26.160 26.560 ;
RECT 26.240 26.240 26.560 26.560 ;
RECT 26.640 26.240 26.960 26.560 ;
RECT 27.040 26.240 27.360 26.560 ;
RECT 27.440 26.240 27.760 26.560 ;
RECT 27.840 26.240 28.160 26.560 ;
RECT 28.240 26.240 28.560 26.560 ;
RECT 28.640 26.240 28.960 26.560 ;
RECT 29.040 26.240 29.360 26.560 ;
RECT 29.440 26.240 29.760 26.560 ;
RECT 29.840 26.240 30.160 26.560 ;
RECT 30.240 26.240 30.560 26.560 ;
RECT 30.640 26.240 30.960 26.560 ;
RECT 31.040 26.240 31.360 26.560 ;
RECT 31.440 26.240 31.760 26.560 ;
RECT 31.840 26.240 32.160 26.560 ;
RECT 32.240 26.240 32.560 26.560 ;
RECT 32.640 26.240 32.960 26.560 ;
RECT 33.040 26.240 33.360 26.560 ;
RECT 33.440 26.240 33.760 26.560 ;
RECT 33.840 26.240 34.160 26.560 ;
RECT 34.240 26.240 34.560 26.560 ;
RECT 34.640 26.240 34.960 26.560 ;
RECT 35.040 26.240 35.360 26.560 ;
RECT 35.440 26.240 35.760 26.560 ;
RECT 35.840 26.240 36.160 26.560 ;
RECT 36.240 26.240 36.560 26.560 ;
RECT 36.640 26.240 36.960 26.560 ;
RECT 37.040 26.240 37.360 26.560 ;
RECT 37.440 26.240 37.760 26.560 ;
RECT 37.840 26.240 38.160 26.560 ;
RECT 38.240 26.240 38.560 26.560 ;
RECT 38.640 26.240 38.960 26.560 ;
RECT 39.040 26.240 39.360 26.560 ;
RECT 39.440 26.240 39.760 26.560 ;
RECT 39.840 26.240 40.160 26.560 ;
RECT 40.240 26.240 40.560 26.560 ;
RECT 40.640 26.240 40.960 26.560 ;
RECT 41.040 26.240 41.360 26.560 ;
RECT 41.440 26.240 41.760 26.560 ;
RECT 41.840 26.240 42.160 26.560 ;
RECT 42.240 26.240 42.560 26.560 ;
RECT 42.640 26.240 42.960 26.560 ;
RECT 43.040 26.240 43.360 26.560 ;
RECT 43.440 26.240 43.760 26.560 ;
RECT 43.840 26.240 44.160 26.560 ;
RECT 44.240 26.240 44.560 26.560 ;
RECT 44.640 26.240 44.960 26.560 ;
RECT 70.560 26.240 70.880 26.560 ;
RECT 70.960 26.240 71.280 26.560 ;
RECT 71.360 26.240 71.680 26.560 ;
RECT 71.760 26.240 72.080 26.560 ;
RECT 120.560 26.240 120.880 26.560 ;
RECT 120.960 26.240 121.280 26.560 ;
RECT 121.360 26.240 121.680 26.560 ;
RECT 121.760 26.240 122.080 26.560 ;
RECT 181.880 26.240 182.200 26.560 ;
RECT 182.280 26.240 182.600 26.560 ;
RECT 182.680 26.240 183.000 26.560 ;
RECT 183.080 26.240 183.400 26.560 ;
RECT 183.480 26.240 183.800 26.560 ;
RECT 183.880 26.240 184.200 26.560 ;
RECT 184.280 26.240 184.600 26.560 ;
RECT 184.680 26.240 185.000 26.560 ;
RECT 185.080 26.240 185.400 26.560 ;
RECT 185.480 26.240 185.800 26.560 ;
RECT 185.880 26.240 186.200 26.560 ;
RECT 186.280 26.240 186.600 26.560 ;
RECT 186.680 26.240 187.000 26.560 ;
RECT 187.080 26.240 187.400 26.560 ;
RECT 187.480 26.240 187.800 26.560 ;
RECT 187.880 26.240 188.200 26.560 ;
RECT 188.280 26.240 188.600 26.560 ;
RECT 188.680 26.240 189.000 26.560 ;
RECT 189.080 26.240 189.400 26.560 ;
RECT 189.480 26.240 189.800 26.560 ;
RECT 189.880 26.240 190.200 26.560 ;
RECT 190.280 26.240 190.600 26.560 ;
RECT 190.680 26.240 191.000 26.560 ;
RECT 191.080 26.240 191.400 26.560 ;
RECT 191.480 26.240 191.800 26.560 ;
RECT 191.880 26.240 192.200 26.560 ;
RECT 192.280 26.240 192.600 26.560 ;
RECT 192.680 26.240 193.000 26.560 ;
RECT 193.080 26.240 193.400 26.560 ;
RECT 193.480 26.240 193.800 26.560 ;
RECT 193.880 26.240 194.200 26.560 ;
RECT 194.280 26.240 194.600 26.560 ;
RECT 194.680 26.240 195.000 26.560 ;
RECT 195.080 26.240 195.400 26.560 ;
RECT 195.480 26.240 195.800 26.560 ;
RECT 195.880 26.240 196.200 26.560 ;
RECT 196.280 26.240 196.600 26.560 ;
RECT 196.680 26.240 197.000 26.560 ;
RECT 197.080 26.240 197.400 26.560 ;
RECT 197.480 26.240 197.800 26.560 ;
RECT 197.880 26.240 198.200 26.560 ;
RECT 198.280 26.240 198.600 26.560 ;
RECT 198.680 26.240 199.000 26.560 ;
RECT 199.080 26.240 199.400 26.560 ;
RECT 199.480 26.240 199.800 26.560 ;
RECT 199.880 26.240 200.200 26.560 ;
RECT 200.280 26.240 200.600 26.560 ;
RECT 200.680 26.240 201.000 26.560 ;
RECT 201.080 26.240 201.400 26.560 ;
RECT 201.480 26.240 201.800 26.560 ;
RECT 25.040 25.840 25.360 26.160 ;
RECT 25.440 25.840 25.760 26.160 ;
RECT 25.840 25.840 26.160 26.160 ;
RECT 26.240 25.840 26.560 26.160 ;
RECT 26.640 25.840 26.960 26.160 ;
RECT 27.040 25.840 27.360 26.160 ;
RECT 27.440 25.840 27.760 26.160 ;
RECT 27.840 25.840 28.160 26.160 ;
RECT 28.240 25.840 28.560 26.160 ;
RECT 28.640 25.840 28.960 26.160 ;
RECT 29.040 25.840 29.360 26.160 ;
RECT 29.440 25.840 29.760 26.160 ;
RECT 29.840 25.840 30.160 26.160 ;
RECT 30.240 25.840 30.560 26.160 ;
RECT 30.640 25.840 30.960 26.160 ;
RECT 31.040 25.840 31.360 26.160 ;
RECT 31.440 25.840 31.760 26.160 ;
RECT 31.840 25.840 32.160 26.160 ;
RECT 32.240 25.840 32.560 26.160 ;
RECT 32.640 25.840 32.960 26.160 ;
RECT 33.040 25.840 33.360 26.160 ;
RECT 33.440 25.840 33.760 26.160 ;
RECT 33.840 25.840 34.160 26.160 ;
RECT 34.240 25.840 34.560 26.160 ;
RECT 34.640 25.840 34.960 26.160 ;
RECT 35.040 25.840 35.360 26.160 ;
RECT 35.440 25.840 35.760 26.160 ;
RECT 35.840 25.840 36.160 26.160 ;
RECT 36.240 25.840 36.560 26.160 ;
RECT 36.640 25.840 36.960 26.160 ;
RECT 37.040 25.840 37.360 26.160 ;
RECT 37.440 25.840 37.760 26.160 ;
RECT 37.840 25.840 38.160 26.160 ;
RECT 38.240 25.840 38.560 26.160 ;
RECT 38.640 25.840 38.960 26.160 ;
RECT 39.040 25.840 39.360 26.160 ;
RECT 39.440 25.840 39.760 26.160 ;
RECT 39.840 25.840 40.160 26.160 ;
RECT 40.240 25.840 40.560 26.160 ;
RECT 40.640 25.840 40.960 26.160 ;
RECT 41.040 25.840 41.360 26.160 ;
RECT 41.440 25.840 41.760 26.160 ;
RECT 41.840 25.840 42.160 26.160 ;
RECT 42.240 25.840 42.560 26.160 ;
RECT 42.640 25.840 42.960 26.160 ;
RECT 43.040 25.840 43.360 26.160 ;
RECT 43.440 25.840 43.760 26.160 ;
RECT 43.840 25.840 44.160 26.160 ;
RECT 44.240 25.840 44.560 26.160 ;
RECT 44.640 25.840 44.960 26.160 ;
RECT 70.560 25.840 70.880 26.160 ;
RECT 70.960 25.840 71.280 26.160 ;
RECT 71.360 25.840 71.680 26.160 ;
RECT 71.760 25.840 72.080 26.160 ;
RECT 120.560 25.840 120.880 26.160 ;
RECT 120.960 25.840 121.280 26.160 ;
RECT 121.360 25.840 121.680 26.160 ;
RECT 121.760 25.840 122.080 26.160 ;
RECT 181.880 25.840 182.200 26.160 ;
RECT 182.280 25.840 182.600 26.160 ;
RECT 182.680 25.840 183.000 26.160 ;
RECT 183.080 25.840 183.400 26.160 ;
RECT 183.480 25.840 183.800 26.160 ;
RECT 183.880 25.840 184.200 26.160 ;
RECT 184.280 25.840 184.600 26.160 ;
RECT 184.680 25.840 185.000 26.160 ;
RECT 185.080 25.840 185.400 26.160 ;
RECT 185.480 25.840 185.800 26.160 ;
RECT 185.880 25.840 186.200 26.160 ;
RECT 186.280 25.840 186.600 26.160 ;
RECT 186.680 25.840 187.000 26.160 ;
RECT 187.080 25.840 187.400 26.160 ;
RECT 187.480 25.840 187.800 26.160 ;
RECT 187.880 25.840 188.200 26.160 ;
RECT 188.280 25.840 188.600 26.160 ;
RECT 188.680 25.840 189.000 26.160 ;
RECT 189.080 25.840 189.400 26.160 ;
RECT 189.480 25.840 189.800 26.160 ;
RECT 189.880 25.840 190.200 26.160 ;
RECT 190.280 25.840 190.600 26.160 ;
RECT 190.680 25.840 191.000 26.160 ;
RECT 191.080 25.840 191.400 26.160 ;
RECT 191.480 25.840 191.800 26.160 ;
RECT 191.880 25.840 192.200 26.160 ;
RECT 192.280 25.840 192.600 26.160 ;
RECT 192.680 25.840 193.000 26.160 ;
RECT 193.080 25.840 193.400 26.160 ;
RECT 193.480 25.840 193.800 26.160 ;
RECT 193.880 25.840 194.200 26.160 ;
RECT 194.280 25.840 194.600 26.160 ;
RECT 194.680 25.840 195.000 26.160 ;
RECT 195.080 25.840 195.400 26.160 ;
RECT 195.480 25.840 195.800 26.160 ;
RECT 195.880 25.840 196.200 26.160 ;
RECT 196.280 25.840 196.600 26.160 ;
RECT 196.680 25.840 197.000 26.160 ;
RECT 197.080 25.840 197.400 26.160 ;
RECT 197.480 25.840 197.800 26.160 ;
RECT 197.880 25.840 198.200 26.160 ;
RECT 198.280 25.840 198.600 26.160 ;
RECT 198.680 25.840 199.000 26.160 ;
RECT 199.080 25.840 199.400 26.160 ;
RECT 199.480 25.840 199.800 26.160 ;
RECT 199.880 25.840 200.200 26.160 ;
RECT 200.280 25.840 200.600 26.160 ;
RECT 200.680 25.840 201.000 26.160 ;
RECT 201.080 25.840 201.400 26.160 ;
RECT 201.480 25.840 201.800 26.160 ;
RECT 25.040 25.440 25.360 25.760 ;
RECT 25.440 25.440 25.760 25.760 ;
RECT 25.840 25.440 26.160 25.760 ;
RECT 26.240 25.440 26.560 25.760 ;
RECT 26.640 25.440 26.960 25.760 ;
RECT 27.040 25.440 27.360 25.760 ;
RECT 27.440 25.440 27.760 25.760 ;
RECT 27.840 25.440 28.160 25.760 ;
RECT 28.240 25.440 28.560 25.760 ;
RECT 28.640 25.440 28.960 25.760 ;
RECT 29.040 25.440 29.360 25.760 ;
RECT 29.440 25.440 29.760 25.760 ;
RECT 29.840 25.440 30.160 25.760 ;
RECT 30.240 25.440 30.560 25.760 ;
RECT 30.640 25.440 30.960 25.760 ;
RECT 31.040 25.440 31.360 25.760 ;
RECT 31.440 25.440 31.760 25.760 ;
RECT 31.840 25.440 32.160 25.760 ;
RECT 32.240 25.440 32.560 25.760 ;
RECT 32.640 25.440 32.960 25.760 ;
RECT 33.040 25.440 33.360 25.760 ;
RECT 33.440 25.440 33.760 25.760 ;
RECT 33.840 25.440 34.160 25.760 ;
RECT 34.240 25.440 34.560 25.760 ;
RECT 34.640 25.440 34.960 25.760 ;
RECT 35.040 25.440 35.360 25.760 ;
RECT 35.440 25.440 35.760 25.760 ;
RECT 35.840 25.440 36.160 25.760 ;
RECT 36.240 25.440 36.560 25.760 ;
RECT 36.640 25.440 36.960 25.760 ;
RECT 37.040 25.440 37.360 25.760 ;
RECT 37.440 25.440 37.760 25.760 ;
RECT 37.840 25.440 38.160 25.760 ;
RECT 38.240 25.440 38.560 25.760 ;
RECT 38.640 25.440 38.960 25.760 ;
RECT 39.040 25.440 39.360 25.760 ;
RECT 39.440 25.440 39.760 25.760 ;
RECT 39.840 25.440 40.160 25.760 ;
RECT 40.240 25.440 40.560 25.760 ;
RECT 40.640 25.440 40.960 25.760 ;
RECT 41.040 25.440 41.360 25.760 ;
RECT 41.440 25.440 41.760 25.760 ;
RECT 41.840 25.440 42.160 25.760 ;
RECT 42.240 25.440 42.560 25.760 ;
RECT 42.640 25.440 42.960 25.760 ;
RECT 43.040 25.440 43.360 25.760 ;
RECT 43.440 25.440 43.760 25.760 ;
RECT 43.840 25.440 44.160 25.760 ;
RECT 44.240 25.440 44.560 25.760 ;
RECT 44.640 25.440 44.960 25.760 ;
RECT 70.560 25.440 70.880 25.760 ;
RECT 70.960 25.440 71.280 25.760 ;
RECT 71.360 25.440 71.680 25.760 ;
RECT 71.760 25.440 72.080 25.760 ;
RECT 120.560 25.440 120.880 25.760 ;
RECT 120.960 25.440 121.280 25.760 ;
RECT 121.360 25.440 121.680 25.760 ;
RECT 121.760 25.440 122.080 25.760 ;
RECT 181.880 25.440 182.200 25.760 ;
RECT 182.280 25.440 182.600 25.760 ;
RECT 182.680 25.440 183.000 25.760 ;
RECT 183.080 25.440 183.400 25.760 ;
RECT 183.480 25.440 183.800 25.760 ;
RECT 183.880 25.440 184.200 25.760 ;
RECT 184.280 25.440 184.600 25.760 ;
RECT 184.680 25.440 185.000 25.760 ;
RECT 185.080 25.440 185.400 25.760 ;
RECT 185.480 25.440 185.800 25.760 ;
RECT 185.880 25.440 186.200 25.760 ;
RECT 186.280 25.440 186.600 25.760 ;
RECT 186.680 25.440 187.000 25.760 ;
RECT 187.080 25.440 187.400 25.760 ;
RECT 187.480 25.440 187.800 25.760 ;
RECT 187.880 25.440 188.200 25.760 ;
RECT 188.280 25.440 188.600 25.760 ;
RECT 188.680 25.440 189.000 25.760 ;
RECT 189.080 25.440 189.400 25.760 ;
RECT 189.480 25.440 189.800 25.760 ;
RECT 189.880 25.440 190.200 25.760 ;
RECT 190.280 25.440 190.600 25.760 ;
RECT 190.680 25.440 191.000 25.760 ;
RECT 191.080 25.440 191.400 25.760 ;
RECT 191.480 25.440 191.800 25.760 ;
RECT 191.880 25.440 192.200 25.760 ;
RECT 192.280 25.440 192.600 25.760 ;
RECT 192.680 25.440 193.000 25.760 ;
RECT 193.080 25.440 193.400 25.760 ;
RECT 193.480 25.440 193.800 25.760 ;
RECT 193.880 25.440 194.200 25.760 ;
RECT 194.280 25.440 194.600 25.760 ;
RECT 194.680 25.440 195.000 25.760 ;
RECT 195.080 25.440 195.400 25.760 ;
RECT 195.480 25.440 195.800 25.760 ;
RECT 195.880 25.440 196.200 25.760 ;
RECT 196.280 25.440 196.600 25.760 ;
RECT 196.680 25.440 197.000 25.760 ;
RECT 197.080 25.440 197.400 25.760 ;
RECT 197.480 25.440 197.800 25.760 ;
RECT 197.880 25.440 198.200 25.760 ;
RECT 198.280 25.440 198.600 25.760 ;
RECT 198.680 25.440 199.000 25.760 ;
RECT 199.080 25.440 199.400 25.760 ;
RECT 199.480 25.440 199.800 25.760 ;
RECT 199.880 25.440 200.200 25.760 ;
RECT 200.280 25.440 200.600 25.760 ;
RECT 200.680 25.440 201.000 25.760 ;
RECT 201.080 25.440 201.400 25.760 ;
RECT 201.480 25.440 201.800 25.760 ;
RECT 25.040 25.040 25.360 25.360 ;
RECT 25.440 25.040 25.760 25.360 ;
RECT 25.840 25.040 26.160 25.360 ;
RECT 26.240 25.040 26.560 25.360 ;
RECT 26.640 25.040 26.960 25.360 ;
RECT 27.040 25.040 27.360 25.360 ;
RECT 27.440 25.040 27.760 25.360 ;
RECT 27.840 25.040 28.160 25.360 ;
RECT 28.240 25.040 28.560 25.360 ;
RECT 28.640 25.040 28.960 25.360 ;
RECT 29.040 25.040 29.360 25.360 ;
RECT 29.440 25.040 29.760 25.360 ;
RECT 29.840 25.040 30.160 25.360 ;
RECT 30.240 25.040 30.560 25.360 ;
RECT 30.640 25.040 30.960 25.360 ;
RECT 31.040 25.040 31.360 25.360 ;
RECT 31.440 25.040 31.760 25.360 ;
RECT 31.840 25.040 32.160 25.360 ;
RECT 32.240 25.040 32.560 25.360 ;
RECT 32.640 25.040 32.960 25.360 ;
RECT 33.040 25.040 33.360 25.360 ;
RECT 33.440 25.040 33.760 25.360 ;
RECT 33.840 25.040 34.160 25.360 ;
RECT 34.240 25.040 34.560 25.360 ;
RECT 34.640 25.040 34.960 25.360 ;
RECT 35.040 25.040 35.360 25.360 ;
RECT 35.440 25.040 35.760 25.360 ;
RECT 35.840 25.040 36.160 25.360 ;
RECT 36.240 25.040 36.560 25.360 ;
RECT 36.640 25.040 36.960 25.360 ;
RECT 37.040 25.040 37.360 25.360 ;
RECT 37.440 25.040 37.760 25.360 ;
RECT 37.840 25.040 38.160 25.360 ;
RECT 38.240 25.040 38.560 25.360 ;
RECT 38.640 25.040 38.960 25.360 ;
RECT 39.040 25.040 39.360 25.360 ;
RECT 39.440 25.040 39.760 25.360 ;
RECT 39.840 25.040 40.160 25.360 ;
RECT 40.240 25.040 40.560 25.360 ;
RECT 40.640 25.040 40.960 25.360 ;
RECT 41.040 25.040 41.360 25.360 ;
RECT 41.440 25.040 41.760 25.360 ;
RECT 41.840 25.040 42.160 25.360 ;
RECT 42.240 25.040 42.560 25.360 ;
RECT 42.640 25.040 42.960 25.360 ;
RECT 43.040 25.040 43.360 25.360 ;
RECT 43.440 25.040 43.760 25.360 ;
RECT 43.840 25.040 44.160 25.360 ;
RECT 44.240 25.040 44.560 25.360 ;
RECT 44.640 25.040 44.960 25.360 ;
RECT 70.560 25.040 70.880 25.360 ;
RECT 70.960 25.040 71.280 25.360 ;
RECT 71.360 25.040 71.680 25.360 ;
RECT 71.760 25.040 72.080 25.360 ;
RECT 120.560 25.040 120.880 25.360 ;
RECT 120.960 25.040 121.280 25.360 ;
RECT 121.360 25.040 121.680 25.360 ;
RECT 121.760 25.040 122.080 25.360 ;
RECT 181.880 25.040 182.200 25.360 ;
RECT 182.280 25.040 182.600 25.360 ;
RECT 182.680 25.040 183.000 25.360 ;
RECT 183.080 25.040 183.400 25.360 ;
RECT 183.480 25.040 183.800 25.360 ;
RECT 183.880 25.040 184.200 25.360 ;
RECT 184.280 25.040 184.600 25.360 ;
RECT 184.680 25.040 185.000 25.360 ;
RECT 185.080 25.040 185.400 25.360 ;
RECT 185.480 25.040 185.800 25.360 ;
RECT 185.880 25.040 186.200 25.360 ;
RECT 186.280 25.040 186.600 25.360 ;
RECT 186.680 25.040 187.000 25.360 ;
RECT 187.080 25.040 187.400 25.360 ;
RECT 187.480 25.040 187.800 25.360 ;
RECT 187.880 25.040 188.200 25.360 ;
RECT 188.280 25.040 188.600 25.360 ;
RECT 188.680 25.040 189.000 25.360 ;
RECT 189.080 25.040 189.400 25.360 ;
RECT 189.480 25.040 189.800 25.360 ;
RECT 189.880 25.040 190.200 25.360 ;
RECT 190.280 25.040 190.600 25.360 ;
RECT 190.680 25.040 191.000 25.360 ;
RECT 191.080 25.040 191.400 25.360 ;
RECT 191.480 25.040 191.800 25.360 ;
RECT 191.880 25.040 192.200 25.360 ;
RECT 192.280 25.040 192.600 25.360 ;
RECT 192.680 25.040 193.000 25.360 ;
RECT 193.080 25.040 193.400 25.360 ;
RECT 193.480 25.040 193.800 25.360 ;
RECT 193.880 25.040 194.200 25.360 ;
RECT 194.280 25.040 194.600 25.360 ;
RECT 194.680 25.040 195.000 25.360 ;
RECT 195.080 25.040 195.400 25.360 ;
RECT 195.480 25.040 195.800 25.360 ;
RECT 195.880 25.040 196.200 25.360 ;
RECT 196.280 25.040 196.600 25.360 ;
RECT 196.680 25.040 197.000 25.360 ;
RECT 197.080 25.040 197.400 25.360 ;
RECT 197.480 25.040 197.800 25.360 ;
RECT 197.880 25.040 198.200 25.360 ;
RECT 198.280 25.040 198.600 25.360 ;
RECT 198.680 25.040 199.000 25.360 ;
RECT 199.080 25.040 199.400 25.360 ;
RECT 199.480 25.040 199.800 25.360 ;
RECT 199.880 25.040 200.200 25.360 ;
RECT 200.280 25.040 200.600 25.360 ;
RECT 200.680 25.040 201.000 25.360 ;
RECT 201.080 25.040 201.400 25.360 ;
RECT 201.480 25.040 201.800 25.360 ;
LAYER met4 ;
RECT 25.000 25.000 45.000 201.960 ;
RECT 70.520 172.960 72.120 226.960 ;
RECT 120.520 172.960 122.120 226.960 ;
RECT 70.520 0.000 72.120 54.000 ;
RECT 120.520 0.000 122.120 54.000 ;
RECT 181.840 25.000 201.840 201.960 ;
END
END VPWR
PIN VGND
DIRECTION INPUT ;
USE GROUND ;
PORT
LAYER met3 ;
RECT 0.000 206.960 226.840 226.960 ;
RECT 0.000 0.000 226.840 20.000 ;
LAYER via3 ;
RECT 0.040 226.600 0.360 226.920 ;
RECT 0.440 226.600 0.760 226.920 ;
RECT 0.840 226.600 1.160 226.920 ;
RECT 1.240 226.600 1.560 226.920 ;
RECT 1.640 226.600 1.960 226.920 ;
RECT 2.040 226.600 2.360 226.920 ;
RECT 2.440 226.600 2.760 226.920 ;
RECT 2.840 226.600 3.160 226.920 ;
RECT 3.240 226.600 3.560 226.920 ;
RECT 3.640 226.600 3.960 226.920 ;
RECT 4.040 226.600 4.360 226.920 ;
RECT 4.440 226.600 4.760 226.920 ;
RECT 4.840 226.600 5.160 226.920 ;
RECT 5.240 226.600 5.560 226.920 ;
RECT 5.640 226.600 5.960 226.920 ;
RECT 6.040 226.600 6.360 226.920 ;
RECT 6.440 226.600 6.760 226.920 ;
RECT 6.840 226.600 7.160 226.920 ;
RECT 7.240 226.600 7.560 226.920 ;
RECT 7.640 226.600 7.960 226.920 ;
RECT 8.040 226.600 8.360 226.920 ;
RECT 8.440 226.600 8.760 226.920 ;
RECT 8.840 226.600 9.160 226.920 ;
RECT 9.240 226.600 9.560 226.920 ;
RECT 9.640 226.600 9.960 226.920 ;
RECT 10.040 226.600 10.360 226.920 ;
RECT 10.440 226.600 10.760 226.920 ;
RECT 10.840 226.600 11.160 226.920 ;
RECT 11.240 226.600 11.560 226.920 ;
RECT 11.640 226.600 11.960 226.920 ;
RECT 12.040 226.600 12.360 226.920 ;
RECT 12.440 226.600 12.760 226.920 ;
RECT 12.840 226.600 13.160 226.920 ;
RECT 13.240 226.600 13.560 226.920 ;
RECT 13.640 226.600 13.960 226.920 ;
RECT 14.040 226.600 14.360 226.920 ;
RECT 14.440 226.600 14.760 226.920 ;
RECT 14.840 226.600 15.160 226.920 ;
RECT 15.240 226.600 15.560 226.920 ;
RECT 15.640 226.600 15.960 226.920 ;
RECT 16.040 226.600 16.360 226.920 ;
RECT 16.440 226.600 16.760 226.920 ;
RECT 16.840 226.600 17.160 226.920 ;
RECT 17.240 226.600 17.560 226.920 ;
RECT 17.640 226.600 17.960 226.920 ;
RECT 18.040 226.600 18.360 226.920 ;
RECT 18.440 226.600 18.760 226.920 ;
RECT 18.840 226.600 19.160 226.920 ;
RECT 19.240 226.600 19.560 226.920 ;
RECT 19.640 226.600 19.960 226.920 ;
RECT 95.560 226.600 95.880 226.920 ;
RECT 95.960 226.600 96.280 226.920 ;
RECT 96.360 226.600 96.680 226.920 ;
RECT 96.760 226.600 97.080 226.920 ;
RECT 145.560 226.600 145.880 226.920 ;
RECT 145.960 226.600 146.280 226.920 ;
RECT 146.360 226.600 146.680 226.920 ;
RECT 146.760 226.600 147.080 226.920 ;
RECT 206.880 226.600 207.200 226.920 ;
RECT 207.280 226.600 207.600 226.920 ;
RECT 207.680 226.600 208.000 226.920 ;
RECT 208.080 226.600 208.400 226.920 ;
RECT 208.480 226.600 208.800 226.920 ;
RECT 208.880 226.600 209.200 226.920 ;
RECT 209.280 226.600 209.600 226.920 ;
RECT 209.680 226.600 210.000 226.920 ;
RECT 210.080 226.600 210.400 226.920 ;
RECT 210.480 226.600 210.800 226.920 ;
RECT 210.880 226.600 211.200 226.920 ;
RECT 211.280 226.600 211.600 226.920 ;
RECT 211.680 226.600 212.000 226.920 ;
RECT 212.080 226.600 212.400 226.920 ;
RECT 212.480 226.600 212.800 226.920 ;
RECT 212.880 226.600 213.200 226.920 ;
RECT 213.280 226.600 213.600 226.920 ;
RECT 213.680 226.600 214.000 226.920 ;
RECT 214.080 226.600 214.400 226.920 ;
RECT 214.480 226.600 214.800 226.920 ;
RECT 214.880 226.600 215.200 226.920 ;
RECT 215.280 226.600 215.600 226.920 ;
RECT 215.680 226.600 216.000 226.920 ;
RECT 216.080 226.600 216.400 226.920 ;
RECT 216.480 226.600 216.800 226.920 ;
RECT 216.880 226.600 217.200 226.920 ;
RECT 217.280 226.600 217.600 226.920 ;
RECT 217.680 226.600 218.000 226.920 ;
RECT 218.080 226.600 218.400 226.920 ;
RECT 218.480 226.600 218.800 226.920 ;
RECT 218.880 226.600 219.200 226.920 ;
RECT 219.280 226.600 219.600 226.920 ;
RECT 219.680 226.600 220.000 226.920 ;
RECT 220.080 226.600 220.400 226.920 ;
RECT 220.480 226.600 220.800 226.920 ;
RECT 220.880 226.600 221.200 226.920 ;
RECT 221.280 226.600 221.600 226.920 ;
RECT 221.680 226.600 222.000 226.920 ;
RECT 222.080 226.600 222.400 226.920 ;
RECT 222.480 226.600 222.800 226.920 ;
RECT 222.880 226.600 223.200 226.920 ;
RECT 223.280 226.600 223.600 226.920 ;
RECT 223.680 226.600 224.000 226.920 ;
RECT 224.080 226.600 224.400 226.920 ;
RECT 224.480 226.600 224.800 226.920 ;
RECT 224.880 226.600 225.200 226.920 ;
RECT 225.280 226.600 225.600 226.920 ;
RECT 225.680 226.600 226.000 226.920 ;
RECT 226.080 226.600 226.400 226.920 ;
RECT 226.480 226.600 226.800 226.920 ;
RECT 0.040 226.200 0.360 226.520 ;
RECT 0.440 226.200 0.760 226.520 ;
RECT 0.840 226.200 1.160 226.520 ;
RECT 1.240 226.200 1.560 226.520 ;
RECT 1.640 226.200 1.960 226.520 ;
RECT 2.040 226.200 2.360 226.520 ;
RECT 2.440 226.200 2.760 226.520 ;
RECT 2.840 226.200 3.160 226.520 ;
RECT 3.240 226.200 3.560 226.520 ;
RECT 3.640 226.200 3.960 226.520 ;
RECT 4.040 226.200 4.360 226.520 ;
RECT 4.440 226.200 4.760 226.520 ;
RECT 4.840 226.200 5.160 226.520 ;
RECT 5.240 226.200 5.560 226.520 ;
RECT 5.640 226.200 5.960 226.520 ;
RECT 6.040 226.200 6.360 226.520 ;
RECT 6.440 226.200 6.760 226.520 ;
RECT 6.840 226.200 7.160 226.520 ;
RECT 7.240 226.200 7.560 226.520 ;
RECT 7.640 226.200 7.960 226.520 ;
RECT 8.040 226.200 8.360 226.520 ;
RECT 8.440 226.200 8.760 226.520 ;
RECT 8.840 226.200 9.160 226.520 ;
RECT 9.240 226.200 9.560 226.520 ;
RECT 9.640 226.200 9.960 226.520 ;
RECT 10.040 226.200 10.360 226.520 ;
RECT 10.440 226.200 10.760 226.520 ;
RECT 10.840 226.200 11.160 226.520 ;
RECT 11.240 226.200 11.560 226.520 ;
RECT 11.640 226.200 11.960 226.520 ;
RECT 12.040 226.200 12.360 226.520 ;
RECT 12.440 226.200 12.760 226.520 ;
RECT 12.840 226.200 13.160 226.520 ;
RECT 13.240 226.200 13.560 226.520 ;
RECT 13.640 226.200 13.960 226.520 ;
RECT 14.040 226.200 14.360 226.520 ;
RECT 14.440 226.200 14.760 226.520 ;
RECT 14.840 226.200 15.160 226.520 ;
RECT 15.240 226.200 15.560 226.520 ;
RECT 15.640 226.200 15.960 226.520 ;
RECT 16.040 226.200 16.360 226.520 ;
RECT 16.440 226.200 16.760 226.520 ;
RECT 16.840 226.200 17.160 226.520 ;
RECT 17.240 226.200 17.560 226.520 ;
RECT 17.640 226.200 17.960 226.520 ;
RECT 18.040 226.200 18.360 226.520 ;
RECT 18.440 226.200 18.760 226.520 ;
RECT 18.840 226.200 19.160 226.520 ;
RECT 19.240 226.200 19.560 226.520 ;
RECT 19.640 226.200 19.960 226.520 ;
RECT 95.560 226.200 95.880 226.520 ;
RECT 95.960 226.200 96.280 226.520 ;
RECT 96.360 226.200 96.680 226.520 ;
RECT 96.760 226.200 97.080 226.520 ;
RECT 145.560 226.200 145.880 226.520 ;
RECT 145.960 226.200 146.280 226.520 ;
RECT 146.360 226.200 146.680 226.520 ;
RECT 146.760 226.200 147.080 226.520 ;
RECT 206.880 226.200 207.200 226.520 ;
RECT 207.280 226.200 207.600 226.520 ;
RECT 207.680 226.200 208.000 226.520 ;
RECT 208.080 226.200 208.400 226.520 ;
RECT 208.480 226.200 208.800 226.520 ;
RECT 208.880 226.200 209.200 226.520 ;
RECT 209.280 226.200 209.600 226.520 ;
RECT 209.680 226.200 210.000 226.520 ;
RECT 210.080 226.200 210.400 226.520 ;
RECT 210.480 226.200 210.800 226.520 ;
RECT 210.880 226.200 211.200 226.520 ;
RECT 211.280 226.200 211.600 226.520 ;
RECT 211.680 226.200 212.000 226.520 ;
RECT 212.080 226.200 212.400 226.520 ;
RECT 212.480 226.200 212.800 226.520 ;
RECT 212.880 226.200 213.200 226.520 ;
RECT 213.280 226.200 213.600 226.520 ;
RECT 213.680 226.200 214.000 226.520 ;
RECT 214.080 226.200 214.400 226.520 ;
RECT 214.480 226.200 214.800 226.520 ;
RECT 214.880 226.200 215.200 226.520 ;
RECT 215.280 226.200 215.600 226.520 ;
RECT 215.680 226.200 216.000 226.520 ;
RECT 216.080 226.200 216.400 226.520 ;
RECT 216.480 226.200 216.800 226.520 ;
RECT 216.880 226.200 217.200 226.520 ;
RECT 217.280 226.200 217.600 226.520 ;
RECT 217.680 226.200 218.000 226.520 ;
RECT 218.080 226.200 218.400 226.520 ;
RECT 218.480 226.200 218.800 226.520 ;
RECT 218.880 226.200 219.200 226.520 ;
RECT 219.280 226.200 219.600 226.520 ;
RECT 219.680 226.200 220.000 226.520 ;
RECT 220.080 226.200 220.400 226.520 ;
RECT 220.480 226.200 220.800 226.520 ;
RECT 220.880 226.200 221.200 226.520 ;
RECT 221.280 226.200 221.600 226.520 ;
RECT 221.680 226.200 222.000 226.520 ;
RECT 222.080 226.200 222.400 226.520 ;
RECT 222.480 226.200 222.800 226.520 ;
RECT 222.880 226.200 223.200 226.520 ;
RECT 223.280 226.200 223.600 226.520 ;
RECT 223.680 226.200 224.000 226.520 ;
RECT 224.080 226.200 224.400 226.520 ;
RECT 224.480 226.200 224.800 226.520 ;
RECT 224.880 226.200 225.200 226.520 ;
RECT 225.280 226.200 225.600 226.520 ;
RECT 225.680 226.200 226.000 226.520 ;
RECT 226.080 226.200 226.400 226.520 ;
RECT 226.480 226.200 226.800 226.520 ;
RECT 0.040 225.800 0.360 226.120 ;
RECT 0.440 225.800 0.760 226.120 ;
RECT 0.840 225.800 1.160 226.120 ;
RECT 1.240 225.800 1.560 226.120 ;
RECT 1.640 225.800 1.960 226.120 ;
RECT 2.040 225.800 2.360 226.120 ;
RECT 2.440 225.800 2.760 226.120 ;
RECT 2.840 225.800 3.160 226.120 ;
RECT 3.240 225.800 3.560 226.120 ;
RECT 3.640 225.800 3.960 226.120 ;
RECT 4.040 225.800 4.360 226.120 ;
RECT 4.440 225.800 4.760 226.120 ;
RECT 4.840 225.800 5.160 226.120 ;
RECT 5.240 225.800 5.560 226.120 ;
RECT 5.640 225.800 5.960 226.120 ;
RECT 6.040 225.800 6.360 226.120 ;
RECT 6.440 225.800 6.760 226.120 ;
RECT 6.840 225.800 7.160 226.120 ;
RECT 7.240 225.800 7.560 226.120 ;
RECT 7.640 225.800 7.960 226.120 ;
RECT 8.040 225.800 8.360 226.120 ;
RECT 8.440 225.800 8.760 226.120 ;
RECT 8.840 225.800 9.160 226.120 ;
RECT 9.240 225.800 9.560 226.120 ;
RECT 9.640 225.800 9.960 226.120 ;
RECT 10.040 225.800 10.360 226.120 ;
RECT 10.440 225.800 10.760 226.120 ;
RECT 10.840 225.800 11.160 226.120 ;
RECT 11.240 225.800 11.560 226.120 ;
RECT 11.640 225.800 11.960 226.120 ;
RECT 12.040 225.800 12.360 226.120 ;
RECT 12.440 225.800 12.760 226.120 ;
RECT 12.840 225.800 13.160 226.120 ;
RECT 13.240 225.800 13.560 226.120 ;
RECT 13.640 225.800 13.960 226.120 ;
RECT 14.040 225.800 14.360 226.120 ;
RECT 14.440 225.800 14.760 226.120 ;
RECT 14.840 225.800 15.160 226.120 ;
RECT 15.240 225.800 15.560 226.120 ;
RECT 15.640 225.800 15.960 226.120 ;
RECT 16.040 225.800 16.360 226.120 ;
RECT 16.440 225.800 16.760 226.120 ;
RECT 16.840 225.800 17.160 226.120 ;
RECT 17.240 225.800 17.560 226.120 ;
RECT 17.640 225.800 17.960 226.120 ;
RECT 18.040 225.800 18.360 226.120 ;
RECT 18.440 225.800 18.760 226.120 ;
RECT 18.840 225.800 19.160 226.120 ;
RECT 19.240 225.800 19.560 226.120 ;
RECT 19.640 225.800 19.960 226.120 ;
RECT 95.560 225.800 95.880 226.120 ;
RECT 95.960 225.800 96.280 226.120 ;
RECT 96.360 225.800 96.680 226.120 ;
RECT 96.760 225.800 97.080 226.120 ;
RECT 145.560 225.800 145.880 226.120 ;
RECT 145.960 225.800 146.280 226.120 ;
RECT 146.360 225.800 146.680 226.120 ;
RECT 146.760 225.800 147.080 226.120 ;
RECT 206.880 225.800 207.200 226.120 ;
RECT 207.280 225.800 207.600 226.120 ;
RECT 207.680 225.800 208.000 226.120 ;
RECT 208.080 225.800 208.400 226.120 ;
RECT 208.480 225.800 208.800 226.120 ;
RECT 208.880 225.800 209.200 226.120 ;
RECT 209.280 225.800 209.600 226.120 ;
RECT 209.680 225.800 210.000 226.120 ;
RECT 210.080 225.800 210.400 226.120 ;
RECT 210.480 225.800 210.800 226.120 ;
RECT 210.880 225.800 211.200 226.120 ;
RECT 211.280 225.800 211.600 226.120 ;
RECT 211.680 225.800 212.000 226.120 ;
RECT 212.080 225.800 212.400 226.120 ;
RECT 212.480 225.800 212.800 226.120 ;
RECT 212.880 225.800 213.200 226.120 ;
RECT 213.280 225.800 213.600 226.120 ;
RECT 213.680 225.800 214.000 226.120 ;
RECT 214.080 225.800 214.400 226.120 ;
RECT 214.480 225.800 214.800 226.120 ;
RECT 214.880 225.800 215.200 226.120 ;
RECT 215.280 225.800 215.600 226.120 ;
RECT 215.680 225.800 216.000 226.120 ;
RECT 216.080 225.800 216.400 226.120 ;
RECT 216.480 225.800 216.800 226.120 ;
RECT 216.880 225.800 217.200 226.120 ;
RECT 217.280 225.800 217.600 226.120 ;
RECT 217.680 225.800 218.000 226.120 ;
RECT 218.080 225.800 218.400 226.120 ;
RECT 218.480 225.800 218.800 226.120 ;
RECT 218.880 225.800 219.200 226.120 ;
RECT 219.280 225.800 219.600 226.120 ;
RECT 219.680 225.800 220.000 226.120 ;
RECT 220.080 225.800 220.400 226.120 ;
RECT 220.480 225.800 220.800 226.120 ;
RECT 220.880 225.800 221.200 226.120 ;
RECT 221.280 225.800 221.600 226.120 ;
RECT 221.680 225.800 222.000 226.120 ;
RECT 222.080 225.800 222.400 226.120 ;
RECT 222.480 225.800 222.800 226.120 ;
RECT 222.880 225.800 223.200 226.120 ;
RECT 223.280 225.800 223.600 226.120 ;
RECT 223.680 225.800 224.000 226.120 ;
RECT 224.080 225.800 224.400 226.120 ;
RECT 224.480 225.800 224.800 226.120 ;
RECT 224.880 225.800 225.200 226.120 ;
RECT 225.280 225.800 225.600 226.120 ;
RECT 225.680 225.800 226.000 226.120 ;
RECT 226.080 225.800 226.400 226.120 ;
RECT 226.480 225.800 226.800 226.120 ;
RECT 0.040 225.400 0.360 225.720 ;
RECT 0.440 225.400 0.760 225.720 ;
RECT 0.840 225.400 1.160 225.720 ;
RECT 1.240 225.400 1.560 225.720 ;
RECT 1.640 225.400 1.960 225.720 ;
RECT 2.040 225.400 2.360 225.720 ;
RECT 2.440 225.400 2.760 225.720 ;
RECT 2.840 225.400 3.160 225.720 ;
RECT 3.240 225.400 3.560 225.720 ;
RECT 3.640 225.400 3.960 225.720 ;
RECT 4.040 225.400 4.360 225.720 ;
RECT 4.440 225.400 4.760 225.720 ;
RECT 4.840 225.400 5.160 225.720 ;
RECT 5.240 225.400 5.560 225.720 ;
RECT 5.640 225.400 5.960 225.720 ;
RECT 6.040 225.400 6.360 225.720 ;
RECT 6.440 225.400 6.760 225.720 ;
RECT 6.840 225.400 7.160 225.720 ;
RECT 7.240 225.400 7.560 225.720 ;
RECT 7.640 225.400 7.960 225.720 ;
RECT 8.040 225.400 8.360 225.720 ;
RECT 8.440 225.400 8.760 225.720 ;
RECT 8.840 225.400 9.160 225.720 ;
RECT 9.240 225.400 9.560 225.720 ;
RECT 9.640 225.400 9.960 225.720 ;
RECT 10.040 225.400 10.360 225.720 ;
RECT 10.440 225.400 10.760 225.720 ;
RECT 10.840 225.400 11.160 225.720 ;
RECT 11.240 225.400 11.560 225.720 ;
RECT 11.640 225.400 11.960 225.720 ;
RECT 12.040 225.400 12.360 225.720 ;
RECT 12.440 225.400 12.760 225.720 ;
RECT 12.840 225.400 13.160 225.720 ;
RECT 13.240 225.400 13.560 225.720 ;
RECT 13.640 225.400 13.960 225.720 ;
RECT 14.040 225.400 14.360 225.720 ;
RECT 14.440 225.400 14.760 225.720 ;
RECT 14.840 225.400 15.160 225.720 ;
RECT 15.240 225.400 15.560 225.720 ;
RECT 15.640 225.400 15.960 225.720 ;
RECT 16.040 225.400 16.360 225.720 ;
RECT 16.440 225.400 16.760 225.720 ;
RECT 16.840 225.400 17.160 225.720 ;
RECT 17.240 225.400 17.560 225.720 ;
RECT 17.640 225.400 17.960 225.720 ;
RECT 18.040 225.400 18.360 225.720 ;
RECT 18.440 225.400 18.760 225.720 ;
RECT 18.840 225.400 19.160 225.720 ;
RECT 19.240 225.400 19.560 225.720 ;
RECT 19.640 225.400 19.960 225.720 ;
RECT 95.560 225.400 95.880 225.720 ;
RECT 95.960 225.400 96.280 225.720 ;
RECT 96.360 225.400 96.680 225.720 ;
RECT 96.760 225.400 97.080 225.720 ;
RECT 145.560 225.400 145.880 225.720 ;
RECT 145.960 225.400 146.280 225.720 ;
RECT 146.360 225.400 146.680 225.720 ;
RECT 146.760 225.400 147.080 225.720 ;
RECT 206.880 225.400 207.200 225.720 ;
RECT 207.280 225.400 207.600 225.720 ;
RECT 207.680 225.400 208.000 225.720 ;
RECT 208.080 225.400 208.400 225.720 ;
RECT 208.480 225.400 208.800 225.720 ;
RECT 208.880 225.400 209.200 225.720 ;
RECT 209.280 225.400 209.600 225.720 ;
RECT 209.680 225.400 210.000 225.720 ;
RECT 210.080 225.400 210.400 225.720 ;
RECT 210.480 225.400 210.800 225.720 ;
RECT 210.880 225.400 211.200 225.720 ;
RECT 211.280 225.400 211.600 225.720 ;
RECT 211.680 225.400 212.000 225.720 ;
RECT 212.080 225.400 212.400 225.720 ;
RECT 212.480 225.400 212.800 225.720 ;
RECT 212.880 225.400 213.200 225.720 ;
RECT 213.280 225.400 213.600 225.720 ;
RECT 213.680 225.400 214.000 225.720 ;
RECT 214.080 225.400 214.400 225.720 ;
RECT 214.480 225.400 214.800 225.720 ;
RECT 214.880 225.400 215.200 225.720 ;
RECT 215.280 225.400 215.600 225.720 ;
RECT 215.680 225.400 216.000 225.720 ;
RECT 216.080 225.400 216.400 225.720 ;
RECT 216.480 225.400 216.800 225.720 ;
RECT 216.880 225.400 217.200 225.720 ;
RECT 217.280 225.400 217.600 225.720 ;
RECT 217.680 225.400 218.000 225.720 ;
RECT 218.080 225.400 218.400 225.720 ;
RECT 218.480 225.400 218.800 225.720 ;
RECT 218.880 225.400 219.200 225.720 ;
RECT 219.280 225.400 219.600 225.720 ;
RECT 219.680 225.400 220.000 225.720 ;
RECT 220.080 225.400 220.400 225.720 ;
RECT 220.480 225.400 220.800 225.720 ;
RECT 220.880 225.400 221.200 225.720 ;
RECT 221.280 225.400 221.600 225.720 ;
RECT 221.680 225.400 222.000 225.720 ;
RECT 222.080 225.400 222.400 225.720 ;
RECT 222.480 225.400 222.800 225.720 ;
RECT 222.880 225.400 223.200 225.720 ;
RECT 223.280 225.400 223.600 225.720 ;
RECT 223.680 225.400 224.000 225.720 ;
RECT 224.080 225.400 224.400 225.720 ;
RECT 224.480 225.400 224.800 225.720 ;
RECT 224.880 225.400 225.200 225.720 ;
RECT 225.280 225.400 225.600 225.720 ;
RECT 225.680 225.400 226.000 225.720 ;
RECT 226.080 225.400 226.400 225.720 ;
RECT 226.480 225.400 226.800 225.720 ;
RECT 0.040 225.000 0.360 225.320 ;
RECT 0.440 225.000 0.760 225.320 ;
RECT 0.840 225.000 1.160 225.320 ;
RECT 1.240 225.000 1.560 225.320 ;
RECT 1.640 225.000 1.960 225.320 ;
RECT 2.040 225.000 2.360 225.320 ;
RECT 2.440 225.000 2.760 225.320 ;
RECT 2.840 225.000 3.160 225.320 ;
RECT 3.240 225.000 3.560 225.320 ;
RECT 3.640 225.000 3.960 225.320 ;
RECT 4.040 225.000 4.360 225.320 ;
RECT 4.440 225.000 4.760 225.320 ;
RECT 4.840 225.000 5.160 225.320 ;
RECT 5.240 225.000 5.560 225.320 ;
RECT 5.640 225.000 5.960 225.320 ;
RECT 6.040 225.000 6.360 225.320 ;
RECT 6.440 225.000 6.760 225.320 ;
RECT 6.840 225.000 7.160 225.320 ;
RECT 7.240 225.000 7.560 225.320 ;
RECT 7.640 225.000 7.960 225.320 ;
RECT 8.040 225.000 8.360 225.320 ;
RECT 8.440 225.000 8.760 225.320 ;
RECT 8.840 225.000 9.160 225.320 ;
RECT 9.240 225.000 9.560 225.320 ;
RECT 9.640 225.000 9.960 225.320 ;
RECT 10.040 225.000 10.360 225.320 ;
RECT 10.440 225.000 10.760 225.320 ;
RECT 10.840 225.000 11.160 225.320 ;
RECT 11.240 225.000 11.560 225.320 ;
RECT 11.640 225.000 11.960 225.320 ;
RECT 12.040 225.000 12.360 225.320 ;
RECT 12.440 225.000 12.760 225.320 ;
RECT 12.840 225.000 13.160 225.320 ;
RECT 13.240 225.000 13.560 225.320 ;
RECT 13.640 225.000 13.960 225.320 ;
RECT 14.040 225.000 14.360 225.320 ;
RECT 14.440 225.000 14.760 225.320 ;
RECT 14.840 225.000 15.160 225.320 ;
RECT 15.240 225.000 15.560 225.320 ;
RECT 15.640 225.000 15.960 225.320 ;
RECT 16.040 225.000 16.360 225.320 ;
RECT 16.440 225.000 16.760 225.320 ;
RECT 16.840 225.000 17.160 225.320 ;
RECT 17.240 225.000 17.560 225.320 ;
RECT 17.640 225.000 17.960 225.320 ;
RECT 18.040 225.000 18.360 225.320 ;
RECT 18.440 225.000 18.760 225.320 ;
RECT 18.840 225.000 19.160 225.320 ;
RECT 19.240 225.000 19.560 225.320 ;
RECT 19.640 225.000 19.960 225.320 ;
RECT 95.560 225.000 95.880 225.320 ;
RECT 95.960 225.000 96.280 225.320 ;
RECT 96.360 225.000 96.680 225.320 ;
RECT 96.760 225.000 97.080 225.320 ;
RECT 145.560 225.000 145.880 225.320 ;
RECT 145.960 225.000 146.280 225.320 ;
RECT 146.360 225.000 146.680 225.320 ;
RECT 146.760 225.000 147.080 225.320 ;
RECT 206.880 225.000 207.200 225.320 ;
RECT 207.280 225.000 207.600 225.320 ;
RECT 207.680 225.000 208.000 225.320 ;
RECT 208.080 225.000 208.400 225.320 ;
RECT 208.480 225.000 208.800 225.320 ;
RECT 208.880 225.000 209.200 225.320 ;
RECT 209.280 225.000 209.600 225.320 ;
RECT 209.680 225.000 210.000 225.320 ;
RECT 210.080 225.000 210.400 225.320 ;
RECT 210.480 225.000 210.800 225.320 ;
RECT 210.880 225.000 211.200 225.320 ;
RECT 211.280 225.000 211.600 225.320 ;
RECT 211.680 225.000 212.000 225.320 ;
RECT 212.080 225.000 212.400 225.320 ;
RECT 212.480 225.000 212.800 225.320 ;
RECT 212.880 225.000 213.200 225.320 ;
RECT 213.280 225.000 213.600 225.320 ;
RECT 213.680 225.000 214.000 225.320 ;
RECT 214.080 225.000 214.400 225.320 ;
RECT 214.480 225.000 214.800 225.320 ;
RECT 214.880 225.000 215.200 225.320 ;
RECT 215.280 225.000 215.600 225.320 ;
RECT 215.680 225.000 216.000 225.320 ;
RECT 216.080 225.000 216.400 225.320 ;
RECT 216.480 225.000 216.800 225.320 ;
RECT 216.880 225.000 217.200 225.320 ;
RECT 217.280 225.000 217.600 225.320 ;
RECT 217.680 225.000 218.000 225.320 ;
RECT 218.080 225.000 218.400 225.320 ;
RECT 218.480 225.000 218.800 225.320 ;
RECT 218.880 225.000 219.200 225.320 ;
RECT 219.280 225.000 219.600 225.320 ;
RECT 219.680 225.000 220.000 225.320 ;
RECT 220.080 225.000 220.400 225.320 ;
RECT 220.480 225.000 220.800 225.320 ;
RECT 220.880 225.000 221.200 225.320 ;
RECT 221.280 225.000 221.600 225.320 ;
RECT 221.680 225.000 222.000 225.320 ;
RECT 222.080 225.000 222.400 225.320 ;
RECT 222.480 225.000 222.800 225.320 ;
RECT 222.880 225.000 223.200 225.320 ;
RECT 223.280 225.000 223.600 225.320 ;
RECT 223.680 225.000 224.000 225.320 ;
RECT 224.080 225.000 224.400 225.320 ;
RECT 224.480 225.000 224.800 225.320 ;
RECT 224.880 225.000 225.200 225.320 ;
RECT 225.280 225.000 225.600 225.320 ;
RECT 225.680 225.000 226.000 225.320 ;
RECT 226.080 225.000 226.400 225.320 ;
RECT 226.480 225.000 226.800 225.320 ;
RECT 0.040 224.600 0.360 224.920 ;
RECT 0.440 224.600 0.760 224.920 ;
RECT 0.840 224.600 1.160 224.920 ;
RECT 1.240 224.600 1.560 224.920 ;
RECT 1.640 224.600 1.960 224.920 ;
RECT 2.040 224.600 2.360 224.920 ;
RECT 2.440 224.600 2.760 224.920 ;
RECT 2.840 224.600 3.160 224.920 ;
RECT 3.240 224.600 3.560 224.920 ;
RECT 3.640 224.600 3.960 224.920 ;
RECT 4.040 224.600 4.360 224.920 ;
RECT 4.440 224.600 4.760 224.920 ;
RECT 4.840 224.600 5.160 224.920 ;
RECT 5.240 224.600 5.560 224.920 ;
RECT 5.640 224.600 5.960 224.920 ;
RECT 6.040 224.600 6.360 224.920 ;
RECT 6.440 224.600 6.760 224.920 ;
RECT 6.840 224.600 7.160 224.920 ;
RECT 7.240 224.600 7.560 224.920 ;
RECT 7.640 224.600 7.960 224.920 ;
RECT 8.040 224.600 8.360 224.920 ;
RECT 8.440 224.600 8.760 224.920 ;
RECT 8.840 224.600 9.160 224.920 ;
RECT 9.240 224.600 9.560 224.920 ;
RECT 9.640 224.600 9.960 224.920 ;
RECT 10.040 224.600 10.360 224.920 ;
RECT 10.440 224.600 10.760 224.920 ;
RECT 10.840 224.600 11.160 224.920 ;
RECT 11.240 224.600 11.560 224.920 ;
RECT 11.640 224.600 11.960 224.920 ;
RECT 12.040 224.600 12.360 224.920 ;
RECT 12.440 224.600 12.760 224.920 ;
RECT 12.840 224.600 13.160 224.920 ;
RECT 13.240 224.600 13.560 224.920 ;
RECT 13.640 224.600 13.960 224.920 ;
RECT 14.040 224.600 14.360 224.920 ;
RECT 14.440 224.600 14.760 224.920 ;
RECT 14.840 224.600 15.160 224.920 ;
RECT 15.240 224.600 15.560 224.920 ;
RECT 15.640 224.600 15.960 224.920 ;
RECT 16.040 224.600 16.360 224.920 ;
RECT 16.440 224.600 16.760 224.920 ;
RECT 16.840 224.600 17.160 224.920 ;
RECT 17.240 224.600 17.560 224.920 ;
RECT 17.640 224.600 17.960 224.920 ;
RECT 18.040 224.600 18.360 224.920 ;
RECT 18.440 224.600 18.760 224.920 ;
RECT 18.840 224.600 19.160 224.920 ;
RECT 19.240 224.600 19.560 224.920 ;
RECT 19.640 224.600 19.960 224.920 ;
RECT 95.560 224.600 95.880 224.920 ;
RECT 95.960 224.600 96.280 224.920 ;
RECT 96.360 224.600 96.680 224.920 ;
RECT 96.760 224.600 97.080 224.920 ;
RECT 145.560 224.600 145.880 224.920 ;
RECT 145.960 224.600 146.280 224.920 ;
RECT 146.360 224.600 146.680 224.920 ;
RECT 146.760 224.600 147.080 224.920 ;
RECT 206.880 224.600 207.200 224.920 ;
RECT 207.280 224.600 207.600 224.920 ;
RECT 207.680 224.600 208.000 224.920 ;
RECT 208.080 224.600 208.400 224.920 ;
RECT 208.480 224.600 208.800 224.920 ;
RECT 208.880 224.600 209.200 224.920 ;
RECT 209.280 224.600 209.600 224.920 ;
RECT 209.680 224.600 210.000 224.920 ;
RECT 210.080 224.600 210.400 224.920 ;
RECT 210.480 224.600 210.800 224.920 ;
RECT 210.880 224.600 211.200 224.920 ;
RECT 211.280 224.600 211.600 224.920 ;
RECT 211.680 224.600 212.000 224.920 ;
RECT 212.080 224.600 212.400 224.920 ;
RECT 212.480 224.600 212.800 224.920 ;
RECT 212.880 224.600 213.200 224.920 ;
RECT 213.280 224.600 213.600 224.920 ;
RECT 213.680 224.600 214.000 224.920 ;
RECT 214.080 224.600 214.400 224.920 ;
RECT 214.480 224.600 214.800 224.920 ;
RECT 214.880 224.600 215.200 224.920 ;
RECT 215.280 224.600 215.600 224.920 ;
RECT 215.680 224.600 216.000 224.920 ;
RECT 216.080 224.600 216.400 224.920 ;
RECT 216.480 224.600 216.800 224.920 ;
RECT 216.880 224.600 217.200 224.920 ;
RECT 217.280 224.600 217.600 224.920 ;
RECT 217.680 224.600 218.000 224.920 ;
RECT 218.080 224.600 218.400 224.920 ;
RECT 218.480 224.600 218.800 224.920 ;
RECT 218.880 224.600 219.200 224.920 ;
RECT 219.280 224.600 219.600 224.920 ;
RECT 219.680 224.600 220.000 224.920 ;
RECT 220.080 224.600 220.400 224.920 ;
RECT 220.480 224.600 220.800 224.920 ;
RECT 220.880 224.600 221.200 224.920 ;
RECT 221.280 224.600 221.600 224.920 ;
RECT 221.680 224.600 222.000 224.920 ;
RECT 222.080 224.600 222.400 224.920 ;
RECT 222.480 224.600 222.800 224.920 ;
RECT 222.880 224.600 223.200 224.920 ;
RECT 223.280 224.600 223.600 224.920 ;
RECT 223.680 224.600 224.000 224.920 ;
RECT 224.080 224.600 224.400 224.920 ;
RECT 224.480 224.600 224.800 224.920 ;
RECT 224.880 224.600 225.200 224.920 ;
RECT 225.280 224.600 225.600 224.920 ;
RECT 225.680 224.600 226.000 224.920 ;
RECT 226.080 224.600 226.400 224.920 ;
RECT 226.480 224.600 226.800 224.920 ;
RECT 0.040 224.200 0.360 224.520 ;
RECT 0.440 224.200 0.760 224.520 ;
RECT 0.840 224.200 1.160 224.520 ;
RECT 1.240 224.200 1.560 224.520 ;
RECT 1.640 224.200 1.960 224.520 ;
RECT 2.040 224.200 2.360 224.520 ;
RECT 2.440 224.200 2.760 224.520 ;
RECT 2.840 224.200 3.160 224.520 ;
RECT 3.240 224.200 3.560 224.520 ;
RECT 3.640 224.200 3.960 224.520 ;
RECT 4.040 224.200 4.360 224.520 ;
RECT 4.440 224.200 4.760 224.520 ;
RECT 4.840 224.200 5.160 224.520 ;
RECT 5.240 224.200 5.560 224.520 ;
RECT 5.640 224.200 5.960 224.520 ;
RECT 6.040 224.200 6.360 224.520 ;
RECT 6.440 224.200 6.760 224.520 ;
RECT 6.840 224.200 7.160 224.520 ;
RECT 7.240 224.200 7.560 224.520 ;
RECT 7.640 224.200 7.960 224.520 ;
RECT 8.040 224.200 8.360 224.520 ;
RECT 8.440 224.200 8.760 224.520 ;
RECT 8.840 224.200 9.160 224.520 ;
RECT 9.240 224.200 9.560 224.520 ;
RECT 9.640 224.200 9.960 224.520 ;
RECT 10.040 224.200 10.360 224.520 ;
RECT 10.440 224.200 10.760 224.520 ;
RECT 10.840 224.200 11.160 224.520 ;
RECT 11.240 224.200 11.560 224.520 ;
RECT 11.640 224.200 11.960 224.520 ;
RECT 12.040 224.200 12.360 224.520 ;
RECT 12.440 224.200 12.760 224.520 ;
RECT 12.840 224.200 13.160 224.520 ;
RECT 13.240 224.200 13.560 224.520 ;
RECT 13.640 224.200 13.960 224.520 ;
RECT 14.040 224.200 14.360 224.520 ;
RECT 14.440 224.200 14.760 224.520 ;
RECT 14.840 224.200 15.160 224.520 ;
RECT 15.240 224.200 15.560 224.520 ;
RECT 15.640 224.200 15.960 224.520 ;
RECT 16.040 224.200 16.360 224.520 ;
RECT 16.440 224.200 16.760 224.520 ;
RECT 16.840 224.200 17.160 224.520 ;
RECT 17.240 224.200 17.560 224.520 ;
RECT 17.640 224.200 17.960 224.520 ;
RECT 18.040 224.200 18.360 224.520 ;
RECT 18.440 224.200 18.760 224.520 ;
RECT 18.840 224.200 19.160 224.520 ;
RECT 19.240 224.200 19.560 224.520 ;
RECT 19.640 224.200 19.960 224.520 ;
RECT 95.560 224.200 95.880 224.520 ;
RECT 95.960 224.200 96.280 224.520 ;
RECT 96.360 224.200 96.680 224.520 ;
RECT 96.760 224.200 97.080 224.520 ;
RECT 145.560 224.200 145.880 224.520 ;
RECT 145.960 224.200 146.280 224.520 ;
RECT 146.360 224.200 146.680 224.520 ;
RECT 146.760 224.200 147.080 224.520 ;
RECT 206.880 224.200 207.200 224.520 ;
RECT 207.280 224.200 207.600 224.520 ;
RECT 207.680 224.200 208.000 224.520 ;
RECT 208.080 224.200 208.400 224.520 ;
RECT 208.480 224.200 208.800 224.520 ;
RECT 208.880 224.200 209.200 224.520 ;
RECT 209.280 224.200 209.600 224.520 ;
RECT 209.680 224.200 210.000 224.520 ;
RECT 210.080 224.200 210.400 224.520 ;
RECT 210.480 224.200 210.800 224.520 ;
RECT 210.880 224.200 211.200 224.520 ;
RECT 211.280 224.200 211.600 224.520 ;
RECT 211.680 224.200 212.000 224.520 ;
RECT 212.080 224.200 212.400 224.520 ;
RECT 212.480 224.200 212.800 224.520 ;
RECT 212.880 224.200 213.200 224.520 ;
RECT 213.280 224.200 213.600 224.520 ;
RECT 213.680 224.200 214.000 224.520 ;
RECT 214.080 224.200 214.400 224.520 ;
RECT 214.480 224.200 214.800 224.520 ;
RECT 214.880 224.200 215.200 224.520 ;
RECT 215.280 224.200 215.600 224.520 ;
RECT 215.680 224.200 216.000 224.520 ;
RECT 216.080 224.200 216.400 224.520 ;
RECT 216.480 224.200 216.800 224.520 ;
RECT 216.880 224.200 217.200 224.520 ;
RECT 217.280 224.200 217.600 224.520 ;
RECT 217.680 224.200 218.000 224.520 ;
RECT 218.080 224.200 218.400 224.520 ;
RECT 218.480 224.200 218.800 224.520 ;
RECT 218.880 224.200 219.200 224.520 ;
RECT 219.280 224.200 219.600 224.520 ;
RECT 219.680 224.200 220.000 224.520 ;
RECT 220.080 224.200 220.400 224.520 ;
RECT 220.480 224.200 220.800 224.520 ;
RECT 220.880 224.200 221.200 224.520 ;
RECT 221.280 224.200 221.600 224.520 ;
RECT 221.680 224.200 222.000 224.520 ;
RECT 222.080 224.200 222.400 224.520 ;
RECT 222.480 224.200 222.800 224.520 ;
RECT 222.880 224.200 223.200 224.520 ;
RECT 223.280 224.200 223.600 224.520 ;
RECT 223.680 224.200 224.000 224.520 ;
RECT 224.080 224.200 224.400 224.520 ;
RECT 224.480 224.200 224.800 224.520 ;
RECT 224.880 224.200 225.200 224.520 ;
RECT 225.280 224.200 225.600 224.520 ;
RECT 225.680 224.200 226.000 224.520 ;
RECT 226.080 224.200 226.400 224.520 ;
RECT 226.480 224.200 226.800 224.520 ;
RECT 0.040 223.800 0.360 224.120 ;
RECT 0.440 223.800 0.760 224.120 ;
RECT 0.840 223.800 1.160 224.120 ;
RECT 1.240 223.800 1.560 224.120 ;
RECT 1.640 223.800 1.960 224.120 ;
RECT 2.040 223.800 2.360 224.120 ;
RECT 2.440 223.800 2.760 224.120 ;
RECT 2.840 223.800 3.160 224.120 ;
RECT 3.240 223.800 3.560 224.120 ;
RECT 3.640 223.800 3.960 224.120 ;
RECT 4.040 223.800 4.360 224.120 ;
RECT 4.440 223.800 4.760 224.120 ;
RECT 4.840 223.800 5.160 224.120 ;
RECT 5.240 223.800 5.560 224.120 ;
RECT 5.640 223.800 5.960 224.120 ;
RECT 6.040 223.800 6.360 224.120 ;
RECT 6.440 223.800 6.760 224.120 ;
RECT 6.840 223.800 7.160 224.120 ;
RECT 7.240 223.800 7.560 224.120 ;
RECT 7.640 223.800 7.960 224.120 ;
RECT 8.040 223.800 8.360 224.120 ;
RECT 8.440 223.800 8.760 224.120 ;
RECT 8.840 223.800 9.160 224.120 ;
RECT 9.240 223.800 9.560 224.120 ;
RECT 9.640 223.800 9.960 224.120 ;
RECT 10.040 223.800 10.360 224.120 ;
RECT 10.440 223.800 10.760 224.120 ;
RECT 10.840 223.800 11.160 224.120 ;
RECT 11.240 223.800 11.560 224.120 ;
RECT 11.640 223.800 11.960 224.120 ;
RECT 12.040 223.800 12.360 224.120 ;
RECT 12.440 223.800 12.760 224.120 ;
RECT 12.840 223.800 13.160 224.120 ;
RECT 13.240 223.800 13.560 224.120 ;
RECT 13.640 223.800 13.960 224.120 ;
RECT 14.040 223.800 14.360 224.120 ;
RECT 14.440 223.800 14.760 224.120 ;
RECT 14.840 223.800 15.160 224.120 ;
RECT 15.240 223.800 15.560 224.120 ;
RECT 15.640 223.800 15.960 224.120 ;
RECT 16.040 223.800 16.360 224.120 ;
RECT 16.440 223.800 16.760 224.120 ;
RECT 16.840 223.800 17.160 224.120 ;
RECT 17.240 223.800 17.560 224.120 ;
RECT 17.640 223.800 17.960 224.120 ;
RECT 18.040 223.800 18.360 224.120 ;
RECT 18.440 223.800 18.760 224.120 ;
RECT 18.840 223.800 19.160 224.120 ;
RECT 19.240 223.800 19.560 224.120 ;
RECT 19.640 223.800 19.960 224.120 ;
RECT 95.560 223.800 95.880 224.120 ;
RECT 95.960 223.800 96.280 224.120 ;
RECT 96.360 223.800 96.680 224.120 ;
RECT 96.760 223.800 97.080 224.120 ;
RECT 145.560 223.800 145.880 224.120 ;
RECT 145.960 223.800 146.280 224.120 ;
RECT 146.360 223.800 146.680 224.120 ;
RECT 146.760 223.800 147.080 224.120 ;
RECT 206.880 223.800 207.200 224.120 ;
RECT 207.280 223.800 207.600 224.120 ;
RECT 207.680 223.800 208.000 224.120 ;
RECT 208.080 223.800 208.400 224.120 ;
RECT 208.480 223.800 208.800 224.120 ;
RECT 208.880 223.800 209.200 224.120 ;
RECT 209.280 223.800 209.600 224.120 ;
RECT 209.680 223.800 210.000 224.120 ;
RECT 210.080 223.800 210.400 224.120 ;
RECT 210.480 223.800 210.800 224.120 ;
RECT 210.880 223.800 211.200 224.120 ;
RECT 211.280 223.800 211.600 224.120 ;
RECT 211.680 223.800 212.000 224.120 ;
RECT 212.080 223.800 212.400 224.120 ;
RECT 212.480 223.800 212.800 224.120 ;
RECT 212.880 223.800 213.200 224.120 ;
RECT 213.280 223.800 213.600 224.120 ;
RECT 213.680 223.800 214.000 224.120 ;
RECT 214.080 223.800 214.400 224.120 ;
RECT 214.480 223.800 214.800 224.120 ;
RECT 214.880 223.800 215.200 224.120 ;
RECT 215.280 223.800 215.600 224.120 ;
RECT 215.680 223.800 216.000 224.120 ;
RECT 216.080 223.800 216.400 224.120 ;
RECT 216.480 223.800 216.800 224.120 ;
RECT 216.880 223.800 217.200 224.120 ;
RECT 217.280 223.800 217.600 224.120 ;
RECT 217.680 223.800 218.000 224.120 ;
RECT 218.080 223.800 218.400 224.120 ;
RECT 218.480 223.800 218.800 224.120 ;
RECT 218.880 223.800 219.200 224.120 ;
RECT 219.280 223.800 219.600 224.120 ;
RECT 219.680 223.800 220.000 224.120 ;
RECT 220.080 223.800 220.400 224.120 ;
RECT 220.480 223.800 220.800 224.120 ;
RECT 220.880 223.800 221.200 224.120 ;
RECT 221.280 223.800 221.600 224.120 ;
RECT 221.680 223.800 222.000 224.120 ;
RECT 222.080 223.800 222.400 224.120 ;
RECT 222.480 223.800 222.800 224.120 ;
RECT 222.880 223.800 223.200 224.120 ;
RECT 223.280 223.800 223.600 224.120 ;
RECT 223.680 223.800 224.000 224.120 ;
RECT 224.080 223.800 224.400 224.120 ;
RECT 224.480 223.800 224.800 224.120 ;
RECT 224.880 223.800 225.200 224.120 ;
RECT 225.280 223.800 225.600 224.120 ;
RECT 225.680 223.800 226.000 224.120 ;
RECT 226.080 223.800 226.400 224.120 ;
RECT 226.480 223.800 226.800 224.120 ;
RECT 0.040 223.400 0.360 223.720 ;
RECT 0.440 223.400 0.760 223.720 ;
RECT 0.840 223.400 1.160 223.720 ;
RECT 1.240 223.400 1.560 223.720 ;
RECT 1.640 223.400 1.960 223.720 ;
RECT 2.040 223.400 2.360 223.720 ;
RECT 2.440 223.400 2.760 223.720 ;
RECT 2.840 223.400 3.160 223.720 ;
RECT 3.240 223.400 3.560 223.720 ;
RECT 3.640 223.400 3.960 223.720 ;
RECT 4.040 223.400 4.360 223.720 ;
RECT 4.440 223.400 4.760 223.720 ;
RECT 4.840 223.400 5.160 223.720 ;
RECT 5.240 223.400 5.560 223.720 ;
RECT 5.640 223.400 5.960 223.720 ;
RECT 6.040 223.400 6.360 223.720 ;
RECT 6.440 223.400 6.760 223.720 ;
RECT 6.840 223.400 7.160 223.720 ;
RECT 7.240 223.400 7.560 223.720 ;
RECT 7.640 223.400 7.960 223.720 ;
RECT 8.040 223.400 8.360 223.720 ;
RECT 8.440 223.400 8.760 223.720 ;
RECT 8.840 223.400 9.160 223.720 ;
RECT 9.240 223.400 9.560 223.720 ;
RECT 9.640 223.400 9.960 223.720 ;
RECT 10.040 223.400 10.360 223.720 ;
RECT 10.440 223.400 10.760 223.720 ;
RECT 10.840 223.400 11.160 223.720 ;
RECT 11.240 223.400 11.560 223.720 ;
RECT 11.640 223.400 11.960 223.720 ;
RECT 12.040 223.400 12.360 223.720 ;
RECT 12.440 223.400 12.760 223.720 ;
RECT 12.840 223.400 13.160 223.720 ;
RECT 13.240 223.400 13.560 223.720 ;
RECT 13.640 223.400 13.960 223.720 ;
RECT 14.040 223.400 14.360 223.720 ;
RECT 14.440 223.400 14.760 223.720 ;
RECT 14.840 223.400 15.160 223.720 ;
RECT 15.240 223.400 15.560 223.720 ;
RECT 15.640 223.400 15.960 223.720 ;
RECT 16.040 223.400 16.360 223.720 ;
RECT 16.440 223.400 16.760 223.720 ;
RECT 16.840 223.400 17.160 223.720 ;
RECT 17.240 223.400 17.560 223.720 ;
RECT 17.640 223.400 17.960 223.720 ;
RECT 18.040 223.400 18.360 223.720 ;
RECT 18.440 223.400 18.760 223.720 ;
RECT 18.840 223.400 19.160 223.720 ;
RECT 19.240 223.400 19.560 223.720 ;
RECT 19.640 223.400 19.960 223.720 ;
RECT 95.560 223.400 95.880 223.720 ;
RECT 95.960 223.400 96.280 223.720 ;
RECT 96.360 223.400 96.680 223.720 ;
RECT 96.760 223.400 97.080 223.720 ;
RECT 145.560 223.400 145.880 223.720 ;
RECT 145.960 223.400 146.280 223.720 ;
RECT 146.360 223.400 146.680 223.720 ;
RECT 146.760 223.400 147.080 223.720 ;
RECT 206.880 223.400 207.200 223.720 ;
RECT 207.280 223.400 207.600 223.720 ;
RECT 207.680 223.400 208.000 223.720 ;
RECT 208.080 223.400 208.400 223.720 ;
RECT 208.480 223.400 208.800 223.720 ;
RECT 208.880 223.400 209.200 223.720 ;
RECT 209.280 223.400 209.600 223.720 ;
RECT 209.680 223.400 210.000 223.720 ;
RECT 210.080 223.400 210.400 223.720 ;
RECT 210.480 223.400 210.800 223.720 ;
RECT 210.880 223.400 211.200 223.720 ;
RECT 211.280 223.400 211.600 223.720 ;
RECT 211.680 223.400 212.000 223.720 ;
RECT 212.080 223.400 212.400 223.720 ;
RECT 212.480 223.400 212.800 223.720 ;
RECT 212.880 223.400 213.200 223.720 ;
RECT 213.280 223.400 213.600 223.720 ;
RECT 213.680 223.400 214.000 223.720 ;
RECT 214.080 223.400 214.400 223.720 ;
RECT 214.480 223.400 214.800 223.720 ;
RECT 214.880 223.400 215.200 223.720 ;
RECT 215.280 223.400 215.600 223.720 ;
RECT 215.680 223.400 216.000 223.720 ;
RECT 216.080 223.400 216.400 223.720 ;
RECT 216.480 223.400 216.800 223.720 ;
RECT 216.880 223.400 217.200 223.720 ;
RECT 217.280 223.400 217.600 223.720 ;
RECT 217.680 223.400 218.000 223.720 ;
RECT 218.080 223.400 218.400 223.720 ;
RECT 218.480 223.400 218.800 223.720 ;
RECT 218.880 223.400 219.200 223.720 ;
RECT 219.280 223.400 219.600 223.720 ;
RECT 219.680 223.400 220.000 223.720 ;
RECT 220.080 223.400 220.400 223.720 ;
RECT 220.480 223.400 220.800 223.720 ;
RECT 220.880 223.400 221.200 223.720 ;
RECT 221.280 223.400 221.600 223.720 ;
RECT 221.680 223.400 222.000 223.720 ;
RECT 222.080 223.400 222.400 223.720 ;
RECT 222.480 223.400 222.800 223.720 ;
RECT 222.880 223.400 223.200 223.720 ;
RECT 223.280 223.400 223.600 223.720 ;
RECT 223.680 223.400 224.000 223.720 ;
RECT 224.080 223.400 224.400 223.720 ;
RECT 224.480 223.400 224.800 223.720 ;
RECT 224.880 223.400 225.200 223.720 ;
RECT 225.280 223.400 225.600 223.720 ;
RECT 225.680 223.400 226.000 223.720 ;
RECT 226.080 223.400 226.400 223.720 ;
RECT 226.480 223.400 226.800 223.720 ;
RECT 0.040 223.000 0.360 223.320 ;
RECT 0.440 223.000 0.760 223.320 ;
RECT 0.840 223.000 1.160 223.320 ;
RECT 1.240 223.000 1.560 223.320 ;
RECT 1.640 223.000 1.960 223.320 ;
RECT 2.040 223.000 2.360 223.320 ;
RECT 2.440 223.000 2.760 223.320 ;
RECT 2.840 223.000 3.160 223.320 ;
RECT 3.240 223.000 3.560 223.320 ;
RECT 3.640 223.000 3.960 223.320 ;
RECT 4.040 223.000 4.360 223.320 ;
RECT 4.440 223.000 4.760 223.320 ;
RECT 4.840 223.000 5.160 223.320 ;
RECT 5.240 223.000 5.560 223.320 ;
RECT 5.640 223.000 5.960 223.320 ;
RECT 6.040 223.000 6.360 223.320 ;
RECT 6.440 223.000 6.760 223.320 ;
RECT 6.840 223.000 7.160 223.320 ;
RECT 7.240 223.000 7.560 223.320 ;
RECT 7.640 223.000 7.960 223.320 ;
RECT 8.040 223.000 8.360 223.320 ;
RECT 8.440 223.000 8.760 223.320 ;
RECT 8.840 223.000 9.160 223.320 ;
RECT 9.240 223.000 9.560 223.320 ;
RECT 9.640 223.000 9.960 223.320 ;
RECT 10.040 223.000 10.360 223.320 ;
RECT 10.440 223.000 10.760 223.320 ;
RECT 10.840 223.000 11.160 223.320 ;
RECT 11.240 223.000 11.560 223.320 ;
RECT 11.640 223.000 11.960 223.320 ;
RECT 12.040 223.000 12.360 223.320 ;
RECT 12.440 223.000 12.760 223.320 ;
RECT 12.840 223.000 13.160 223.320 ;
RECT 13.240 223.000 13.560 223.320 ;
RECT 13.640 223.000 13.960 223.320 ;
RECT 14.040 223.000 14.360 223.320 ;
RECT 14.440 223.000 14.760 223.320 ;
RECT 14.840 223.000 15.160 223.320 ;
RECT 15.240 223.000 15.560 223.320 ;
RECT 15.640 223.000 15.960 223.320 ;
RECT 16.040 223.000 16.360 223.320 ;
RECT 16.440 223.000 16.760 223.320 ;
RECT 16.840 223.000 17.160 223.320 ;
RECT 17.240 223.000 17.560 223.320 ;
RECT 17.640 223.000 17.960 223.320 ;
RECT 18.040 223.000 18.360 223.320 ;
RECT 18.440 223.000 18.760 223.320 ;
RECT 18.840 223.000 19.160 223.320 ;
RECT 19.240 223.000 19.560 223.320 ;
RECT 19.640 223.000 19.960 223.320 ;
RECT 95.560 223.000 95.880 223.320 ;
RECT 95.960 223.000 96.280 223.320 ;
RECT 96.360 223.000 96.680 223.320 ;
RECT 96.760 223.000 97.080 223.320 ;
RECT 145.560 223.000 145.880 223.320 ;
RECT 145.960 223.000 146.280 223.320 ;
RECT 146.360 223.000 146.680 223.320 ;
RECT 146.760 223.000 147.080 223.320 ;
RECT 206.880 223.000 207.200 223.320 ;
RECT 207.280 223.000 207.600 223.320 ;
RECT 207.680 223.000 208.000 223.320 ;
RECT 208.080 223.000 208.400 223.320 ;
RECT 208.480 223.000 208.800 223.320 ;
RECT 208.880 223.000 209.200 223.320 ;
RECT 209.280 223.000 209.600 223.320 ;
RECT 209.680 223.000 210.000 223.320 ;
RECT 210.080 223.000 210.400 223.320 ;
RECT 210.480 223.000 210.800 223.320 ;
RECT 210.880 223.000 211.200 223.320 ;
RECT 211.280 223.000 211.600 223.320 ;
RECT 211.680 223.000 212.000 223.320 ;
RECT 212.080 223.000 212.400 223.320 ;
RECT 212.480 223.000 212.800 223.320 ;
RECT 212.880 223.000 213.200 223.320 ;
RECT 213.280 223.000 213.600 223.320 ;
RECT 213.680 223.000 214.000 223.320 ;
RECT 214.080 223.000 214.400 223.320 ;
RECT 214.480 223.000 214.800 223.320 ;
RECT 214.880 223.000 215.200 223.320 ;
RECT 215.280 223.000 215.600 223.320 ;
RECT 215.680 223.000 216.000 223.320 ;
RECT 216.080 223.000 216.400 223.320 ;
RECT 216.480 223.000 216.800 223.320 ;
RECT 216.880 223.000 217.200 223.320 ;
RECT 217.280 223.000 217.600 223.320 ;
RECT 217.680 223.000 218.000 223.320 ;
RECT 218.080 223.000 218.400 223.320 ;
RECT 218.480 223.000 218.800 223.320 ;
RECT 218.880 223.000 219.200 223.320 ;
RECT 219.280 223.000 219.600 223.320 ;
RECT 219.680 223.000 220.000 223.320 ;
RECT 220.080 223.000 220.400 223.320 ;
RECT 220.480 223.000 220.800 223.320 ;
RECT 220.880 223.000 221.200 223.320 ;
RECT 221.280 223.000 221.600 223.320 ;
RECT 221.680 223.000 222.000 223.320 ;
RECT 222.080 223.000 222.400 223.320 ;
RECT 222.480 223.000 222.800 223.320 ;
RECT 222.880 223.000 223.200 223.320 ;
RECT 223.280 223.000 223.600 223.320 ;
RECT 223.680 223.000 224.000 223.320 ;
RECT 224.080 223.000 224.400 223.320 ;
RECT 224.480 223.000 224.800 223.320 ;
RECT 224.880 223.000 225.200 223.320 ;
RECT 225.280 223.000 225.600 223.320 ;
RECT 225.680 223.000 226.000 223.320 ;
RECT 226.080 223.000 226.400 223.320 ;
RECT 226.480 223.000 226.800 223.320 ;
RECT 0.040 222.600 0.360 222.920 ;
RECT 0.440 222.600 0.760 222.920 ;
RECT 0.840 222.600 1.160 222.920 ;
RECT 1.240 222.600 1.560 222.920 ;
RECT 1.640 222.600 1.960 222.920 ;
RECT 2.040 222.600 2.360 222.920 ;
RECT 2.440 222.600 2.760 222.920 ;
RECT 2.840 222.600 3.160 222.920 ;
RECT 3.240 222.600 3.560 222.920 ;
RECT 3.640 222.600 3.960 222.920 ;
RECT 4.040 222.600 4.360 222.920 ;
RECT 4.440 222.600 4.760 222.920 ;
RECT 4.840 222.600 5.160 222.920 ;
RECT 5.240 222.600 5.560 222.920 ;
RECT 5.640 222.600 5.960 222.920 ;
RECT 6.040 222.600 6.360 222.920 ;
RECT 6.440 222.600 6.760 222.920 ;
RECT 6.840 222.600 7.160 222.920 ;
RECT 7.240 222.600 7.560 222.920 ;
RECT 7.640 222.600 7.960 222.920 ;
RECT 8.040 222.600 8.360 222.920 ;
RECT 8.440 222.600 8.760 222.920 ;
RECT 8.840 222.600 9.160 222.920 ;
RECT 9.240 222.600 9.560 222.920 ;
RECT 9.640 222.600 9.960 222.920 ;
RECT 10.040 222.600 10.360 222.920 ;
RECT 10.440 222.600 10.760 222.920 ;
RECT 10.840 222.600 11.160 222.920 ;
RECT 11.240 222.600 11.560 222.920 ;
RECT 11.640 222.600 11.960 222.920 ;
RECT 12.040 222.600 12.360 222.920 ;
RECT 12.440 222.600 12.760 222.920 ;
RECT 12.840 222.600 13.160 222.920 ;
RECT 13.240 222.600 13.560 222.920 ;
RECT 13.640 222.600 13.960 222.920 ;
RECT 14.040 222.600 14.360 222.920 ;
RECT 14.440 222.600 14.760 222.920 ;
RECT 14.840 222.600 15.160 222.920 ;
RECT 15.240 222.600 15.560 222.920 ;
RECT 15.640 222.600 15.960 222.920 ;
RECT 16.040 222.600 16.360 222.920 ;
RECT 16.440 222.600 16.760 222.920 ;
RECT 16.840 222.600 17.160 222.920 ;
RECT 17.240 222.600 17.560 222.920 ;
RECT 17.640 222.600 17.960 222.920 ;
RECT 18.040 222.600 18.360 222.920 ;
RECT 18.440 222.600 18.760 222.920 ;
RECT 18.840 222.600 19.160 222.920 ;
RECT 19.240 222.600 19.560 222.920 ;
RECT 19.640 222.600 19.960 222.920 ;
RECT 95.560 222.600 95.880 222.920 ;
RECT 95.960 222.600 96.280 222.920 ;
RECT 96.360 222.600 96.680 222.920 ;
RECT 96.760 222.600 97.080 222.920 ;
RECT 145.560 222.600 145.880 222.920 ;
RECT 145.960 222.600 146.280 222.920 ;
RECT 146.360 222.600 146.680 222.920 ;
RECT 146.760 222.600 147.080 222.920 ;
RECT 206.880 222.600 207.200 222.920 ;
RECT 207.280 222.600 207.600 222.920 ;
RECT 207.680 222.600 208.000 222.920 ;
RECT 208.080 222.600 208.400 222.920 ;
RECT 208.480 222.600 208.800 222.920 ;
RECT 208.880 222.600 209.200 222.920 ;
RECT 209.280 222.600 209.600 222.920 ;
RECT 209.680 222.600 210.000 222.920 ;
RECT 210.080 222.600 210.400 222.920 ;
RECT 210.480 222.600 210.800 222.920 ;
RECT 210.880 222.600 211.200 222.920 ;
RECT 211.280 222.600 211.600 222.920 ;
RECT 211.680 222.600 212.000 222.920 ;
RECT 212.080 222.600 212.400 222.920 ;
RECT 212.480 222.600 212.800 222.920 ;
RECT 212.880 222.600 213.200 222.920 ;
RECT 213.280 222.600 213.600 222.920 ;
RECT 213.680 222.600 214.000 222.920 ;
RECT 214.080 222.600 214.400 222.920 ;
RECT 214.480 222.600 214.800 222.920 ;
RECT 214.880 222.600 215.200 222.920 ;
RECT 215.280 222.600 215.600 222.920 ;
RECT 215.680 222.600 216.000 222.920 ;
RECT 216.080 222.600 216.400 222.920 ;
RECT 216.480 222.600 216.800 222.920 ;
RECT 216.880 222.600 217.200 222.920 ;
RECT 217.280 222.600 217.600 222.920 ;
RECT 217.680 222.600 218.000 222.920 ;
RECT 218.080 222.600 218.400 222.920 ;
RECT 218.480 222.600 218.800 222.920 ;
RECT 218.880 222.600 219.200 222.920 ;
RECT 219.280 222.600 219.600 222.920 ;
RECT 219.680 222.600 220.000 222.920 ;
RECT 220.080 222.600 220.400 222.920 ;
RECT 220.480 222.600 220.800 222.920 ;
RECT 220.880 222.600 221.200 222.920 ;
RECT 221.280 222.600 221.600 222.920 ;
RECT 221.680 222.600 222.000 222.920 ;
RECT 222.080 222.600 222.400 222.920 ;
RECT 222.480 222.600 222.800 222.920 ;
RECT 222.880 222.600 223.200 222.920 ;
RECT 223.280 222.600 223.600 222.920 ;
RECT 223.680 222.600 224.000 222.920 ;
RECT 224.080 222.600 224.400 222.920 ;
RECT 224.480 222.600 224.800 222.920 ;
RECT 224.880 222.600 225.200 222.920 ;
RECT 225.280 222.600 225.600 222.920 ;
RECT 225.680 222.600 226.000 222.920 ;
RECT 226.080 222.600 226.400 222.920 ;
RECT 226.480 222.600 226.800 222.920 ;
RECT 0.040 222.200 0.360 222.520 ;
RECT 0.440 222.200 0.760 222.520 ;
RECT 0.840 222.200 1.160 222.520 ;
RECT 1.240 222.200 1.560 222.520 ;
RECT 1.640 222.200 1.960 222.520 ;
RECT 2.040 222.200 2.360 222.520 ;
RECT 2.440 222.200 2.760 222.520 ;
RECT 2.840 222.200 3.160 222.520 ;
RECT 3.240 222.200 3.560 222.520 ;
RECT 3.640 222.200 3.960 222.520 ;
RECT 4.040 222.200 4.360 222.520 ;
RECT 4.440 222.200 4.760 222.520 ;
RECT 4.840 222.200 5.160 222.520 ;
RECT 5.240 222.200 5.560 222.520 ;
RECT 5.640 222.200 5.960 222.520 ;
RECT 6.040 222.200 6.360 222.520 ;
RECT 6.440 222.200 6.760 222.520 ;
RECT 6.840 222.200 7.160 222.520 ;
RECT 7.240 222.200 7.560 222.520 ;
RECT 7.640 222.200 7.960 222.520 ;
RECT 8.040 222.200 8.360 222.520 ;
RECT 8.440 222.200 8.760 222.520 ;
RECT 8.840 222.200 9.160 222.520 ;
RECT 9.240 222.200 9.560 222.520 ;
RECT 9.640 222.200 9.960 222.520 ;
RECT 10.040 222.200 10.360 222.520 ;
RECT 10.440 222.200 10.760 222.520 ;
RECT 10.840 222.200 11.160 222.520 ;
RECT 11.240 222.200 11.560 222.520 ;
RECT 11.640 222.200 11.960 222.520 ;
RECT 12.040 222.200 12.360 222.520 ;
RECT 12.440 222.200 12.760 222.520 ;
RECT 12.840 222.200 13.160 222.520 ;
RECT 13.240 222.200 13.560 222.520 ;
RECT 13.640 222.200 13.960 222.520 ;
RECT 14.040 222.200 14.360 222.520 ;
RECT 14.440 222.200 14.760 222.520 ;
RECT 14.840 222.200 15.160 222.520 ;
RECT 15.240 222.200 15.560 222.520 ;
RECT 15.640 222.200 15.960 222.520 ;
RECT 16.040 222.200 16.360 222.520 ;
RECT 16.440 222.200 16.760 222.520 ;
RECT 16.840 222.200 17.160 222.520 ;
RECT 17.240 222.200 17.560 222.520 ;
RECT 17.640 222.200 17.960 222.520 ;
RECT 18.040 222.200 18.360 222.520 ;
RECT 18.440 222.200 18.760 222.520 ;
RECT 18.840 222.200 19.160 222.520 ;
RECT 19.240 222.200 19.560 222.520 ;
RECT 19.640 222.200 19.960 222.520 ;
RECT 95.560 222.200 95.880 222.520 ;
RECT 95.960 222.200 96.280 222.520 ;
RECT 96.360 222.200 96.680 222.520 ;
RECT 96.760 222.200 97.080 222.520 ;
RECT 145.560 222.200 145.880 222.520 ;
RECT 145.960 222.200 146.280 222.520 ;
RECT 146.360 222.200 146.680 222.520 ;
RECT 146.760 222.200 147.080 222.520 ;
RECT 206.880 222.200 207.200 222.520 ;
RECT 207.280 222.200 207.600 222.520 ;
RECT 207.680 222.200 208.000 222.520 ;
RECT 208.080 222.200 208.400 222.520 ;
RECT 208.480 222.200 208.800 222.520 ;
RECT 208.880 222.200 209.200 222.520 ;
RECT 209.280 222.200 209.600 222.520 ;
RECT 209.680 222.200 210.000 222.520 ;
RECT 210.080 222.200 210.400 222.520 ;
RECT 210.480 222.200 210.800 222.520 ;
RECT 210.880 222.200 211.200 222.520 ;
RECT 211.280 222.200 211.600 222.520 ;
RECT 211.680 222.200 212.000 222.520 ;
RECT 212.080 222.200 212.400 222.520 ;
RECT 212.480 222.200 212.800 222.520 ;
RECT 212.880 222.200 213.200 222.520 ;
RECT 213.280 222.200 213.600 222.520 ;
RECT 213.680 222.200 214.000 222.520 ;
RECT 214.080 222.200 214.400 222.520 ;
RECT 214.480 222.200 214.800 222.520 ;
RECT 214.880 222.200 215.200 222.520 ;
RECT 215.280 222.200 215.600 222.520 ;
RECT 215.680 222.200 216.000 222.520 ;
RECT 216.080 222.200 216.400 222.520 ;
RECT 216.480 222.200 216.800 222.520 ;
RECT 216.880 222.200 217.200 222.520 ;
RECT 217.280 222.200 217.600 222.520 ;
RECT 217.680 222.200 218.000 222.520 ;
RECT 218.080 222.200 218.400 222.520 ;
RECT 218.480 222.200 218.800 222.520 ;
RECT 218.880 222.200 219.200 222.520 ;
RECT 219.280 222.200 219.600 222.520 ;
RECT 219.680 222.200 220.000 222.520 ;
RECT 220.080 222.200 220.400 222.520 ;
RECT 220.480 222.200 220.800 222.520 ;
RECT 220.880 222.200 221.200 222.520 ;
RECT 221.280 222.200 221.600 222.520 ;
RECT 221.680 222.200 222.000 222.520 ;
RECT 222.080 222.200 222.400 222.520 ;
RECT 222.480 222.200 222.800 222.520 ;
RECT 222.880 222.200 223.200 222.520 ;
RECT 223.280 222.200 223.600 222.520 ;
RECT 223.680 222.200 224.000 222.520 ;
RECT 224.080 222.200 224.400 222.520 ;
RECT 224.480 222.200 224.800 222.520 ;
RECT 224.880 222.200 225.200 222.520 ;
RECT 225.280 222.200 225.600 222.520 ;
RECT 225.680 222.200 226.000 222.520 ;
RECT 226.080 222.200 226.400 222.520 ;
RECT 226.480 222.200 226.800 222.520 ;
RECT 0.040 221.800 0.360 222.120 ;
RECT 0.440 221.800 0.760 222.120 ;
RECT 0.840 221.800 1.160 222.120 ;
RECT 1.240 221.800 1.560 222.120 ;
RECT 1.640 221.800 1.960 222.120 ;
RECT 2.040 221.800 2.360 222.120 ;
RECT 2.440 221.800 2.760 222.120 ;
RECT 2.840 221.800 3.160 222.120 ;
RECT 3.240 221.800 3.560 222.120 ;
RECT 3.640 221.800 3.960 222.120 ;
RECT 4.040 221.800 4.360 222.120 ;
RECT 4.440 221.800 4.760 222.120 ;
RECT 4.840 221.800 5.160 222.120 ;
RECT 5.240 221.800 5.560 222.120 ;
RECT 5.640 221.800 5.960 222.120 ;
RECT 6.040 221.800 6.360 222.120 ;
RECT 6.440 221.800 6.760 222.120 ;
RECT 6.840 221.800 7.160 222.120 ;
RECT 7.240 221.800 7.560 222.120 ;
RECT 7.640 221.800 7.960 222.120 ;
RECT 8.040 221.800 8.360 222.120 ;
RECT 8.440 221.800 8.760 222.120 ;
RECT 8.840 221.800 9.160 222.120 ;
RECT 9.240 221.800 9.560 222.120 ;
RECT 9.640 221.800 9.960 222.120 ;
RECT 10.040 221.800 10.360 222.120 ;
RECT 10.440 221.800 10.760 222.120 ;
RECT 10.840 221.800 11.160 222.120 ;
RECT 11.240 221.800 11.560 222.120 ;
RECT 11.640 221.800 11.960 222.120 ;
RECT 12.040 221.800 12.360 222.120 ;
RECT 12.440 221.800 12.760 222.120 ;
RECT 12.840 221.800 13.160 222.120 ;
RECT 13.240 221.800 13.560 222.120 ;
RECT 13.640 221.800 13.960 222.120 ;
RECT 14.040 221.800 14.360 222.120 ;
RECT 14.440 221.800 14.760 222.120 ;
RECT 14.840 221.800 15.160 222.120 ;
RECT 15.240 221.800 15.560 222.120 ;
RECT 15.640 221.800 15.960 222.120 ;
RECT 16.040 221.800 16.360 222.120 ;
RECT 16.440 221.800 16.760 222.120 ;
RECT 16.840 221.800 17.160 222.120 ;
RECT 17.240 221.800 17.560 222.120 ;
RECT 17.640 221.800 17.960 222.120 ;
RECT 18.040 221.800 18.360 222.120 ;
RECT 18.440 221.800 18.760 222.120 ;
RECT 18.840 221.800 19.160 222.120 ;
RECT 19.240 221.800 19.560 222.120 ;
RECT 19.640 221.800 19.960 222.120 ;
RECT 95.560 221.800 95.880 222.120 ;
RECT 95.960 221.800 96.280 222.120 ;
RECT 96.360 221.800 96.680 222.120 ;
RECT 96.760 221.800 97.080 222.120 ;
RECT 145.560 221.800 145.880 222.120 ;
RECT 145.960 221.800 146.280 222.120 ;
RECT 146.360 221.800 146.680 222.120 ;
RECT 146.760 221.800 147.080 222.120 ;
RECT 206.880 221.800 207.200 222.120 ;
RECT 207.280 221.800 207.600 222.120 ;
RECT 207.680 221.800 208.000 222.120 ;
RECT 208.080 221.800 208.400 222.120 ;
RECT 208.480 221.800 208.800 222.120 ;
RECT 208.880 221.800 209.200 222.120 ;
RECT 209.280 221.800 209.600 222.120 ;
RECT 209.680 221.800 210.000 222.120 ;
RECT 210.080 221.800 210.400 222.120 ;
RECT 210.480 221.800 210.800 222.120 ;
RECT 210.880 221.800 211.200 222.120 ;
RECT 211.280 221.800 211.600 222.120 ;
RECT 211.680 221.800 212.000 222.120 ;
RECT 212.080 221.800 212.400 222.120 ;
RECT 212.480 221.800 212.800 222.120 ;
RECT 212.880 221.800 213.200 222.120 ;
RECT 213.280 221.800 213.600 222.120 ;
RECT 213.680 221.800 214.000 222.120 ;
RECT 214.080 221.800 214.400 222.120 ;
RECT 214.480 221.800 214.800 222.120 ;
RECT 214.880 221.800 215.200 222.120 ;
RECT 215.280 221.800 215.600 222.120 ;
RECT 215.680 221.800 216.000 222.120 ;
RECT 216.080 221.800 216.400 222.120 ;
RECT 216.480 221.800 216.800 222.120 ;
RECT 216.880 221.800 217.200 222.120 ;
RECT 217.280 221.800 217.600 222.120 ;
RECT 217.680 221.800 218.000 222.120 ;
RECT 218.080 221.800 218.400 222.120 ;
RECT 218.480 221.800 218.800 222.120 ;
RECT 218.880 221.800 219.200 222.120 ;
RECT 219.280 221.800 219.600 222.120 ;
RECT 219.680 221.800 220.000 222.120 ;
RECT 220.080 221.800 220.400 222.120 ;
RECT 220.480 221.800 220.800 222.120 ;
RECT 220.880 221.800 221.200 222.120 ;
RECT 221.280 221.800 221.600 222.120 ;
RECT 221.680 221.800 222.000 222.120 ;
RECT 222.080 221.800 222.400 222.120 ;
RECT 222.480 221.800 222.800 222.120 ;
RECT 222.880 221.800 223.200 222.120 ;
RECT 223.280 221.800 223.600 222.120 ;
RECT 223.680 221.800 224.000 222.120 ;
RECT 224.080 221.800 224.400 222.120 ;
RECT 224.480 221.800 224.800 222.120 ;
RECT 224.880 221.800 225.200 222.120 ;
RECT 225.280 221.800 225.600 222.120 ;
RECT 225.680 221.800 226.000 222.120 ;
RECT 226.080 221.800 226.400 222.120 ;
RECT 226.480 221.800 226.800 222.120 ;
RECT 0.040 221.400 0.360 221.720 ;
RECT 0.440 221.400 0.760 221.720 ;
RECT 0.840 221.400 1.160 221.720 ;
RECT 1.240 221.400 1.560 221.720 ;
RECT 1.640 221.400 1.960 221.720 ;
RECT 2.040 221.400 2.360 221.720 ;
RECT 2.440 221.400 2.760 221.720 ;
RECT 2.840 221.400 3.160 221.720 ;
RECT 3.240 221.400 3.560 221.720 ;
RECT 3.640 221.400 3.960 221.720 ;
RECT 4.040 221.400 4.360 221.720 ;
RECT 4.440 221.400 4.760 221.720 ;
RECT 4.840 221.400 5.160 221.720 ;
RECT 5.240 221.400 5.560 221.720 ;
RECT 5.640 221.400 5.960 221.720 ;
RECT 6.040 221.400 6.360 221.720 ;
RECT 6.440 221.400 6.760 221.720 ;
RECT 6.840 221.400 7.160 221.720 ;
RECT 7.240 221.400 7.560 221.720 ;
RECT 7.640 221.400 7.960 221.720 ;
RECT 8.040 221.400 8.360 221.720 ;
RECT 8.440 221.400 8.760 221.720 ;
RECT 8.840 221.400 9.160 221.720 ;
RECT 9.240 221.400 9.560 221.720 ;
RECT 9.640 221.400 9.960 221.720 ;
RECT 10.040 221.400 10.360 221.720 ;
RECT 10.440 221.400 10.760 221.720 ;
RECT 10.840 221.400 11.160 221.720 ;
RECT 11.240 221.400 11.560 221.720 ;
RECT 11.640 221.400 11.960 221.720 ;
RECT 12.040 221.400 12.360 221.720 ;
RECT 12.440 221.400 12.760 221.720 ;
RECT 12.840 221.400 13.160 221.720 ;
RECT 13.240 221.400 13.560 221.720 ;
RECT 13.640 221.400 13.960 221.720 ;
RECT 14.040 221.400 14.360 221.720 ;
RECT 14.440 221.400 14.760 221.720 ;
RECT 14.840 221.400 15.160 221.720 ;
RECT 15.240 221.400 15.560 221.720 ;
RECT 15.640 221.400 15.960 221.720 ;
RECT 16.040 221.400 16.360 221.720 ;
RECT 16.440 221.400 16.760 221.720 ;
RECT 16.840 221.400 17.160 221.720 ;
RECT 17.240 221.400 17.560 221.720 ;
RECT 17.640 221.400 17.960 221.720 ;
RECT 18.040 221.400 18.360 221.720 ;
RECT 18.440 221.400 18.760 221.720 ;
RECT 18.840 221.400 19.160 221.720 ;
RECT 19.240 221.400 19.560 221.720 ;
RECT 19.640 221.400 19.960 221.720 ;
RECT 95.560 221.400 95.880 221.720 ;
RECT 95.960 221.400 96.280 221.720 ;
RECT 96.360 221.400 96.680 221.720 ;
RECT 96.760 221.400 97.080 221.720 ;
RECT 145.560 221.400 145.880 221.720 ;
RECT 145.960 221.400 146.280 221.720 ;
RECT 146.360 221.400 146.680 221.720 ;
RECT 146.760 221.400 147.080 221.720 ;
RECT 206.880 221.400 207.200 221.720 ;
RECT 207.280 221.400 207.600 221.720 ;
RECT 207.680 221.400 208.000 221.720 ;
RECT 208.080 221.400 208.400 221.720 ;
RECT 208.480 221.400 208.800 221.720 ;
RECT 208.880 221.400 209.200 221.720 ;
RECT 209.280 221.400 209.600 221.720 ;
RECT 209.680 221.400 210.000 221.720 ;
RECT 210.080 221.400 210.400 221.720 ;
RECT 210.480 221.400 210.800 221.720 ;
RECT 210.880 221.400 211.200 221.720 ;
RECT 211.280 221.400 211.600 221.720 ;
RECT 211.680 221.400 212.000 221.720 ;
RECT 212.080 221.400 212.400 221.720 ;
RECT 212.480 221.400 212.800 221.720 ;
RECT 212.880 221.400 213.200 221.720 ;
RECT 213.280 221.400 213.600 221.720 ;
RECT 213.680 221.400 214.000 221.720 ;
RECT 214.080 221.400 214.400 221.720 ;
RECT 214.480 221.400 214.800 221.720 ;
RECT 214.880 221.400 215.200 221.720 ;
RECT 215.280 221.400 215.600 221.720 ;
RECT 215.680 221.400 216.000 221.720 ;
RECT 216.080 221.400 216.400 221.720 ;
RECT 216.480 221.400 216.800 221.720 ;
RECT 216.880 221.400 217.200 221.720 ;
RECT 217.280 221.400 217.600 221.720 ;
RECT 217.680 221.400 218.000 221.720 ;
RECT 218.080 221.400 218.400 221.720 ;
RECT 218.480 221.400 218.800 221.720 ;
RECT 218.880 221.400 219.200 221.720 ;
RECT 219.280 221.400 219.600 221.720 ;
RECT 219.680 221.400 220.000 221.720 ;
RECT 220.080 221.400 220.400 221.720 ;
RECT 220.480 221.400 220.800 221.720 ;
RECT 220.880 221.400 221.200 221.720 ;
RECT 221.280 221.400 221.600 221.720 ;
RECT 221.680 221.400 222.000 221.720 ;
RECT 222.080 221.400 222.400 221.720 ;
RECT 222.480 221.400 222.800 221.720 ;
RECT 222.880 221.400 223.200 221.720 ;
RECT 223.280 221.400 223.600 221.720 ;
RECT 223.680 221.400 224.000 221.720 ;
RECT 224.080 221.400 224.400 221.720 ;
RECT 224.480 221.400 224.800 221.720 ;
RECT 224.880 221.400 225.200 221.720 ;
RECT 225.280 221.400 225.600 221.720 ;
RECT 225.680 221.400 226.000 221.720 ;
RECT 226.080 221.400 226.400 221.720 ;
RECT 226.480 221.400 226.800 221.720 ;
RECT 0.040 221.000 0.360 221.320 ;
RECT 0.440 221.000 0.760 221.320 ;
RECT 0.840 221.000 1.160 221.320 ;
RECT 1.240 221.000 1.560 221.320 ;
RECT 1.640 221.000 1.960 221.320 ;
RECT 2.040 221.000 2.360 221.320 ;
RECT 2.440 221.000 2.760 221.320 ;
RECT 2.840 221.000 3.160 221.320 ;
RECT 3.240 221.000 3.560 221.320 ;
RECT 3.640 221.000 3.960 221.320 ;
RECT 4.040 221.000 4.360 221.320 ;
RECT 4.440 221.000 4.760 221.320 ;
RECT 4.840 221.000 5.160 221.320 ;
RECT 5.240 221.000 5.560 221.320 ;
RECT 5.640 221.000 5.960 221.320 ;
RECT 6.040 221.000 6.360 221.320 ;
RECT 6.440 221.000 6.760 221.320 ;
RECT 6.840 221.000 7.160 221.320 ;
RECT 7.240 221.000 7.560 221.320 ;
RECT 7.640 221.000 7.960 221.320 ;
RECT 8.040 221.000 8.360 221.320 ;
RECT 8.440 221.000 8.760 221.320 ;
RECT 8.840 221.000 9.160 221.320 ;
RECT 9.240 221.000 9.560 221.320 ;
RECT 9.640 221.000 9.960 221.320 ;
RECT 10.040 221.000 10.360 221.320 ;
RECT 10.440 221.000 10.760 221.320 ;
RECT 10.840 221.000 11.160 221.320 ;
RECT 11.240 221.000 11.560 221.320 ;
RECT 11.640 221.000 11.960 221.320 ;
RECT 12.040 221.000 12.360 221.320 ;
RECT 12.440 221.000 12.760 221.320 ;
RECT 12.840 221.000 13.160 221.320 ;
RECT 13.240 221.000 13.560 221.320 ;
RECT 13.640 221.000 13.960 221.320 ;
RECT 14.040 221.000 14.360 221.320 ;
RECT 14.440 221.000 14.760 221.320 ;
RECT 14.840 221.000 15.160 221.320 ;
RECT 15.240 221.000 15.560 221.320 ;
RECT 15.640 221.000 15.960 221.320 ;
RECT 16.040 221.000 16.360 221.320 ;
RECT 16.440 221.000 16.760 221.320 ;
RECT 16.840 221.000 17.160 221.320 ;
RECT 17.240 221.000 17.560 221.320 ;
RECT 17.640 221.000 17.960 221.320 ;
RECT 18.040 221.000 18.360 221.320 ;
RECT 18.440 221.000 18.760 221.320 ;
RECT 18.840 221.000 19.160 221.320 ;
RECT 19.240 221.000 19.560 221.320 ;
RECT 19.640 221.000 19.960 221.320 ;
RECT 95.560 221.000 95.880 221.320 ;
RECT 95.960 221.000 96.280 221.320 ;
RECT 96.360 221.000 96.680 221.320 ;
RECT 96.760 221.000 97.080 221.320 ;
RECT 145.560 221.000 145.880 221.320 ;
RECT 145.960 221.000 146.280 221.320 ;
RECT 146.360 221.000 146.680 221.320 ;
RECT 146.760 221.000 147.080 221.320 ;
RECT 206.880 221.000 207.200 221.320 ;
RECT 207.280 221.000 207.600 221.320 ;
RECT 207.680 221.000 208.000 221.320 ;
RECT 208.080 221.000 208.400 221.320 ;
RECT 208.480 221.000 208.800 221.320 ;
RECT 208.880 221.000 209.200 221.320 ;
RECT 209.280 221.000 209.600 221.320 ;
RECT 209.680 221.000 210.000 221.320 ;
RECT 210.080 221.000 210.400 221.320 ;
RECT 210.480 221.000 210.800 221.320 ;
RECT 210.880 221.000 211.200 221.320 ;
RECT 211.280 221.000 211.600 221.320 ;
RECT 211.680 221.000 212.000 221.320 ;
RECT 212.080 221.000 212.400 221.320 ;
RECT 212.480 221.000 212.800 221.320 ;
RECT 212.880 221.000 213.200 221.320 ;
RECT 213.280 221.000 213.600 221.320 ;
RECT 213.680 221.000 214.000 221.320 ;
RECT 214.080 221.000 214.400 221.320 ;
RECT 214.480 221.000 214.800 221.320 ;
RECT 214.880 221.000 215.200 221.320 ;
RECT 215.280 221.000 215.600 221.320 ;
RECT 215.680 221.000 216.000 221.320 ;
RECT 216.080 221.000 216.400 221.320 ;
RECT 216.480 221.000 216.800 221.320 ;
RECT 216.880 221.000 217.200 221.320 ;
RECT 217.280 221.000 217.600 221.320 ;
RECT 217.680 221.000 218.000 221.320 ;
RECT 218.080 221.000 218.400 221.320 ;
RECT 218.480 221.000 218.800 221.320 ;
RECT 218.880 221.000 219.200 221.320 ;
RECT 219.280 221.000 219.600 221.320 ;
RECT 219.680 221.000 220.000 221.320 ;
RECT 220.080 221.000 220.400 221.320 ;
RECT 220.480 221.000 220.800 221.320 ;
RECT 220.880 221.000 221.200 221.320 ;
RECT 221.280 221.000 221.600 221.320 ;
RECT 221.680 221.000 222.000 221.320 ;
RECT 222.080 221.000 222.400 221.320 ;
RECT 222.480 221.000 222.800 221.320 ;
RECT 222.880 221.000 223.200 221.320 ;
RECT 223.280 221.000 223.600 221.320 ;
RECT 223.680 221.000 224.000 221.320 ;
RECT 224.080 221.000 224.400 221.320 ;
RECT 224.480 221.000 224.800 221.320 ;
RECT 224.880 221.000 225.200 221.320 ;
RECT 225.280 221.000 225.600 221.320 ;
RECT 225.680 221.000 226.000 221.320 ;
RECT 226.080 221.000 226.400 221.320 ;
RECT 226.480 221.000 226.800 221.320 ;
RECT 0.040 220.600 0.360 220.920 ;
RECT 0.440 220.600 0.760 220.920 ;
RECT 0.840 220.600 1.160 220.920 ;
RECT 1.240 220.600 1.560 220.920 ;
RECT 1.640 220.600 1.960 220.920 ;
RECT 2.040 220.600 2.360 220.920 ;
RECT 2.440 220.600 2.760 220.920 ;
RECT 2.840 220.600 3.160 220.920 ;
RECT 3.240 220.600 3.560 220.920 ;
RECT 3.640 220.600 3.960 220.920 ;
RECT 4.040 220.600 4.360 220.920 ;
RECT 4.440 220.600 4.760 220.920 ;
RECT 4.840 220.600 5.160 220.920 ;
RECT 5.240 220.600 5.560 220.920 ;
RECT 5.640 220.600 5.960 220.920 ;
RECT 6.040 220.600 6.360 220.920 ;
RECT 6.440 220.600 6.760 220.920 ;
RECT 6.840 220.600 7.160 220.920 ;
RECT 7.240 220.600 7.560 220.920 ;
RECT 7.640 220.600 7.960 220.920 ;
RECT 8.040 220.600 8.360 220.920 ;
RECT 8.440 220.600 8.760 220.920 ;
RECT 8.840 220.600 9.160 220.920 ;
RECT 9.240 220.600 9.560 220.920 ;
RECT 9.640 220.600 9.960 220.920 ;
RECT 10.040 220.600 10.360 220.920 ;
RECT 10.440 220.600 10.760 220.920 ;
RECT 10.840 220.600 11.160 220.920 ;
RECT 11.240 220.600 11.560 220.920 ;
RECT 11.640 220.600 11.960 220.920 ;
RECT 12.040 220.600 12.360 220.920 ;
RECT 12.440 220.600 12.760 220.920 ;
RECT 12.840 220.600 13.160 220.920 ;
RECT 13.240 220.600 13.560 220.920 ;
RECT 13.640 220.600 13.960 220.920 ;
RECT 14.040 220.600 14.360 220.920 ;
RECT 14.440 220.600 14.760 220.920 ;
RECT 14.840 220.600 15.160 220.920 ;
RECT 15.240 220.600 15.560 220.920 ;
RECT 15.640 220.600 15.960 220.920 ;
RECT 16.040 220.600 16.360 220.920 ;
RECT 16.440 220.600 16.760 220.920 ;
RECT 16.840 220.600 17.160 220.920 ;
RECT 17.240 220.600 17.560 220.920 ;
RECT 17.640 220.600 17.960 220.920 ;
RECT 18.040 220.600 18.360 220.920 ;
RECT 18.440 220.600 18.760 220.920 ;
RECT 18.840 220.600 19.160 220.920 ;
RECT 19.240 220.600 19.560 220.920 ;
RECT 19.640 220.600 19.960 220.920 ;
RECT 95.560 220.600 95.880 220.920 ;
RECT 95.960 220.600 96.280 220.920 ;
RECT 96.360 220.600 96.680 220.920 ;
RECT 96.760 220.600 97.080 220.920 ;
RECT 145.560 220.600 145.880 220.920 ;
RECT 145.960 220.600 146.280 220.920 ;
RECT 146.360 220.600 146.680 220.920 ;
RECT 146.760 220.600 147.080 220.920 ;
RECT 206.880 220.600 207.200 220.920 ;
RECT 207.280 220.600 207.600 220.920 ;
RECT 207.680 220.600 208.000 220.920 ;
RECT 208.080 220.600 208.400 220.920 ;
RECT 208.480 220.600 208.800 220.920 ;
RECT 208.880 220.600 209.200 220.920 ;
RECT 209.280 220.600 209.600 220.920 ;
RECT 209.680 220.600 210.000 220.920 ;
RECT 210.080 220.600 210.400 220.920 ;
RECT 210.480 220.600 210.800 220.920 ;
RECT 210.880 220.600 211.200 220.920 ;
RECT 211.280 220.600 211.600 220.920 ;
RECT 211.680 220.600 212.000 220.920 ;
RECT 212.080 220.600 212.400 220.920 ;
RECT 212.480 220.600 212.800 220.920 ;
RECT 212.880 220.600 213.200 220.920 ;
RECT 213.280 220.600 213.600 220.920 ;
RECT 213.680 220.600 214.000 220.920 ;
RECT 214.080 220.600 214.400 220.920 ;
RECT 214.480 220.600 214.800 220.920 ;
RECT 214.880 220.600 215.200 220.920 ;
RECT 215.280 220.600 215.600 220.920 ;
RECT 215.680 220.600 216.000 220.920 ;
RECT 216.080 220.600 216.400 220.920 ;
RECT 216.480 220.600 216.800 220.920 ;
RECT 216.880 220.600 217.200 220.920 ;
RECT 217.280 220.600 217.600 220.920 ;
RECT 217.680 220.600 218.000 220.920 ;
RECT 218.080 220.600 218.400 220.920 ;
RECT 218.480 220.600 218.800 220.920 ;
RECT 218.880 220.600 219.200 220.920 ;
RECT 219.280 220.600 219.600 220.920 ;
RECT 219.680 220.600 220.000 220.920 ;
RECT 220.080 220.600 220.400 220.920 ;
RECT 220.480 220.600 220.800 220.920 ;
RECT 220.880 220.600 221.200 220.920 ;
RECT 221.280 220.600 221.600 220.920 ;
RECT 221.680 220.600 222.000 220.920 ;
RECT 222.080 220.600 222.400 220.920 ;
RECT 222.480 220.600 222.800 220.920 ;
RECT 222.880 220.600 223.200 220.920 ;
RECT 223.280 220.600 223.600 220.920 ;
RECT 223.680 220.600 224.000 220.920 ;
RECT 224.080 220.600 224.400 220.920 ;
RECT 224.480 220.600 224.800 220.920 ;
RECT 224.880 220.600 225.200 220.920 ;
RECT 225.280 220.600 225.600 220.920 ;
RECT 225.680 220.600 226.000 220.920 ;
RECT 226.080 220.600 226.400 220.920 ;
RECT 226.480 220.600 226.800 220.920 ;
RECT 0.040 220.200 0.360 220.520 ;
RECT 0.440 220.200 0.760 220.520 ;
RECT 0.840 220.200 1.160 220.520 ;
RECT 1.240 220.200 1.560 220.520 ;
RECT 1.640 220.200 1.960 220.520 ;
RECT 2.040 220.200 2.360 220.520 ;
RECT 2.440 220.200 2.760 220.520 ;
RECT 2.840 220.200 3.160 220.520 ;
RECT 3.240 220.200 3.560 220.520 ;
RECT 3.640 220.200 3.960 220.520 ;
RECT 4.040 220.200 4.360 220.520 ;
RECT 4.440 220.200 4.760 220.520 ;
RECT 4.840 220.200 5.160 220.520 ;
RECT 5.240 220.200 5.560 220.520 ;
RECT 5.640 220.200 5.960 220.520 ;
RECT 6.040 220.200 6.360 220.520 ;
RECT 6.440 220.200 6.760 220.520 ;
RECT 6.840 220.200 7.160 220.520 ;
RECT 7.240 220.200 7.560 220.520 ;
RECT 7.640 220.200 7.960 220.520 ;
RECT 8.040 220.200 8.360 220.520 ;
RECT 8.440 220.200 8.760 220.520 ;
RECT 8.840 220.200 9.160 220.520 ;
RECT 9.240 220.200 9.560 220.520 ;
RECT 9.640 220.200 9.960 220.520 ;
RECT 10.040 220.200 10.360 220.520 ;
RECT 10.440 220.200 10.760 220.520 ;
RECT 10.840 220.200 11.160 220.520 ;
RECT 11.240 220.200 11.560 220.520 ;
RECT 11.640 220.200 11.960 220.520 ;
RECT 12.040 220.200 12.360 220.520 ;
RECT 12.440 220.200 12.760 220.520 ;
RECT 12.840 220.200 13.160 220.520 ;
RECT 13.240 220.200 13.560 220.520 ;
RECT 13.640 220.200 13.960 220.520 ;
RECT 14.040 220.200 14.360 220.520 ;
RECT 14.440 220.200 14.760 220.520 ;
RECT 14.840 220.200 15.160 220.520 ;
RECT 15.240 220.200 15.560 220.520 ;
RECT 15.640 220.200 15.960 220.520 ;
RECT 16.040 220.200 16.360 220.520 ;
RECT 16.440 220.200 16.760 220.520 ;
RECT 16.840 220.200 17.160 220.520 ;
RECT 17.240 220.200 17.560 220.520 ;
RECT 17.640 220.200 17.960 220.520 ;
RECT 18.040 220.200 18.360 220.520 ;
RECT 18.440 220.200 18.760 220.520 ;
RECT 18.840 220.200 19.160 220.520 ;
RECT 19.240 220.200 19.560 220.520 ;
RECT 19.640 220.200 19.960 220.520 ;
RECT 95.560 220.200 95.880 220.520 ;
RECT 95.960 220.200 96.280 220.520 ;
RECT 96.360 220.200 96.680 220.520 ;
RECT 96.760 220.200 97.080 220.520 ;
RECT 145.560 220.200 145.880 220.520 ;
RECT 145.960 220.200 146.280 220.520 ;
RECT 146.360 220.200 146.680 220.520 ;
RECT 146.760 220.200 147.080 220.520 ;
RECT 206.880 220.200 207.200 220.520 ;
RECT 207.280 220.200 207.600 220.520 ;
RECT 207.680 220.200 208.000 220.520 ;
RECT 208.080 220.200 208.400 220.520 ;
RECT 208.480 220.200 208.800 220.520 ;
RECT 208.880 220.200 209.200 220.520 ;
RECT 209.280 220.200 209.600 220.520 ;
RECT 209.680 220.200 210.000 220.520 ;
RECT 210.080 220.200 210.400 220.520 ;
RECT 210.480 220.200 210.800 220.520 ;
RECT 210.880 220.200 211.200 220.520 ;
RECT 211.280 220.200 211.600 220.520 ;
RECT 211.680 220.200 212.000 220.520 ;
RECT 212.080 220.200 212.400 220.520 ;
RECT 212.480 220.200 212.800 220.520 ;
RECT 212.880 220.200 213.200 220.520 ;
RECT 213.280 220.200 213.600 220.520 ;
RECT 213.680 220.200 214.000 220.520 ;
RECT 214.080 220.200 214.400 220.520 ;
RECT 214.480 220.200 214.800 220.520 ;
RECT 214.880 220.200 215.200 220.520 ;
RECT 215.280 220.200 215.600 220.520 ;
RECT 215.680 220.200 216.000 220.520 ;
RECT 216.080 220.200 216.400 220.520 ;
RECT 216.480 220.200 216.800 220.520 ;
RECT 216.880 220.200 217.200 220.520 ;
RECT 217.280 220.200 217.600 220.520 ;
RECT 217.680 220.200 218.000 220.520 ;
RECT 218.080 220.200 218.400 220.520 ;
RECT 218.480 220.200 218.800 220.520 ;
RECT 218.880 220.200 219.200 220.520 ;
RECT 219.280 220.200 219.600 220.520 ;
RECT 219.680 220.200 220.000 220.520 ;
RECT 220.080 220.200 220.400 220.520 ;
RECT 220.480 220.200 220.800 220.520 ;
RECT 220.880 220.200 221.200 220.520 ;
RECT 221.280 220.200 221.600 220.520 ;
RECT 221.680 220.200 222.000 220.520 ;
RECT 222.080 220.200 222.400 220.520 ;
RECT 222.480 220.200 222.800 220.520 ;
RECT 222.880 220.200 223.200 220.520 ;
RECT 223.280 220.200 223.600 220.520 ;
RECT 223.680 220.200 224.000 220.520 ;
RECT 224.080 220.200 224.400 220.520 ;
RECT 224.480 220.200 224.800 220.520 ;
RECT 224.880 220.200 225.200 220.520 ;
RECT 225.280 220.200 225.600 220.520 ;
RECT 225.680 220.200 226.000 220.520 ;
RECT 226.080 220.200 226.400 220.520 ;
RECT 226.480 220.200 226.800 220.520 ;
RECT 0.040 219.800 0.360 220.120 ;
RECT 0.440 219.800 0.760 220.120 ;
RECT 0.840 219.800 1.160 220.120 ;
RECT 1.240 219.800 1.560 220.120 ;
RECT 1.640 219.800 1.960 220.120 ;
RECT 2.040 219.800 2.360 220.120 ;
RECT 2.440 219.800 2.760 220.120 ;
RECT 2.840 219.800 3.160 220.120 ;
RECT 3.240 219.800 3.560 220.120 ;
RECT 3.640 219.800 3.960 220.120 ;
RECT 4.040 219.800 4.360 220.120 ;
RECT 4.440 219.800 4.760 220.120 ;
RECT 4.840 219.800 5.160 220.120 ;
RECT 5.240 219.800 5.560 220.120 ;
RECT 5.640 219.800 5.960 220.120 ;
RECT 6.040 219.800 6.360 220.120 ;
RECT 6.440 219.800 6.760 220.120 ;
RECT 6.840 219.800 7.160 220.120 ;
RECT 7.240 219.800 7.560 220.120 ;
RECT 7.640 219.800 7.960 220.120 ;
RECT 8.040 219.800 8.360 220.120 ;
RECT 8.440 219.800 8.760 220.120 ;
RECT 8.840 219.800 9.160 220.120 ;
RECT 9.240 219.800 9.560 220.120 ;
RECT 9.640 219.800 9.960 220.120 ;
RECT 10.040 219.800 10.360 220.120 ;
RECT 10.440 219.800 10.760 220.120 ;
RECT 10.840 219.800 11.160 220.120 ;
RECT 11.240 219.800 11.560 220.120 ;
RECT 11.640 219.800 11.960 220.120 ;
RECT 12.040 219.800 12.360 220.120 ;
RECT 12.440 219.800 12.760 220.120 ;
RECT 12.840 219.800 13.160 220.120 ;
RECT 13.240 219.800 13.560 220.120 ;
RECT 13.640 219.800 13.960 220.120 ;
RECT 14.040 219.800 14.360 220.120 ;
RECT 14.440 219.800 14.760 220.120 ;
RECT 14.840 219.800 15.160 220.120 ;
RECT 15.240 219.800 15.560 220.120 ;
RECT 15.640 219.800 15.960 220.120 ;
RECT 16.040 219.800 16.360 220.120 ;
RECT 16.440 219.800 16.760 220.120 ;
RECT 16.840 219.800 17.160 220.120 ;
RECT 17.240 219.800 17.560 220.120 ;
RECT 17.640 219.800 17.960 220.120 ;
RECT 18.040 219.800 18.360 220.120 ;
RECT 18.440 219.800 18.760 220.120 ;
RECT 18.840 219.800 19.160 220.120 ;
RECT 19.240 219.800 19.560 220.120 ;
RECT 19.640 219.800 19.960 220.120 ;
RECT 95.560 219.800 95.880 220.120 ;
RECT 95.960 219.800 96.280 220.120 ;
RECT 96.360 219.800 96.680 220.120 ;
RECT 96.760 219.800 97.080 220.120 ;
RECT 145.560 219.800 145.880 220.120 ;
RECT 145.960 219.800 146.280 220.120 ;
RECT 146.360 219.800 146.680 220.120 ;
RECT 146.760 219.800 147.080 220.120 ;
RECT 206.880 219.800 207.200 220.120 ;
RECT 207.280 219.800 207.600 220.120 ;
RECT 207.680 219.800 208.000 220.120 ;
RECT 208.080 219.800 208.400 220.120 ;
RECT 208.480 219.800 208.800 220.120 ;
RECT 208.880 219.800 209.200 220.120 ;
RECT 209.280 219.800 209.600 220.120 ;
RECT 209.680 219.800 210.000 220.120 ;
RECT 210.080 219.800 210.400 220.120 ;
RECT 210.480 219.800 210.800 220.120 ;
RECT 210.880 219.800 211.200 220.120 ;
RECT 211.280 219.800 211.600 220.120 ;
RECT 211.680 219.800 212.000 220.120 ;
RECT 212.080 219.800 212.400 220.120 ;
RECT 212.480 219.800 212.800 220.120 ;
RECT 212.880 219.800 213.200 220.120 ;
RECT 213.280 219.800 213.600 220.120 ;
RECT 213.680 219.800 214.000 220.120 ;
RECT 214.080 219.800 214.400 220.120 ;
RECT 214.480 219.800 214.800 220.120 ;
RECT 214.880 219.800 215.200 220.120 ;
RECT 215.280 219.800 215.600 220.120 ;
RECT 215.680 219.800 216.000 220.120 ;
RECT 216.080 219.800 216.400 220.120 ;
RECT 216.480 219.800 216.800 220.120 ;
RECT 216.880 219.800 217.200 220.120 ;
RECT 217.280 219.800 217.600 220.120 ;
RECT 217.680 219.800 218.000 220.120 ;
RECT 218.080 219.800 218.400 220.120 ;
RECT 218.480 219.800 218.800 220.120 ;
RECT 218.880 219.800 219.200 220.120 ;
RECT 219.280 219.800 219.600 220.120 ;
RECT 219.680 219.800 220.000 220.120 ;
RECT 220.080 219.800 220.400 220.120 ;
RECT 220.480 219.800 220.800 220.120 ;
RECT 220.880 219.800 221.200 220.120 ;
RECT 221.280 219.800 221.600 220.120 ;
RECT 221.680 219.800 222.000 220.120 ;
RECT 222.080 219.800 222.400 220.120 ;
RECT 222.480 219.800 222.800 220.120 ;
RECT 222.880 219.800 223.200 220.120 ;
RECT 223.280 219.800 223.600 220.120 ;
RECT 223.680 219.800 224.000 220.120 ;
RECT 224.080 219.800 224.400 220.120 ;
RECT 224.480 219.800 224.800 220.120 ;
RECT 224.880 219.800 225.200 220.120 ;
RECT 225.280 219.800 225.600 220.120 ;
RECT 225.680 219.800 226.000 220.120 ;
RECT 226.080 219.800 226.400 220.120 ;
RECT 226.480 219.800 226.800 220.120 ;
RECT 0.040 219.400 0.360 219.720 ;
RECT 0.440 219.400 0.760 219.720 ;
RECT 0.840 219.400 1.160 219.720 ;
RECT 1.240 219.400 1.560 219.720 ;
RECT 1.640 219.400 1.960 219.720 ;
RECT 2.040 219.400 2.360 219.720 ;
RECT 2.440 219.400 2.760 219.720 ;
RECT 2.840 219.400 3.160 219.720 ;
RECT 3.240 219.400 3.560 219.720 ;
RECT 3.640 219.400 3.960 219.720 ;
RECT 4.040 219.400 4.360 219.720 ;
RECT 4.440 219.400 4.760 219.720 ;
RECT 4.840 219.400 5.160 219.720 ;
RECT 5.240 219.400 5.560 219.720 ;
RECT 5.640 219.400 5.960 219.720 ;
RECT 6.040 219.400 6.360 219.720 ;
RECT 6.440 219.400 6.760 219.720 ;
RECT 6.840 219.400 7.160 219.720 ;
RECT 7.240 219.400 7.560 219.720 ;
RECT 7.640 219.400 7.960 219.720 ;
RECT 8.040 219.400 8.360 219.720 ;
RECT 8.440 219.400 8.760 219.720 ;
RECT 8.840 219.400 9.160 219.720 ;
RECT 9.240 219.400 9.560 219.720 ;
RECT 9.640 219.400 9.960 219.720 ;
RECT 10.040 219.400 10.360 219.720 ;
RECT 10.440 219.400 10.760 219.720 ;
RECT 10.840 219.400 11.160 219.720 ;
RECT 11.240 219.400 11.560 219.720 ;
RECT 11.640 219.400 11.960 219.720 ;
RECT 12.040 219.400 12.360 219.720 ;
RECT 12.440 219.400 12.760 219.720 ;
RECT 12.840 219.400 13.160 219.720 ;
RECT 13.240 219.400 13.560 219.720 ;
RECT 13.640 219.400 13.960 219.720 ;
RECT 14.040 219.400 14.360 219.720 ;
RECT 14.440 219.400 14.760 219.720 ;
RECT 14.840 219.400 15.160 219.720 ;
RECT 15.240 219.400 15.560 219.720 ;
RECT 15.640 219.400 15.960 219.720 ;
RECT 16.040 219.400 16.360 219.720 ;
RECT 16.440 219.400 16.760 219.720 ;
RECT 16.840 219.400 17.160 219.720 ;
RECT 17.240 219.400 17.560 219.720 ;
RECT 17.640 219.400 17.960 219.720 ;
RECT 18.040 219.400 18.360 219.720 ;
RECT 18.440 219.400 18.760 219.720 ;
RECT 18.840 219.400 19.160 219.720 ;
RECT 19.240 219.400 19.560 219.720 ;
RECT 19.640 219.400 19.960 219.720 ;
RECT 95.560 219.400 95.880 219.720 ;
RECT 95.960 219.400 96.280 219.720 ;
RECT 96.360 219.400 96.680 219.720 ;
RECT 96.760 219.400 97.080 219.720 ;
RECT 145.560 219.400 145.880 219.720 ;
RECT 145.960 219.400 146.280 219.720 ;
RECT 146.360 219.400 146.680 219.720 ;
RECT 146.760 219.400 147.080 219.720 ;
RECT 206.880 219.400 207.200 219.720 ;
RECT 207.280 219.400 207.600 219.720 ;
RECT 207.680 219.400 208.000 219.720 ;
RECT 208.080 219.400 208.400 219.720 ;
RECT 208.480 219.400 208.800 219.720 ;
RECT 208.880 219.400 209.200 219.720 ;
RECT 209.280 219.400 209.600 219.720 ;
RECT 209.680 219.400 210.000 219.720 ;
RECT 210.080 219.400 210.400 219.720 ;
RECT 210.480 219.400 210.800 219.720 ;
RECT 210.880 219.400 211.200 219.720 ;
RECT 211.280 219.400 211.600 219.720 ;
RECT 211.680 219.400 212.000 219.720 ;
RECT 212.080 219.400 212.400 219.720 ;
RECT 212.480 219.400 212.800 219.720 ;
RECT 212.880 219.400 213.200 219.720 ;
RECT 213.280 219.400 213.600 219.720 ;
RECT 213.680 219.400 214.000 219.720 ;
RECT 214.080 219.400 214.400 219.720 ;
RECT 214.480 219.400 214.800 219.720 ;
RECT 214.880 219.400 215.200 219.720 ;
RECT 215.280 219.400 215.600 219.720 ;
RECT 215.680 219.400 216.000 219.720 ;
RECT 216.080 219.400 216.400 219.720 ;
RECT 216.480 219.400 216.800 219.720 ;
RECT 216.880 219.400 217.200 219.720 ;
RECT 217.280 219.400 217.600 219.720 ;
RECT 217.680 219.400 218.000 219.720 ;
RECT 218.080 219.400 218.400 219.720 ;
RECT 218.480 219.400 218.800 219.720 ;
RECT 218.880 219.400 219.200 219.720 ;
RECT 219.280 219.400 219.600 219.720 ;
RECT 219.680 219.400 220.000 219.720 ;
RECT 220.080 219.400 220.400 219.720 ;
RECT 220.480 219.400 220.800 219.720 ;
RECT 220.880 219.400 221.200 219.720 ;
RECT 221.280 219.400 221.600 219.720 ;
RECT 221.680 219.400 222.000 219.720 ;
RECT 222.080 219.400 222.400 219.720 ;
RECT 222.480 219.400 222.800 219.720 ;
RECT 222.880 219.400 223.200 219.720 ;
RECT 223.280 219.400 223.600 219.720 ;
RECT 223.680 219.400 224.000 219.720 ;
RECT 224.080 219.400 224.400 219.720 ;
RECT 224.480 219.400 224.800 219.720 ;
RECT 224.880 219.400 225.200 219.720 ;
RECT 225.280 219.400 225.600 219.720 ;
RECT 225.680 219.400 226.000 219.720 ;
RECT 226.080 219.400 226.400 219.720 ;
RECT 226.480 219.400 226.800 219.720 ;
RECT 0.040 219.000 0.360 219.320 ;
RECT 0.440 219.000 0.760 219.320 ;
RECT 0.840 219.000 1.160 219.320 ;
RECT 1.240 219.000 1.560 219.320 ;
RECT 1.640 219.000 1.960 219.320 ;
RECT 2.040 219.000 2.360 219.320 ;
RECT 2.440 219.000 2.760 219.320 ;
RECT 2.840 219.000 3.160 219.320 ;
RECT 3.240 219.000 3.560 219.320 ;
RECT 3.640 219.000 3.960 219.320 ;
RECT 4.040 219.000 4.360 219.320 ;
RECT 4.440 219.000 4.760 219.320 ;
RECT 4.840 219.000 5.160 219.320 ;
RECT 5.240 219.000 5.560 219.320 ;
RECT 5.640 219.000 5.960 219.320 ;
RECT 6.040 219.000 6.360 219.320 ;
RECT 6.440 219.000 6.760 219.320 ;
RECT 6.840 219.000 7.160 219.320 ;
RECT 7.240 219.000 7.560 219.320 ;
RECT 7.640 219.000 7.960 219.320 ;
RECT 8.040 219.000 8.360 219.320 ;
RECT 8.440 219.000 8.760 219.320 ;
RECT 8.840 219.000 9.160 219.320 ;
RECT 9.240 219.000 9.560 219.320 ;
RECT 9.640 219.000 9.960 219.320 ;
RECT 10.040 219.000 10.360 219.320 ;
RECT 10.440 219.000 10.760 219.320 ;
RECT 10.840 219.000 11.160 219.320 ;
RECT 11.240 219.000 11.560 219.320 ;
RECT 11.640 219.000 11.960 219.320 ;
RECT 12.040 219.000 12.360 219.320 ;
RECT 12.440 219.000 12.760 219.320 ;
RECT 12.840 219.000 13.160 219.320 ;
RECT 13.240 219.000 13.560 219.320 ;
RECT 13.640 219.000 13.960 219.320 ;
RECT 14.040 219.000 14.360 219.320 ;
RECT 14.440 219.000 14.760 219.320 ;
RECT 14.840 219.000 15.160 219.320 ;
RECT 15.240 219.000 15.560 219.320 ;
RECT 15.640 219.000 15.960 219.320 ;
RECT 16.040 219.000 16.360 219.320 ;
RECT 16.440 219.000 16.760 219.320 ;
RECT 16.840 219.000 17.160 219.320 ;
RECT 17.240 219.000 17.560 219.320 ;
RECT 17.640 219.000 17.960 219.320 ;
RECT 18.040 219.000 18.360 219.320 ;
RECT 18.440 219.000 18.760 219.320 ;
RECT 18.840 219.000 19.160 219.320 ;
RECT 19.240 219.000 19.560 219.320 ;
RECT 19.640 219.000 19.960 219.320 ;
RECT 95.560 219.000 95.880 219.320 ;
RECT 95.960 219.000 96.280 219.320 ;
RECT 96.360 219.000 96.680 219.320 ;
RECT 96.760 219.000 97.080 219.320 ;
RECT 145.560 219.000 145.880 219.320 ;
RECT 145.960 219.000 146.280 219.320 ;
RECT 146.360 219.000 146.680 219.320 ;
RECT 146.760 219.000 147.080 219.320 ;
RECT 206.880 219.000 207.200 219.320 ;
RECT 207.280 219.000 207.600 219.320 ;
RECT 207.680 219.000 208.000 219.320 ;
RECT 208.080 219.000 208.400 219.320 ;
RECT 208.480 219.000 208.800 219.320 ;
RECT 208.880 219.000 209.200 219.320 ;
RECT 209.280 219.000 209.600 219.320 ;
RECT 209.680 219.000 210.000 219.320 ;
RECT 210.080 219.000 210.400 219.320 ;
RECT 210.480 219.000 210.800 219.320 ;
RECT 210.880 219.000 211.200 219.320 ;
RECT 211.280 219.000 211.600 219.320 ;
RECT 211.680 219.000 212.000 219.320 ;
RECT 212.080 219.000 212.400 219.320 ;
RECT 212.480 219.000 212.800 219.320 ;
RECT 212.880 219.000 213.200 219.320 ;
RECT 213.280 219.000 213.600 219.320 ;
RECT 213.680 219.000 214.000 219.320 ;
RECT 214.080 219.000 214.400 219.320 ;
RECT 214.480 219.000 214.800 219.320 ;
RECT 214.880 219.000 215.200 219.320 ;
RECT 215.280 219.000 215.600 219.320 ;
RECT 215.680 219.000 216.000 219.320 ;
RECT 216.080 219.000 216.400 219.320 ;
RECT 216.480 219.000 216.800 219.320 ;
RECT 216.880 219.000 217.200 219.320 ;
RECT 217.280 219.000 217.600 219.320 ;
RECT 217.680 219.000 218.000 219.320 ;
RECT 218.080 219.000 218.400 219.320 ;
RECT 218.480 219.000 218.800 219.320 ;
RECT 218.880 219.000 219.200 219.320 ;
RECT 219.280 219.000 219.600 219.320 ;
RECT 219.680 219.000 220.000 219.320 ;
RECT 220.080 219.000 220.400 219.320 ;
RECT 220.480 219.000 220.800 219.320 ;
RECT 220.880 219.000 221.200 219.320 ;
RECT 221.280 219.000 221.600 219.320 ;
RECT 221.680 219.000 222.000 219.320 ;
RECT 222.080 219.000 222.400 219.320 ;
RECT 222.480 219.000 222.800 219.320 ;
RECT 222.880 219.000 223.200 219.320 ;
RECT 223.280 219.000 223.600 219.320 ;
RECT 223.680 219.000 224.000 219.320 ;
RECT 224.080 219.000 224.400 219.320 ;
RECT 224.480 219.000 224.800 219.320 ;
RECT 224.880 219.000 225.200 219.320 ;
RECT 225.280 219.000 225.600 219.320 ;
RECT 225.680 219.000 226.000 219.320 ;
RECT 226.080 219.000 226.400 219.320 ;
RECT 226.480 219.000 226.800 219.320 ;
RECT 0.040 218.600 0.360 218.920 ;
RECT 0.440 218.600 0.760 218.920 ;
RECT 0.840 218.600 1.160 218.920 ;
RECT 1.240 218.600 1.560 218.920 ;
RECT 1.640 218.600 1.960 218.920 ;
RECT 2.040 218.600 2.360 218.920 ;
RECT 2.440 218.600 2.760 218.920 ;
RECT 2.840 218.600 3.160 218.920 ;
RECT 3.240 218.600 3.560 218.920 ;
RECT 3.640 218.600 3.960 218.920 ;
RECT 4.040 218.600 4.360 218.920 ;
RECT 4.440 218.600 4.760 218.920 ;
RECT 4.840 218.600 5.160 218.920 ;
RECT 5.240 218.600 5.560 218.920 ;
RECT 5.640 218.600 5.960 218.920 ;
RECT 6.040 218.600 6.360 218.920 ;
RECT 6.440 218.600 6.760 218.920 ;
RECT 6.840 218.600 7.160 218.920 ;
RECT 7.240 218.600 7.560 218.920 ;
RECT 7.640 218.600 7.960 218.920 ;
RECT 8.040 218.600 8.360 218.920 ;
RECT 8.440 218.600 8.760 218.920 ;
RECT 8.840 218.600 9.160 218.920 ;
RECT 9.240 218.600 9.560 218.920 ;
RECT 9.640 218.600 9.960 218.920 ;
RECT 10.040 218.600 10.360 218.920 ;
RECT 10.440 218.600 10.760 218.920 ;
RECT 10.840 218.600 11.160 218.920 ;
RECT 11.240 218.600 11.560 218.920 ;
RECT 11.640 218.600 11.960 218.920 ;
RECT 12.040 218.600 12.360 218.920 ;
RECT 12.440 218.600 12.760 218.920 ;
RECT 12.840 218.600 13.160 218.920 ;
RECT 13.240 218.600 13.560 218.920 ;
RECT 13.640 218.600 13.960 218.920 ;
RECT 14.040 218.600 14.360 218.920 ;
RECT 14.440 218.600 14.760 218.920 ;
RECT 14.840 218.600 15.160 218.920 ;
RECT 15.240 218.600 15.560 218.920 ;
RECT 15.640 218.600 15.960 218.920 ;
RECT 16.040 218.600 16.360 218.920 ;
RECT 16.440 218.600 16.760 218.920 ;
RECT 16.840 218.600 17.160 218.920 ;
RECT 17.240 218.600 17.560 218.920 ;
RECT 17.640 218.600 17.960 218.920 ;
RECT 18.040 218.600 18.360 218.920 ;
RECT 18.440 218.600 18.760 218.920 ;
RECT 18.840 218.600 19.160 218.920 ;
RECT 19.240 218.600 19.560 218.920 ;
RECT 19.640 218.600 19.960 218.920 ;
RECT 95.560 218.600 95.880 218.920 ;
RECT 95.960 218.600 96.280 218.920 ;
RECT 96.360 218.600 96.680 218.920 ;
RECT 96.760 218.600 97.080 218.920 ;
RECT 145.560 218.600 145.880 218.920 ;
RECT 145.960 218.600 146.280 218.920 ;
RECT 146.360 218.600 146.680 218.920 ;
RECT 146.760 218.600 147.080 218.920 ;
RECT 206.880 218.600 207.200 218.920 ;
RECT 207.280 218.600 207.600 218.920 ;
RECT 207.680 218.600 208.000 218.920 ;
RECT 208.080 218.600 208.400 218.920 ;
RECT 208.480 218.600 208.800 218.920 ;
RECT 208.880 218.600 209.200 218.920 ;
RECT 209.280 218.600 209.600 218.920 ;
RECT 209.680 218.600 210.000 218.920 ;
RECT 210.080 218.600 210.400 218.920 ;
RECT 210.480 218.600 210.800 218.920 ;
RECT 210.880 218.600 211.200 218.920 ;
RECT 211.280 218.600 211.600 218.920 ;
RECT 211.680 218.600 212.000 218.920 ;
RECT 212.080 218.600 212.400 218.920 ;
RECT 212.480 218.600 212.800 218.920 ;
RECT 212.880 218.600 213.200 218.920 ;
RECT 213.280 218.600 213.600 218.920 ;
RECT 213.680 218.600 214.000 218.920 ;
RECT 214.080 218.600 214.400 218.920 ;
RECT 214.480 218.600 214.800 218.920 ;
RECT 214.880 218.600 215.200 218.920 ;
RECT 215.280 218.600 215.600 218.920 ;
RECT 215.680 218.600 216.000 218.920 ;
RECT 216.080 218.600 216.400 218.920 ;
RECT 216.480 218.600 216.800 218.920 ;
RECT 216.880 218.600 217.200 218.920 ;
RECT 217.280 218.600 217.600 218.920 ;
RECT 217.680 218.600 218.000 218.920 ;
RECT 218.080 218.600 218.400 218.920 ;
RECT 218.480 218.600 218.800 218.920 ;
RECT 218.880 218.600 219.200 218.920 ;
RECT 219.280 218.600 219.600 218.920 ;
RECT 219.680 218.600 220.000 218.920 ;
RECT 220.080 218.600 220.400 218.920 ;
RECT 220.480 218.600 220.800 218.920 ;
RECT 220.880 218.600 221.200 218.920 ;
RECT 221.280 218.600 221.600 218.920 ;
RECT 221.680 218.600 222.000 218.920 ;
RECT 222.080 218.600 222.400 218.920 ;
RECT 222.480 218.600 222.800 218.920 ;
RECT 222.880 218.600 223.200 218.920 ;
RECT 223.280 218.600 223.600 218.920 ;
RECT 223.680 218.600 224.000 218.920 ;
RECT 224.080 218.600 224.400 218.920 ;
RECT 224.480 218.600 224.800 218.920 ;
RECT 224.880 218.600 225.200 218.920 ;
RECT 225.280 218.600 225.600 218.920 ;
RECT 225.680 218.600 226.000 218.920 ;
RECT 226.080 218.600 226.400 218.920 ;
RECT 226.480 218.600 226.800 218.920 ;
RECT 0.040 218.200 0.360 218.520 ;
RECT 0.440 218.200 0.760 218.520 ;
RECT 0.840 218.200 1.160 218.520 ;
RECT 1.240 218.200 1.560 218.520 ;
RECT 1.640 218.200 1.960 218.520 ;
RECT 2.040 218.200 2.360 218.520 ;
RECT 2.440 218.200 2.760 218.520 ;
RECT 2.840 218.200 3.160 218.520 ;
RECT 3.240 218.200 3.560 218.520 ;
RECT 3.640 218.200 3.960 218.520 ;
RECT 4.040 218.200 4.360 218.520 ;
RECT 4.440 218.200 4.760 218.520 ;
RECT 4.840 218.200 5.160 218.520 ;
RECT 5.240 218.200 5.560 218.520 ;
RECT 5.640 218.200 5.960 218.520 ;
RECT 6.040 218.200 6.360 218.520 ;
RECT 6.440 218.200 6.760 218.520 ;
RECT 6.840 218.200 7.160 218.520 ;
RECT 7.240 218.200 7.560 218.520 ;
RECT 7.640 218.200 7.960 218.520 ;
RECT 8.040 218.200 8.360 218.520 ;
RECT 8.440 218.200 8.760 218.520 ;
RECT 8.840 218.200 9.160 218.520 ;
RECT 9.240 218.200 9.560 218.520 ;
RECT 9.640 218.200 9.960 218.520 ;
RECT 10.040 218.200 10.360 218.520 ;
RECT 10.440 218.200 10.760 218.520 ;
RECT 10.840 218.200 11.160 218.520 ;
RECT 11.240 218.200 11.560 218.520 ;
RECT 11.640 218.200 11.960 218.520 ;
RECT 12.040 218.200 12.360 218.520 ;
RECT 12.440 218.200 12.760 218.520 ;
RECT 12.840 218.200 13.160 218.520 ;
RECT 13.240 218.200 13.560 218.520 ;
RECT 13.640 218.200 13.960 218.520 ;
RECT 14.040 218.200 14.360 218.520 ;
RECT 14.440 218.200 14.760 218.520 ;
RECT 14.840 218.200 15.160 218.520 ;
RECT 15.240 218.200 15.560 218.520 ;
RECT 15.640 218.200 15.960 218.520 ;
RECT 16.040 218.200 16.360 218.520 ;
RECT 16.440 218.200 16.760 218.520 ;
RECT 16.840 218.200 17.160 218.520 ;
RECT 17.240 218.200 17.560 218.520 ;
RECT 17.640 218.200 17.960 218.520 ;
RECT 18.040 218.200 18.360 218.520 ;
RECT 18.440 218.200 18.760 218.520 ;
RECT 18.840 218.200 19.160 218.520 ;
RECT 19.240 218.200 19.560 218.520 ;
RECT 19.640 218.200 19.960 218.520 ;
RECT 95.560 218.200 95.880 218.520 ;
RECT 95.960 218.200 96.280 218.520 ;
RECT 96.360 218.200 96.680 218.520 ;
RECT 96.760 218.200 97.080 218.520 ;
RECT 145.560 218.200 145.880 218.520 ;
RECT 145.960 218.200 146.280 218.520 ;
RECT 146.360 218.200 146.680 218.520 ;
RECT 146.760 218.200 147.080 218.520 ;
RECT 206.880 218.200 207.200 218.520 ;
RECT 207.280 218.200 207.600 218.520 ;
RECT 207.680 218.200 208.000 218.520 ;
RECT 208.080 218.200 208.400 218.520 ;
RECT 208.480 218.200 208.800 218.520 ;
RECT 208.880 218.200 209.200 218.520 ;
RECT 209.280 218.200 209.600 218.520 ;
RECT 209.680 218.200 210.000 218.520 ;
RECT 210.080 218.200 210.400 218.520 ;
RECT 210.480 218.200 210.800 218.520 ;
RECT 210.880 218.200 211.200 218.520 ;
RECT 211.280 218.200 211.600 218.520 ;
RECT 211.680 218.200 212.000 218.520 ;
RECT 212.080 218.200 212.400 218.520 ;
RECT 212.480 218.200 212.800 218.520 ;
RECT 212.880 218.200 213.200 218.520 ;
RECT 213.280 218.200 213.600 218.520 ;
RECT 213.680 218.200 214.000 218.520 ;
RECT 214.080 218.200 214.400 218.520 ;
RECT 214.480 218.200 214.800 218.520 ;
RECT 214.880 218.200 215.200 218.520 ;
RECT 215.280 218.200 215.600 218.520 ;
RECT 215.680 218.200 216.000 218.520 ;
RECT 216.080 218.200 216.400 218.520 ;
RECT 216.480 218.200 216.800 218.520 ;
RECT 216.880 218.200 217.200 218.520 ;
RECT 217.280 218.200 217.600 218.520 ;
RECT 217.680 218.200 218.000 218.520 ;
RECT 218.080 218.200 218.400 218.520 ;
RECT 218.480 218.200 218.800 218.520 ;
RECT 218.880 218.200 219.200 218.520 ;
RECT 219.280 218.200 219.600 218.520 ;
RECT 219.680 218.200 220.000 218.520 ;
RECT 220.080 218.200 220.400 218.520 ;
RECT 220.480 218.200 220.800 218.520 ;
RECT 220.880 218.200 221.200 218.520 ;
RECT 221.280 218.200 221.600 218.520 ;
RECT 221.680 218.200 222.000 218.520 ;
RECT 222.080 218.200 222.400 218.520 ;
RECT 222.480 218.200 222.800 218.520 ;
RECT 222.880 218.200 223.200 218.520 ;
RECT 223.280 218.200 223.600 218.520 ;
RECT 223.680 218.200 224.000 218.520 ;
RECT 224.080 218.200 224.400 218.520 ;
RECT 224.480 218.200 224.800 218.520 ;
RECT 224.880 218.200 225.200 218.520 ;
RECT 225.280 218.200 225.600 218.520 ;
RECT 225.680 218.200 226.000 218.520 ;
RECT 226.080 218.200 226.400 218.520 ;
RECT 226.480 218.200 226.800 218.520 ;
RECT 0.040 217.800 0.360 218.120 ;
RECT 0.440 217.800 0.760 218.120 ;
RECT 0.840 217.800 1.160 218.120 ;
RECT 1.240 217.800 1.560 218.120 ;
RECT 1.640 217.800 1.960 218.120 ;
RECT 2.040 217.800 2.360 218.120 ;
RECT 2.440 217.800 2.760 218.120 ;
RECT 2.840 217.800 3.160 218.120 ;
RECT 3.240 217.800 3.560 218.120 ;
RECT 3.640 217.800 3.960 218.120 ;
RECT 4.040 217.800 4.360 218.120 ;
RECT 4.440 217.800 4.760 218.120 ;
RECT 4.840 217.800 5.160 218.120 ;
RECT 5.240 217.800 5.560 218.120 ;
RECT 5.640 217.800 5.960 218.120 ;
RECT 6.040 217.800 6.360 218.120 ;
RECT 6.440 217.800 6.760 218.120 ;
RECT 6.840 217.800 7.160 218.120 ;
RECT 7.240 217.800 7.560 218.120 ;
RECT 7.640 217.800 7.960 218.120 ;
RECT 8.040 217.800 8.360 218.120 ;
RECT 8.440 217.800 8.760 218.120 ;
RECT 8.840 217.800 9.160 218.120 ;
RECT 9.240 217.800 9.560 218.120 ;
RECT 9.640 217.800 9.960 218.120 ;
RECT 10.040 217.800 10.360 218.120 ;
RECT 10.440 217.800 10.760 218.120 ;
RECT 10.840 217.800 11.160 218.120 ;
RECT 11.240 217.800 11.560 218.120 ;
RECT 11.640 217.800 11.960 218.120 ;
RECT 12.040 217.800 12.360 218.120 ;
RECT 12.440 217.800 12.760 218.120 ;
RECT 12.840 217.800 13.160 218.120 ;
RECT 13.240 217.800 13.560 218.120 ;
RECT 13.640 217.800 13.960 218.120 ;
RECT 14.040 217.800 14.360 218.120 ;
RECT 14.440 217.800 14.760 218.120 ;
RECT 14.840 217.800 15.160 218.120 ;
RECT 15.240 217.800 15.560 218.120 ;
RECT 15.640 217.800 15.960 218.120 ;
RECT 16.040 217.800 16.360 218.120 ;
RECT 16.440 217.800 16.760 218.120 ;
RECT 16.840 217.800 17.160 218.120 ;
RECT 17.240 217.800 17.560 218.120 ;
RECT 17.640 217.800 17.960 218.120 ;
RECT 18.040 217.800 18.360 218.120 ;
RECT 18.440 217.800 18.760 218.120 ;
RECT 18.840 217.800 19.160 218.120 ;
RECT 19.240 217.800 19.560 218.120 ;
RECT 19.640 217.800 19.960 218.120 ;
RECT 95.560 217.800 95.880 218.120 ;
RECT 95.960 217.800 96.280 218.120 ;
RECT 96.360 217.800 96.680 218.120 ;
RECT 96.760 217.800 97.080 218.120 ;
RECT 145.560 217.800 145.880 218.120 ;
RECT 145.960 217.800 146.280 218.120 ;
RECT 146.360 217.800 146.680 218.120 ;
RECT 146.760 217.800 147.080 218.120 ;
RECT 206.880 217.800 207.200 218.120 ;
RECT 207.280 217.800 207.600 218.120 ;
RECT 207.680 217.800 208.000 218.120 ;
RECT 208.080 217.800 208.400 218.120 ;
RECT 208.480 217.800 208.800 218.120 ;
RECT 208.880 217.800 209.200 218.120 ;
RECT 209.280 217.800 209.600 218.120 ;
RECT 209.680 217.800 210.000 218.120 ;
RECT 210.080 217.800 210.400 218.120 ;
RECT 210.480 217.800 210.800 218.120 ;
RECT 210.880 217.800 211.200 218.120 ;
RECT 211.280 217.800 211.600 218.120 ;
RECT 211.680 217.800 212.000 218.120 ;
RECT 212.080 217.800 212.400 218.120 ;
RECT 212.480 217.800 212.800 218.120 ;
RECT 212.880 217.800 213.200 218.120 ;
RECT 213.280 217.800 213.600 218.120 ;
RECT 213.680 217.800 214.000 218.120 ;
RECT 214.080 217.800 214.400 218.120 ;
RECT 214.480 217.800 214.800 218.120 ;
RECT 214.880 217.800 215.200 218.120 ;
RECT 215.280 217.800 215.600 218.120 ;
RECT 215.680 217.800 216.000 218.120 ;
RECT 216.080 217.800 216.400 218.120 ;
RECT 216.480 217.800 216.800 218.120 ;
RECT 216.880 217.800 217.200 218.120 ;
RECT 217.280 217.800 217.600 218.120 ;
RECT 217.680 217.800 218.000 218.120 ;
RECT 218.080 217.800 218.400 218.120 ;
RECT 218.480 217.800 218.800 218.120 ;
RECT 218.880 217.800 219.200 218.120 ;
RECT 219.280 217.800 219.600 218.120 ;
RECT 219.680 217.800 220.000 218.120 ;
RECT 220.080 217.800 220.400 218.120 ;
RECT 220.480 217.800 220.800 218.120 ;
RECT 220.880 217.800 221.200 218.120 ;
RECT 221.280 217.800 221.600 218.120 ;
RECT 221.680 217.800 222.000 218.120 ;
RECT 222.080 217.800 222.400 218.120 ;
RECT 222.480 217.800 222.800 218.120 ;
RECT 222.880 217.800 223.200 218.120 ;
RECT 223.280 217.800 223.600 218.120 ;
RECT 223.680 217.800 224.000 218.120 ;
RECT 224.080 217.800 224.400 218.120 ;
RECT 224.480 217.800 224.800 218.120 ;
RECT 224.880 217.800 225.200 218.120 ;
RECT 225.280 217.800 225.600 218.120 ;
RECT 225.680 217.800 226.000 218.120 ;
RECT 226.080 217.800 226.400 218.120 ;
RECT 226.480 217.800 226.800 218.120 ;
RECT 0.040 217.400 0.360 217.720 ;
RECT 0.440 217.400 0.760 217.720 ;
RECT 0.840 217.400 1.160 217.720 ;
RECT 1.240 217.400 1.560 217.720 ;
RECT 1.640 217.400 1.960 217.720 ;
RECT 2.040 217.400 2.360 217.720 ;
RECT 2.440 217.400 2.760 217.720 ;
RECT 2.840 217.400 3.160 217.720 ;
RECT 3.240 217.400 3.560 217.720 ;
RECT 3.640 217.400 3.960 217.720 ;
RECT 4.040 217.400 4.360 217.720 ;
RECT 4.440 217.400 4.760 217.720 ;
RECT 4.840 217.400 5.160 217.720 ;
RECT 5.240 217.400 5.560 217.720 ;
RECT 5.640 217.400 5.960 217.720 ;
RECT 6.040 217.400 6.360 217.720 ;
RECT 6.440 217.400 6.760 217.720 ;
RECT 6.840 217.400 7.160 217.720 ;
RECT 7.240 217.400 7.560 217.720 ;
RECT 7.640 217.400 7.960 217.720 ;
RECT 8.040 217.400 8.360 217.720 ;
RECT 8.440 217.400 8.760 217.720 ;
RECT 8.840 217.400 9.160 217.720 ;
RECT 9.240 217.400 9.560 217.720 ;
RECT 9.640 217.400 9.960 217.720 ;
RECT 10.040 217.400 10.360 217.720 ;
RECT 10.440 217.400 10.760 217.720 ;
RECT 10.840 217.400 11.160 217.720 ;
RECT 11.240 217.400 11.560 217.720 ;
RECT 11.640 217.400 11.960 217.720 ;
RECT 12.040 217.400 12.360 217.720 ;
RECT 12.440 217.400 12.760 217.720 ;
RECT 12.840 217.400 13.160 217.720 ;
RECT 13.240 217.400 13.560 217.720 ;
RECT 13.640 217.400 13.960 217.720 ;
RECT 14.040 217.400 14.360 217.720 ;
RECT 14.440 217.400 14.760 217.720 ;
RECT 14.840 217.400 15.160 217.720 ;
RECT 15.240 217.400 15.560 217.720 ;
RECT 15.640 217.400 15.960 217.720 ;
RECT 16.040 217.400 16.360 217.720 ;
RECT 16.440 217.400 16.760 217.720 ;
RECT 16.840 217.400 17.160 217.720 ;
RECT 17.240 217.400 17.560 217.720 ;
RECT 17.640 217.400 17.960 217.720 ;
RECT 18.040 217.400 18.360 217.720 ;
RECT 18.440 217.400 18.760 217.720 ;
RECT 18.840 217.400 19.160 217.720 ;
RECT 19.240 217.400 19.560 217.720 ;
RECT 19.640 217.400 19.960 217.720 ;
RECT 95.560 217.400 95.880 217.720 ;
RECT 95.960 217.400 96.280 217.720 ;
RECT 96.360 217.400 96.680 217.720 ;
RECT 96.760 217.400 97.080 217.720 ;
RECT 145.560 217.400 145.880 217.720 ;
RECT 145.960 217.400 146.280 217.720 ;
RECT 146.360 217.400 146.680 217.720 ;
RECT 146.760 217.400 147.080 217.720 ;
RECT 206.880 217.400 207.200 217.720 ;
RECT 207.280 217.400 207.600 217.720 ;
RECT 207.680 217.400 208.000 217.720 ;
RECT 208.080 217.400 208.400 217.720 ;
RECT 208.480 217.400 208.800 217.720 ;
RECT 208.880 217.400 209.200 217.720 ;
RECT 209.280 217.400 209.600 217.720 ;
RECT 209.680 217.400 210.000 217.720 ;
RECT 210.080 217.400 210.400 217.720 ;
RECT 210.480 217.400 210.800 217.720 ;
RECT 210.880 217.400 211.200 217.720 ;
RECT 211.280 217.400 211.600 217.720 ;
RECT 211.680 217.400 212.000 217.720 ;
RECT 212.080 217.400 212.400 217.720 ;
RECT 212.480 217.400 212.800 217.720 ;
RECT 212.880 217.400 213.200 217.720 ;
RECT 213.280 217.400 213.600 217.720 ;
RECT 213.680 217.400 214.000 217.720 ;
RECT 214.080 217.400 214.400 217.720 ;
RECT 214.480 217.400 214.800 217.720 ;
RECT 214.880 217.400 215.200 217.720 ;
RECT 215.280 217.400 215.600 217.720 ;
RECT 215.680 217.400 216.000 217.720 ;
RECT 216.080 217.400 216.400 217.720 ;
RECT 216.480 217.400 216.800 217.720 ;
RECT 216.880 217.400 217.200 217.720 ;
RECT 217.280 217.400 217.600 217.720 ;
RECT 217.680 217.400 218.000 217.720 ;
RECT 218.080 217.400 218.400 217.720 ;
RECT 218.480 217.400 218.800 217.720 ;
RECT 218.880 217.400 219.200 217.720 ;
RECT 219.280 217.400 219.600 217.720 ;
RECT 219.680 217.400 220.000 217.720 ;
RECT 220.080 217.400 220.400 217.720 ;
RECT 220.480 217.400 220.800 217.720 ;
RECT 220.880 217.400 221.200 217.720 ;
RECT 221.280 217.400 221.600 217.720 ;
RECT 221.680 217.400 222.000 217.720 ;
RECT 222.080 217.400 222.400 217.720 ;
RECT 222.480 217.400 222.800 217.720 ;
RECT 222.880 217.400 223.200 217.720 ;
RECT 223.280 217.400 223.600 217.720 ;
RECT 223.680 217.400 224.000 217.720 ;
RECT 224.080 217.400 224.400 217.720 ;
RECT 224.480 217.400 224.800 217.720 ;
RECT 224.880 217.400 225.200 217.720 ;
RECT 225.280 217.400 225.600 217.720 ;
RECT 225.680 217.400 226.000 217.720 ;
RECT 226.080 217.400 226.400 217.720 ;
RECT 226.480 217.400 226.800 217.720 ;
RECT 0.040 217.000 0.360 217.320 ;
RECT 0.440 217.000 0.760 217.320 ;
RECT 0.840 217.000 1.160 217.320 ;
RECT 1.240 217.000 1.560 217.320 ;
RECT 1.640 217.000 1.960 217.320 ;
RECT 2.040 217.000 2.360 217.320 ;
RECT 2.440 217.000 2.760 217.320 ;
RECT 2.840 217.000 3.160 217.320 ;
RECT 3.240 217.000 3.560 217.320 ;
RECT 3.640 217.000 3.960 217.320 ;
RECT 4.040 217.000 4.360 217.320 ;
RECT 4.440 217.000 4.760 217.320 ;
RECT 4.840 217.000 5.160 217.320 ;
RECT 5.240 217.000 5.560 217.320 ;
RECT 5.640 217.000 5.960 217.320 ;
RECT 6.040 217.000 6.360 217.320 ;
RECT 6.440 217.000 6.760 217.320 ;
RECT 6.840 217.000 7.160 217.320 ;
RECT 7.240 217.000 7.560 217.320 ;
RECT 7.640 217.000 7.960 217.320 ;
RECT 8.040 217.000 8.360 217.320 ;
RECT 8.440 217.000 8.760 217.320 ;
RECT 8.840 217.000 9.160 217.320 ;
RECT 9.240 217.000 9.560 217.320 ;
RECT 9.640 217.000 9.960 217.320 ;
RECT 10.040 217.000 10.360 217.320 ;
RECT 10.440 217.000 10.760 217.320 ;
RECT 10.840 217.000 11.160 217.320 ;
RECT 11.240 217.000 11.560 217.320 ;
RECT 11.640 217.000 11.960 217.320 ;
RECT 12.040 217.000 12.360 217.320 ;
RECT 12.440 217.000 12.760 217.320 ;
RECT 12.840 217.000 13.160 217.320 ;
RECT 13.240 217.000 13.560 217.320 ;
RECT 13.640 217.000 13.960 217.320 ;
RECT 14.040 217.000 14.360 217.320 ;
RECT 14.440 217.000 14.760 217.320 ;
RECT 14.840 217.000 15.160 217.320 ;
RECT 15.240 217.000 15.560 217.320 ;
RECT 15.640 217.000 15.960 217.320 ;
RECT 16.040 217.000 16.360 217.320 ;
RECT 16.440 217.000 16.760 217.320 ;
RECT 16.840 217.000 17.160 217.320 ;
RECT 17.240 217.000 17.560 217.320 ;
RECT 17.640 217.000 17.960 217.320 ;
RECT 18.040 217.000 18.360 217.320 ;
RECT 18.440 217.000 18.760 217.320 ;
RECT 18.840 217.000 19.160 217.320 ;
RECT 19.240 217.000 19.560 217.320 ;
RECT 19.640 217.000 19.960 217.320 ;
RECT 95.560 217.000 95.880 217.320 ;
RECT 95.960 217.000 96.280 217.320 ;
RECT 96.360 217.000 96.680 217.320 ;
RECT 96.760 217.000 97.080 217.320 ;
RECT 145.560 217.000 145.880 217.320 ;
RECT 145.960 217.000 146.280 217.320 ;
RECT 146.360 217.000 146.680 217.320 ;
RECT 146.760 217.000 147.080 217.320 ;
RECT 206.880 217.000 207.200 217.320 ;
RECT 207.280 217.000 207.600 217.320 ;
RECT 207.680 217.000 208.000 217.320 ;
RECT 208.080 217.000 208.400 217.320 ;
RECT 208.480 217.000 208.800 217.320 ;
RECT 208.880 217.000 209.200 217.320 ;
RECT 209.280 217.000 209.600 217.320 ;
RECT 209.680 217.000 210.000 217.320 ;
RECT 210.080 217.000 210.400 217.320 ;
RECT 210.480 217.000 210.800 217.320 ;
RECT 210.880 217.000 211.200 217.320 ;
RECT 211.280 217.000 211.600 217.320 ;
RECT 211.680 217.000 212.000 217.320 ;
RECT 212.080 217.000 212.400 217.320 ;
RECT 212.480 217.000 212.800 217.320 ;
RECT 212.880 217.000 213.200 217.320 ;
RECT 213.280 217.000 213.600 217.320 ;
RECT 213.680 217.000 214.000 217.320 ;
RECT 214.080 217.000 214.400 217.320 ;
RECT 214.480 217.000 214.800 217.320 ;
RECT 214.880 217.000 215.200 217.320 ;
RECT 215.280 217.000 215.600 217.320 ;
RECT 215.680 217.000 216.000 217.320 ;
RECT 216.080 217.000 216.400 217.320 ;
RECT 216.480 217.000 216.800 217.320 ;
RECT 216.880 217.000 217.200 217.320 ;
RECT 217.280 217.000 217.600 217.320 ;
RECT 217.680 217.000 218.000 217.320 ;
RECT 218.080 217.000 218.400 217.320 ;
RECT 218.480 217.000 218.800 217.320 ;
RECT 218.880 217.000 219.200 217.320 ;
RECT 219.280 217.000 219.600 217.320 ;
RECT 219.680 217.000 220.000 217.320 ;
RECT 220.080 217.000 220.400 217.320 ;
RECT 220.480 217.000 220.800 217.320 ;
RECT 220.880 217.000 221.200 217.320 ;
RECT 221.280 217.000 221.600 217.320 ;
RECT 221.680 217.000 222.000 217.320 ;
RECT 222.080 217.000 222.400 217.320 ;
RECT 222.480 217.000 222.800 217.320 ;
RECT 222.880 217.000 223.200 217.320 ;
RECT 223.280 217.000 223.600 217.320 ;
RECT 223.680 217.000 224.000 217.320 ;
RECT 224.080 217.000 224.400 217.320 ;
RECT 224.480 217.000 224.800 217.320 ;
RECT 224.880 217.000 225.200 217.320 ;
RECT 225.280 217.000 225.600 217.320 ;
RECT 225.680 217.000 226.000 217.320 ;
RECT 226.080 217.000 226.400 217.320 ;
RECT 226.480 217.000 226.800 217.320 ;
RECT 0.040 216.600 0.360 216.920 ;
RECT 0.440 216.600 0.760 216.920 ;
RECT 0.840 216.600 1.160 216.920 ;
RECT 1.240 216.600 1.560 216.920 ;
RECT 1.640 216.600 1.960 216.920 ;
RECT 2.040 216.600 2.360 216.920 ;
RECT 2.440 216.600 2.760 216.920 ;
RECT 2.840 216.600 3.160 216.920 ;
RECT 3.240 216.600 3.560 216.920 ;
RECT 3.640 216.600 3.960 216.920 ;
RECT 4.040 216.600 4.360 216.920 ;
RECT 4.440 216.600 4.760 216.920 ;
RECT 4.840 216.600 5.160 216.920 ;
RECT 5.240 216.600 5.560 216.920 ;
RECT 5.640 216.600 5.960 216.920 ;
RECT 6.040 216.600 6.360 216.920 ;
RECT 6.440 216.600 6.760 216.920 ;
RECT 6.840 216.600 7.160 216.920 ;
RECT 7.240 216.600 7.560 216.920 ;
RECT 7.640 216.600 7.960 216.920 ;
RECT 8.040 216.600 8.360 216.920 ;
RECT 8.440 216.600 8.760 216.920 ;
RECT 8.840 216.600 9.160 216.920 ;
RECT 9.240 216.600 9.560 216.920 ;
RECT 9.640 216.600 9.960 216.920 ;
RECT 10.040 216.600 10.360 216.920 ;
RECT 10.440 216.600 10.760 216.920 ;
RECT 10.840 216.600 11.160 216.920 ;
RECT 11.240 216.600 11.560 216.920 ;
RECT 11.640 216.600 11.960 216.920 ;
RECT 12.040 216.600 12.360 216.920 ;
RECT 12.440 216.600 12.760 216.920 ;
RECT 12.840 216.600 13.160 216.920 ;
RECT 13.240 216.600 13.560 216.920 ;
RECT 13.640 216.600 13.960 216.920 ;
RECT 14.040 216.600 14.360 216.920 ;
RECT 14.440 216.600 14.760 216.920 ;
RECT 14.840 216.600 15.160 216.920 ;
RECT 15.240 216.600 15.560 216.920 ;
RECT 15.640 216.600 15.960 216.920 ;
RECT 16.040 216.600 16.360 216.920 ;
RECT 16.440 216.600 16.760 216.920 ;
RECT 16.840 216.600 17.160 216.920 ;
RECT 17.240 216.600 17.560 216.920 ;
RECT 17.640 216.600 17.960 216.920 ;
RECT 18.040 216.600 18.360 216.920 ;
RECT 18.440 216.600 18.760 216.920 ;
RECT 18.840 216.600 19.160 216.920 ;
RECT 19.240 216.600 19.560 216.920 ;
RECT 19.640 216.600 19.960 216.920 ;
RECT 95.560 216.600 95.880 216.920 ;
RECT 95.960 216.600 96.280 216.920 ;
RECT 96.360 216.600 96.680 216.920 ;
RECT 96.760 216.600 97.080 216.920 ;
RECT 145.560 216.600 145.880 216.920 ;
RECT 145.960 216.600 146.280 216.920 ;
RECT 146.360 216.600 146.680 216.920 ;
RECT 146.760 216.600 147.080 216.920 ;
RECT 206.880 216.600 207.200 216.920 ;
RECT 207.280 216.600 207.600 216.920 ;
RECT 207.680 216.600 208.000 216.920 ;
RECT 208.080 216.600 208.400 216.920 ;
RECT 208.480 216.600 208.800 216.920 ;
RECT 208.880 216.600 209.200 216.920 ;
RECT 209.280 216.600 209.600 216.920 ;
RECT 209.680 216.600 210.000 216.920 ;
RECT 210.080 216.600 210.400 216.920 ;
RECT 210.480 216.600 210.800 216.920 ;
RECT 210.880 216.600 211.200 216.920 ;
RECT 211.280 216.600 211.600 216.920 ;
RECT 211.680 216.600 212.000 216.920 ;
RECT 212.080 216.600 212.400 216.920 ;
RECT 212.480 216.600 212.800 216.920 ;
RECT 212.880 216.600 213.200 216.920 ;
RECT 213.280 216.600 213.600 216.920 ;
RECT 213.680 216.600 214.000 216.920 ;
RECT 214.080 216.600 214.400 216.920 ;
RECT 214.480 216.600 214.800 216.920 ;
RECT 214.880 216.600 215.200 216.920 ;
RECT 215.280 216.600 215.600 216.920 ;
RECT 215.680 216.600 216.000 216.920 ;
RECT 216.080 216.600 216.400 216.920 ;
RECT 216.480 216.600 216.800 216.920 ;
RECT 216.880 216.600 217.200 216.920 ;
RECT 217.280 216.600 217.600 216.920 ;
RECT 217.680 216.600 218.000 216.920 ;
RECT 218.080 216.600 218.400 216.920 ;
RECT 218.480 216.600 218.800 216.920 ;
RECT 218.880 216.600 219.200 216.920 ;
RECT 219.280 216.600 219.600 216.920 ;
RECT 219.680 216.600 220.000 216.920 ;
RECT 220.080 216.600 220.400 216.920 ;
RECT 220.480 216.600 220.800 216.920 ;
RECT 220.880 216.600 221.200 216.920 ;
RECT 221.280 216.600 221.600 216.920 ;
RECT 221.680 216.600 222.000 216.920 ;
RECT 222.080 216.600 222.400 216.920 ;
RECT 222.480 216.600 222.800 216.920 ;
RECT 222.880 216.600 223.200 216.920 ;
RECT 223.280 216.600 223.600 216.920 ;
RECT 223.680 216.600 224.000 216.920 ;
RECT 224.080 216.600 224.400 216.920 ;
RECT 224.480 216.600 224.800 216.920 ;
RECT 224.880 216.600 225.200 216.920 ;
RECT 225.280 216.600 225.600 216.920 ;
RECT 225.680 216.600 226.000 216.920 ;
RECT 226.080 216.600 226.400 216.920 ;
RECT 226.480 216.600 226.800 216.920 ;
RECT 0.040 216.200 0.360 216.520 ;
RECT 0.440 216.200 0.760 216.520 ;
RECT 0.840 216.200 1.160 216.520 ;
RECT 1.240 216.200 1.560 216.520 ;
RECT 1.640 216.200 1.960 216.520 ;
RECT 2.040 216.200 2.360 216.520 ;
RECT 2.440 216.200 2.760 216.520 ;
RECT 2.840 216.200 3.160 216.520 ;
RECT 3.240 216.200 3.560 216.520 ;
RECT 3.640 216.200 3.960 216.520 ;
RECT 4.040 216.200 4.360 216.520 ;
RECT 4.440 216.200 4.760 216.520 ;
RECT 4.840 216.200 5.160 216.520 ;
RECT 5.240 216.200 5.560 216.520 ;
RECT 5.640 216.200 5.960 216.520 ;
RECT 6.040 216.200 6.360 216.520 ;
RECT 6.440 216.200 6.760 216.520 ;
RECT 6.840 216.200 7.160 216.520 ;
RECT 7.240 216.200 7.560 216.520 ;
RECT 7.640 216.200 7.960 216.520 ;
RECT 8.040 216.200 8.360 216.520 ;
RECT 8.440 216.200 8.760 216.520 ;
RECT 8.840 216.200 9.160 216.520 ;
RECT 9.240 216.200 9.560 216.520 ;
RECT 9.640 216.200 9.960 216.520 ;
RECT 10.040 216.200 10.360 216.520 ;
RECT 10.440 216.200 10.760 216.520 ;
RECT 10.840 216.200 11.160 216.520 ;
RECT 11.240 216.200 11.560 216.520 ;
RECT 11.640 216.200 11.960 216.520 ;
RECT 12.040 216.200 12.360 216.520 ;
RECT 12.440 216.200 12.760 216.520 ;
RECT 12.840 216.200 13.160 216.520 ;
RECT 13.240 216.200 13.560 216.520 ;
RECT 13.640 216.200 13.960 216.520 ;
RECT 14.040 216.200 14.360 216.520 ;
RECT 14.440 216.200 14.760 216.520 ;
RECT 14.840 216.200 15.160 216.520 ;
RECT 15.240 216.200 15.560 216.520 ;
RECT 15.640 216.200 15.960 216.520 ;
RECT 16.040 216.200 16.360 216.520 ;
RECT 16.440 216.200 16.760 216.520 ;
RECT 16.840 216.200 17.160 216.520 ;
RECT 17.240 216.200 17.560 216.520 ;
RECT 17.640 216.200 17.960 216.520 ;
RECT 18.040 216.200 18.360 216.520 ;
RECT 18.440 216.200 18.760 216.520 ;
RECT 18.840 216.200 19.160 216.520 ;
RECT 19.240 216.200 19.560 216.520 ;
RECT 19.640 216.200 19.960 216.520 ;
RECT 95.560 216.200 95.880 216.520 ;
RECT 95.960 216.200 96.280 216.520 ;
RECT 96.360 216.200 96.680 216.520 ;
RECT 96.760 216.200 97.080 216.520 ;
RECT 145.560 216.200 145.880 216.520 ;
RECT 145.960 216.200 146.280 216.520 ;
RECT 146.360 216.200 146.680 216.520 ;
RECT 146.760 216.200 147.080 216.520 ;
RECT 206.880 216.200 207.200 216.520 ;
RECT 207.280 216.200 207.600 216.520 ;
RECT 207.680 216.200 208.000 216.520 ;
RECT 208.080 216.200 208.400 216.520 ;
RECT 208.480 216.200 208.800 216.520 ;
RECT 208.880 216.200 209.200 216.520 ;
RECT 209.280 216.200 209.600 216.520 ;
RECT 209.680 216.200 210.000 216.520 ;
RECT 210.080 216.200 210.400 216.520 ;
RECT 210.480 216.200 210.800 216.520 ;
RECT 210.880 216.200 211.200 216.520 ;
RECT 211.280 216.200 211.600 216.520 ;
RECT 211.680 216.200 212.000 216.520 ;
RECT 212.080 216.200 212.400 216.520 ;
RECT 212.480 216.200 212.800 216.520 ;
RECT 212.880 216.200 213.200 216.520 ;
RECT 213.280 216.200 213.600 216.520 ;
RECT 213.680 216.200 214.000 216.520 ;
RECT 214.080 216.200 214.400 216.520 ;
RECT 214.480 216.200 214.800 216.520 ;
RECT 214.880 216.200 215.200 216.520 ;
RECT 215.280 216.200 215.600 216.520 ;
RECT 215.680 216.200 216.000 216.520 ;
RECT 216.080 216.200 216.400 216.520 ;
RECT 216.480 216.200 216.800 216.520 ;
RECT 216.880 216.200 217.200 216.520 ;
RECT 217.280 216.200 217.600 216.520 ;
RECT 217.680 216.200 218.000 216.520 ;
RECT 218.080 216.200 218.400 216.520 ;
RECT 218.480 216.200 218.800 216.520 ;
RECT 218.880 216.200 219.200 216.520 ;
RECT 219.280 216.200 219.600 216.520 ;
RECT 219.680 216.200 220.000 216.520 ;
RECT 220.080 216.200 220.400 216.520 ;
RECT 220.480 216.200 220.800 216.520 ;
RECT 220.880 216.200 221.200 216.520 ;
RECT 221.280 216.200 221.600 216.520 ;
RECT 221.680 216.200 222.000 216.520 ;
RECT 222.080 216.200 222.400 216.520 ;
RECT 222.480 216.200 222.800 216.520 ;
RECT 222.880 216.200 223.200 216.520 ;
RECT 223.280 216.200 223.600 216.520 ;
RECT 223.680 216.200 224.000 216.520 ;
RECT 224.080 216.200 224.400 216.520 ;
RECT 224.480 216.200 224.800 216.520 ;
RECT 224.880 216.200 225.200 216.520 ;
RECT 225.280 216.200 225.600 216.520 ;
RECT 225.680 216.200 226.000 216.520 ;
RECT 226.080 216.200 226.400 216.520 ;
RECT 226.480 216.200 226.800 216.520 ;
RECT 0.040 215.800 0.360 216.120 ;
RECT 0.440 215.800 0.760 216.120 ;
RECT 0.840 215.800 1.160 216.120 ;
RECT 1.240 215.800 1.560 216.120 ;
RECT 1.640 215.800 1.960 216.120 ;
RECT 2.040 215.800 2.360 216.120 ;
RECT 2.440 215.800 2.760 216.120 ;
RECT 2.840 215.800 3.160 216.120 ;
RECT 3.240 215.800 3.560 216.120 ;
RECT 3.640 215.800 3.960 216.120 ;
RECT 4.040 215.800 4.360 216.120 ;
RECT 4.440 215.800 4.760 216.120 ;
RECT 4.840 215.800 5.160 216.120 ;
RECT 5.240 215.800 5.560 216.120 ;
RECT 5.640 215.800 5.960 216.120 ;
RECT 6.040 215.800 6.360 216.120 ;
RECT 6.440 215.800 6.760 216.120 ;
RECT 6.840 215.800 7.160 216.120 ;
RECT 7.240 215.800 7.560 216.120 ;
RECT 7.640 215.800 7.960 216.120 ;
RECT 8.040 215.800 8.360 216.120 ;
RECT 8.440 215.800 8.760 216.120 ;
RECT 8.840 215.800 9.160 216.120 ;
RECT 9.240 215.800 9.560 216.120 ;
RECT 9.640 215.800 9.960 216.120 ;
RECT 10.040 215.800 10.360 216.120 ;
RECT 10.440 215.800 10.760 216.120 ;
RECT 10.840 215.800 11.160 216.120 ;
RECT 11.240 215.800 11.560 216.120 ;
RECT 11.640 215.800 11.960 216.120 ;
RECT 12.040 215.800 12.360 216.120 ;
RECT 12.440 215.800 12.760 216.120 ;
RECT 12.840 215.800 13.160 216.120 ;
RECT 13.240 215.800 13.560 216.120 ;
RECT 13.640 215.800 13.960 216.120 ;
RECT 14.040 215.800 14.360 216.120 ;
RECT 14.440 215.800 14.760 216.120 ;
RECT 14.840 215.800 15.160 216.120 ;
RECT 15.240 215.800 15.560 216.120 ;
RECT 15.640 215.800 15.960 216.120 ;
RECT 16.040 215.800 16.360 216.120 ;
RECT 16.440 215.800 16.760 216.120 ;
RECT 16.840 215.800 17.160 216.120 ;
RECT 17.240 215.800 17.560 216.120 ;
RECT 17.640 215.800 17.960 216.120 ;
RECT 18.040 215.800 18.360 216.120 ;
RECT 18.440 215.800 18.760 216.120 ;
RECT 18.840 215.800 19.160 216.120 ;
RECT 19.240 215.800 19.560 216.120 ;
RECT 19.640 215.800 19.960 216.120 ;
RECT 95.560 215.800 95.880 216.120 ;
RECT 95.960 215.800 96.280 216.120 ;
RECT 96.360 215.800 96.680 216.120 ;
RECT 96.760 215.800 97.080 216.120 ;
RECT 145.560 215.800 145.880 216.120 ;
RECT 145.960 215.800 146.280 216.120 ;
RECT 146.360 215.800 146.680 216.120 ;
RECT 146.760 215.800 147.080 216.120 ;
RECT 206.880 215.800 207.200 216.120 ;
RECT 207.280 215.800 207.600 216.120 ;
RECT 207.680 215.800 208.000 216.120 ;
RECT 208.080 215.800 208.400 216.120 ;
RECT 208.480 215.800 208.800 216.120 ;
RECT 208.880 215.800 209.200 216.120 ;
RECT 209.280 215.800 209.600 216.120 ;
RECT 209.680 215.800 210.000 216.120 ;
RECT 210.080 215.800 210.400 216.120 ;
RECT 210.480 215.800 210.800 216.120 ;
RECT 210.880 215.800 211.200 216.120 ;
RECT 211.280 215.800 211.600 216.120 ;
RECT 211.680 215.800 212.000 216.120 ;
RECT 212.080 215.800 212.400 216.120 ;
RECT 212.480 215.800 212.800 216.120 ;
RECT 212.880 215.800 213.200 216.120 ;
RECT 213.280 215.800 213.600 216.120 ;
RECT 213.680 215.800 214.000 216.120 ;
RECT 214.080 215.800 214.400 216.120 ;
RECT 214.480 215.800 214.800 216.120 ;
RECT 214.880 215.800 215.200 216.120 ;
RECT 215.280 215.800 215.600 216.120 ;
RECT 215.680 215.800 216.000 216.120 ;
RECT 216.080 215.800 216.400 216.120 ;
RECT 216.480 215.800 216.800 216.120 ;
RECT 216.880 215.800 217.200 216.120 ;
RECT 217.280 215.800 217.600 216.120 ;
RECT 217.680 215.800 218.000 216.120 ;
RECT 218.080 215.800 218.400 216.120 ;
RECT 218.480 215.800 218.800 216.120 ;
RECT 218.880 215.800 219.200 216.120 ;
RECT 219.280 215.800 219.600 216.120 ;
RECT 219.680 215.800 220.000 216.120 ;
RECT 220.080 215.800 220.400 216.120 ;
RECT 220.480 215.800 220.800 216.120 ;
RECT 220.880 215.800 221.200 216.120 ;
RECT 221.280 215.800 221.600 216.120 ;
RECT 221.680 215.800 222.000 216.120 ;
RECT 222.080 215.800 222.400 216.120 ;
RECT 222.480 215.800 222.800 216.120 ;
RECT 222.880 215.800 223.200 216.120 ;
RECT 223.280 215.800 223.600 216.120 ;
RECT 223.680 215.800 224.000 216.120 ;
RECT 224.080 215.800 224.400 216.120 ;
RECT 224.480 215.800 224.800 216.120 ;
RECT 224.880 215.800 225.200 216.120 ;
RECT 225.280 215.800 225.600 216.120 ;
RECT 225.680 215.800 226.000 216.120 ;
RECT 226.080 215.800 226.400 216.120 ;
RECT 226.480 215.800 226.800 216.120 ;
RECT 0.040 215.400 0.360 215.720 ;
RECT 0.440 215.400 0.760 215.720 ;
RECT 0.840 215.400 1.160 215.720 ;
RECT 1.240 215.400 1.560 215.720 ;
RECT 1.640 215.400 1.960 215.720 ;
RECT 2.040 215.400 2.360 215.720 ;
RECT 2.440 215.400 2.760 215.720 ;
RECT 2.840 215.400 3.160 215.720 ;
RECT 3.240 215.400 3.560 215.720 ;
RECT 3.640 215.400 3.960 215.720 ;
RECT 4.040 215.400 4.360 215.720 ;
RECT 4.440 215.400 4.760 215.720 ;
RECT 4.840 215.400 5.160 215.720 ;
RECT 5.240 215.400 5.560 215.720 ;
RECT 5.640 215.400 5.960 215.720 ;
RECT 6.040 215.400 6.360 215.720 ;
RECT 6.440 215.400 6.760 215.720 ;
RECT 6.840 215.400 7.160 215.720 ;
RECT 7.240 215.400 7.560 215.720 ;
RECT 7.640 215.400 7.960 215.720 ;
RECT 8.040 215.400 8.360 215.720 ;
RECT 8.440 215.400 8.760 215.720 ;
RECT 8.840 215.400 9.160 215.720 ;
RECT 9.240 215.400 9.560 215.720 ;
RECT 9.640 215.400 9.960 215.720 ;
RECT 10.040 215.400 10.360 215.720 ;
RECT 10.440 215.400 10.760 215.720 ;
RECT 10.840 215.400 11.160 215.720 ;
RECT 11.240 215.400 11.560 215.720 ;
RECT 11.640 215.400 11.960 215.720 ;
RECT 12.040 215.400 12.360 215.720 ;
RECT 12.440 215.400 12.760 215.720 ;
RECT 12.840 215.400 13.160 215.720 ;
RECT 13.240 215.400 13.560 215.720 ;
RECT 13.640 215.400 13.960 215.720 ;
RECT 14.040 215.400 14.360 215.720 ;
RECT 14.440 215.400 14.760 215.720 ;
RECT 14.840 215.400 15.160 215.720 ;
RECT 15.240 215.400 15.560 215.720 ;
RECT 15.640 215.400 15.960 215.720 ;
RECT 16.040 215.400 16.360 215.720 ;
RECT 16.440 215.400 16.760 215.720 ;
RECT 16.840 215.400 17.160 215.720 ;
RECT 17.240 215.400 17.560 215.720 ;
RECT 17.640 215.400 17.960 215.720 ;
RECT 18.040 215.400 18.360 215.720 ;
RECT 18.440 215.400 18.760 215.720 ;
RECT 18.840 215.400 19.160 215.720 ;
RECT 19.240 215.400 19.560 215.720 ;
RECT 19.640 215.400 19.960 215.720 ;
RECT 95.560 215.400 95.880 215.720 ;
RECT 95.960 215.400 96.280 215.720 ;
RECT 96.360 215.400 96.680 215.720 ;
RECT 96.760 215.400 97.080 215.720 ;
RECT 145.560 215.400 145.880 215.720 ;
RECT 145.960 215.400 146.280 215.720 ;
RECT 146.360 215.400 146.680 215.720 ;
RECT 146.760 215.400 147.080 215.720 ;
RECT 206.880 215.400 207.200 215.720 ;
RECT 207.280 215.400 207.600 215.720 ;
RECT 207.680 215.400 208.000 215.720 ;
RECT 208.080 215.400 208.400 215.720 ;
RECT 208.480 215.400 208.800 215.720 ;
RECT 208.880 215.400 209.200 215.720 ;
RECT 209.280 215.400 209.600 215.720 ;
RECT 209.680 215.400 210.000 215.720 ;
RECT 210.080 215.400 210.400 215.720 ;
RECT 210.480 215.400 210.800 215.720 ;
RECT 210.880 215.400 211.200 215.720 ;
RECT 211.280 215.400 211.600 215.720 ;
RECT 211.680 215.400 212.000 215.720 ;
RECT 212.080 215.400 212.400 215.720 ;
RECT 212.480 215.400 212.800 215.720 ;
RECT 212.880 215.400 213.200 215.720 ;
RECT 213.280 215.400 213.600 215.720 ;
RECT 213.680 215.400 214.000 215.720 ;
RECT 214.080 215.400 214.400 215.720 ;
RECT 214.480 215.400 214.800 215.720 ;
RECT 214.880 215.400 215.200 215.720 ;
RECT 215.280 215.400 215.600 215.720 ;
RECT 215.680 215.400 216.000 215.720 ;
RECT 216.080 215.400 216.400 215.720 ;
RECT 216.480 215.400 216.800 215.720 ;
RECT 216.880 215.400 217.200 215.720 ;
RECT 217.280 215.400 217.600 215.720 ;
RECT 217.680 215.400 218.000 215.720 ;
RECT 218.080 215.400 218.400 215.720 ;
RECT 218.480 215.400 218.800 215.720 ;
RECT 218.880 215.400 219.200 215.720 ;
RECT 219.280 215.400 219.600 215.720 ;
RECT 219.680 215.400 220.000 215.720 ;
RECT 220.080 215.400 220.400 215.720 ;
RECT 220.480 215.400 220.800 215.720 ;
RECT 220.880 215.400 221.200 215.720 ;
RECT 221.280 215.400 221.600 215.720 ;
RECT 221.680 215.400 222.000 215.720 ;
RECT 222.080 215.400 222.400 215.720 ;
RECT 222.480 215.400 222.800 215.720 ;
RECT 222.880 215.400 223.200 215.720 ;
RECT 223.280 215.400 223.600 215.720 ;
RECT 223.680 215.400 224.000 215.720 ;
RECT 224.080 215.400 224.400 215.720 ;
RECT 224.480 215.400 224.800 215.720 ;
RECT 224.880 215.400 225.200 215.720 ;
RECT 225.280 215.400 225.600 215.720 ;
RECT 225.680 215.400 226.000 215.720 ;
RECT 226.080 215.400 226.400 215.720 ;
RECT 226.480 215.400 226.800 215.720 ;
RECT 0.040 215.000 0.360 215.320 ;
RECT 0.440 215.000 0.760 215.320 ;
RECT 0.840 215.000 1.160 215.320 ;
RECT 1.240 215.000 1.560 215.320 ;
RECT 1.640 215.000 1.960 215.320 ;
RECT 2.040 215.000 2.360 215.320 ;
RECT 2.440 215.000 2.760 215.320 ;
RECT 2.840 215.000 3.160 215.320 ;
RECT 3.240 215.000 3.560 215.320 ;
RECT 3.640 215.000 3.960 215.320 ;
RECT 4.040 215.000 4.360 215.320 ;
RECT 4.440 215.000 4.760 215.320 ;
RECT 4.840 215.000 5.160 215.320 ;
RECT 5.240 215.000 5.560 215.320 ;
RECT 5.640 215.000 5.960 215.320 ;
RECT 6.040 215.000 6.360 215.320 ;
RECT 6.440 215.000 6.760 215.320 ;
RECT 6.840 215.000 7.160 215.320 ;
RECT 7.240 215.000 7.560 215.320 ;
RECT 7.640 215.000 7.960 215.320 ;
RECT 8.040 215.000 8.360 215.320 ;
RECT 8.440 215.000 8.760 215.320 ;
RECT 8.840 215.000 9.160 215.320 ;
RECT 9.240 215.000 9.560 215.320 ;
RECT 9.640 215.000 9.960 215.320 ;
RECT 10.040 215.000 10.360 215.320 ;
RECT 10.440 215.000 10.760 215.320 ;
RECT 10.840 215.000 11.160 215.320 ;
RECT 11.240 215.000 11.560 215.320 ;
RECT 11.640 215.000 11.960 215.320 ;
RECT 12.040 215.000 12.360 215.320 ;
RECT 12.440 215.000 12.760 215.320 ;
RECT 12.840 215.000 13.160 215.320 ;
RECT 13.240 215.000 13.560 215.320 ;
RECT 13.640 215.000 13.960 215.320 ;
RECT 14.040 215.000 14.360 215.320 ;
RECT 14.440 215.000 14.760 215.320 ;
RECT 14.840 215.000 15.160 215.320 ;
RECT 15.240 215.000 15.560 215.320 ;
RECT 15.640 215.000 15.960 215.320 ;
RECT 16.040 215.000 16.360 215.320 ;
RECT 16.440 215.000 16.760 215.320 ;
RECT 16.840 215.000 17.160 215.320 ;
RECT 17.240 215.000 17.560 215.320 ;
RECT 17.640 215.000 17.960 215.320 ;
RECT 18.040 215.000 18.360 215.320 ;
RECT 18.440 215.000 18.760 215.320 ;
RECT 18.840 215.000 19.160 215.320 ;
RECT 19.240 215.000 19.560 215.320 ;
RECT 19.640 215.000 19.960 215.320 ;
RECT 95.560 215.000 95.880 215.320 ;
RECT 95.960 215.000 96.280 215.320 ;
RECT 96.360 215.000 96.680 215.320 ;
RECT 96.760 215.000 97.080 215.320 ;
RECT 145.560 215.000 145.880 215.320 ;
RECT 145.960 215.000 146.280 215.320 ;
RECT 146.360 215.000 146.680 215.320 ;
RECT 146.760 215.000 147.080 215.320 ;
RECT 206.880 215.000 207.200 215.320 ;
RECT 207.280 215.000 207.600 215.320 ;
RECT 207.680 215.000 208.000 215.320 ;
RECT 208.080 215.000 208.400 215.320 ;
RECT 208.480 215.000 208.800 215.320 ;
RECT 208.880 215.000 209.200 215.320 ;
RECT 209.280 215.000 209.600 215.320 ;
RECT 209.680 215.000 210.000 215.320 ;
RECT 210.080 215.000 210.400 215.320 ;
RECT 210.480 215.000 210.800 215.320 ;
RECT 210.880 215.000 211.200 215.320 ;
RECT 211.280 215.000 211.600 215.320 ;
RECT 211.680 215.000 212.000 215.320 ;
RECT 212.080 215.000 212.400 215.320 ;
RECT 212.480 215.000 212.800 215.320 ;
RECT 212.880 215.000 213.200 215.320 ;
RECT 213.280 215.000 213.600 215.320 ;
RECT 213.680 215.000 214.000 215.320 ;
RECT 214.080 215.000 214.400 215.320 ;
RECT 214.480 215.000 214.800 215.320 ;
RECT 214.880 215.000 215.200 215.320 ;
RECT 215.280 215.000 215.600 215.320 ;
RECT 215.680 215.000 216.000 215.320 ;
RECT 216.080 215.000 216.400 215.320 ;
RECT 216.480 215.000 216.800 215.320 ;
RECT 216.880 215.000 217.200 215.320 ;
RECT 217.280 215.000 217.600 215.320 ;
RECT 217.680 215.000 218.000 215.320 ;
RECT 218.080 215.000 218.400 215.320 ;
RECT 218.480 215.000 218.800 215.320 ;
RECT 218.880 215.000 219.200 215.320 ;
RECT 219.280 215.000 219.600 215.320 ;
RECT 219.680 215.000 220.000 215.320 ;
RECT 220.080 215.000 220.400 215.320 ;
RECT 220.480 215.000 220.800 215.320 ;
RECT 220.880 215.000 221.200 215.320 ;
RECT 221.280 215.000 221.600 215.320 ;
RECT 221.680 215.000 222.000 215.320 ;
RECT 222.080 215.000 222.400 215.320 ;
RECT 222.480 215.000 222.800 215.320 ;
RECT 222.880 215.000 223.200 215.320 ;
RECT 223.280 215.000 223.600 215.320 ;
RECT 223.680 215.000 224.000 215.320 ;
RECT 224.080 215.000 224.400 215.320 ;
RECT 224.480 215.000 224.800 215.320 ;
RECT 224.880 215.000 225.200 215.320 ;
RECT 225.280 215.000 225.600 215.320 ;
RECT 225.680 215.000 226.000 215.320 ;
RECT 226.080 215.000 226.400 215.320 ;
RECT 226.480 215.000 226.800 215.320 ;
RECT 0.040 214.600 0.360 214.920 ;
RECT 0.440 214.600 0.760 214.920 ;
RECT 0.840 214.600 1.160 214.920 ;
RECT 1.240 214.600 1.560 214.920 ;
RECT 1.640 214.600 1.960 214.920 ;
RECT 2.040 214.600 2.360 214.920 ;
RECT 2.440 214.600 2.760 214.920 ;
RECT 2.840 214.600 3.160 214.920 ;
RECT 3.240 214.600 3.560 214.920 ;
RECT 3.640 214.600 3.960 214.920 ;
RECT 4.040 214.600 4.360 214.920 ;
RECT 4.440 214.600 4.760 214.920 ;
RECT 4.840 214.600 5.160 214.920 ;
RECT 5.240 214.600 5.560 214.920 ;
RECT 5.640 214.600 5.960 214.920 ;
RECT 6.040 214.600 6.360 214.920 ;
RECT 6.440 214.600 6.760 214.920 ;
RECT 6.840 214.600 7.160 214.920 ;
RECT 7.240 214.600 7.560 214.920 ;
RECT 7.640 214.600 7.960 214.920 ;
RECT 8.040 214.600 8.360 214.920 ;
RECT 8.440 214.600 8.760 214.920 ;
RECT 8.840 214.600 9.160 214.920 ;
RECT 9.240 214.600 9.560 214.920 ;
RECT 9.640 214.600 9.960 214.920 ;
RECT 10.040 214.600 10.360 214.920 ;
RECT 10.440 214.600 10.760 214.920 ;
RECT 10.840 214.600 11.160 214.920 ;
RECT 11.240 214.600 11.560 214.920 ;
RECT 11.640 214.600 11.960 214.920 ;
RECT 12.040 214.600 12.360 214.920 ;
RECT 12.440 214.600 12.760 214.920 ;
RECT 12.840 214.600 13.160 214.920 ;
RECT 13.240 214.600 13.560 214.920 ;
RECT 13.640 214.600 13.960 214.920 ;
RECT 14.040 214.600 14.360 214.920 ;
RECT 14.440 214.600 14.760 214.920 ;
RECT 14.840 214.600 15.160 214.920 ;
RECT 15.240 214.600 15.560 214.920 ;
RECT 15.640 214.600 15.960 214.920 ;
RECT 16.040 214.600 16.360 214.920 ;
RECT 16.440 214.600 16.760 214.920 ;
RECT 16.840 214.600 17.160 214.920 ;
RECT 17.240 214.600 17.560 214.920 ;
RECT 17.640 214.600 17.960 214.920 ;
RECT 18.040 214.600 18.360 214.920 ;
RECT 18.440 214.600 18.760 214.920 ;
RECT 18.840 214.600 19.160 214.920 ;
RECT 19.240 214.600 19.560 214.920 ;
RECT 19.640 214.600 19.960 214.920 ;
RECT 95.560 214.600 95.880 214.920 ;
RECT 95.960 214.600 96.280 214.920 ;
RECT 96.360 214.600 96.680 214.920 ;
RECT 96.760 214.600 97.080 214.920 ;
RECT 145.560 214.600 145.880 214.920 ;
RECT 145.960 214.600 146.280 214.920 ;
RECT 146.360 214.600 146.680 214.920 ;
RECT 146.760 214.600 147.080 214.920 ;
RECT 206.880 214.600 207.200 214.920 ;
RECT 207.280 214.600 207.600 214.920 ;
RECT 207.680 214.600 208.000 214.920 ;
RECT 208.080 214.600 208.400 214.920 ;
RECT 208.480 214.600 208.800 214.920 ;
RECT 208.880 214.600 209.200 214.920 ;
RECT 209.280 214.600 209.600 214.920 ;
RECT 209.680 214.600 210.000 214.920 ;
RECT 210.080 214.600 210.400 214.920 ;
RECT 210.480 214.600 210.800 214.920 ;
RECT 210.880 214.600 211.200 214.920 ;
RECT 211.280 214.600 211.600 214.920 ;
RECT 211.680 214.600 212.000 214.920 ;
RECT 212.080 214.600 212.400 214.920 ;
RECT 212.480 214.600 212.800 214.920 ;
RECT 212.880 214.600 213.200 214.920 ;
RECT 213.280 214.600 213.600 214.920 ;
RECT 213.680 214.600 214.000 214.920 ;
RECT 214.080 214.600 214.400 214.920 ;
RECT 214.480 214.600 214.800 214.920 ;
RECT 214.880 214.600 215.200 214.920 ;
RECT 215.280 214.600 215.600 214.920 ;
RECT 215.680 214.600 216.000 214.920 ;
RECT 216.080 214.600 216.400 214.920 ;
RECT 216.480 214.600 216.800 214.920 ;
RECT 216.880 214.600 217.200 214.920 ;
RECT 217.280 214.600 217.600 214.920 ;
RECT 217.680 214.600 218.000 214.920 ;
RECT 218.080 214.600 218.400 214.920 ;
RECT 218.480 214.600 218.800 214.920 ;
RECT 218.880 214.600 219.200 214.920 ;
RECT 219.280 214.600 219.600 214.920 ;
RECT 219.680 214.600 220.000 214.920 ;
RECT 220.080 214.600 220.400 214.920 ;
RECT 220.480 214.600 220.800 214.920 ;
RECT 220.880 214.600 221.200 214.920 ;
RECT 221.280 214.600 221.600 214.920 ;
RECT 221.680 214.600 222.000 214.920 ;
RECT 222.080 214.600 222.400 214.920 ;
RECT 222.480 214.600 222.800 214.920 ;
RECT 222.880 214.600 223.200 214.920 ;
RECT 223.280 214.600 223.600 214.920 ;
RECT 223.680 214.600 224.000 214.920 ;
RECT 224.080 214.600 224.400 214.920 ;
RECT 224.480 214.600 224.800 214.920 ;
RECT 224.880 214.600 225.200 214.920 ;
RECT 225.280 214.600 225.600 214.920 ;
RECT 225.680 214.600 226.000 214.920 ;
RECT 226.080 214.600 226.400 214.920 ;
RECT 226.480 214.600 226.800 214.920 ;
RECT 0.040 214.200 0.360 214.520 ;
RECT 0.440 214.200 0.760 214.520 ;
RECT 0.840 214.200 1.160 214.520 ;
RECT 1.240 214.200 1.560 214.520 ;
RECT 1.640 214.200 1.960 214.520 ;
RECT 2.040 214.200 2.360 214.520 ;
RECT 2.440 214.200 2.760 214.520 ;
RECT 2.840 214.200 3.160 214.520 ;
RECT 3.240 214.200 3.560 214.520 ;
RECT 3.640 214.200 3.960 214.520 ;
RECT 4.040 214.200 4.360 214.520 ;
RECT 4.440 214.200 4.760 214.520 ;
RECT 4.840 214.200 5.160 214.520 ;
RECT 5.240 214.200 5.560 214.520 ;
RECT 5.640 214.200 5.960 214.520 ;
RECT 6.040 214.200 6.360 214.520 ;
RECT 6.440 214.200 6.760 214.520 ;
RECT 6.840 214.200 7.160 214.520 ;
RECT 7.240 214.200 7.560 214.520 ;
RECT 7.640 214.200 7.960 214.520 ;
RECT 8.040 214.200 8.360 214.520 ;
RECT 8.440 214.200 8.760 214.520 ;
RECT 8.840 214.200 9.160 214.520 ;
RECT 9.240 214.200 9.560 214.520 ;
RECT 9.640 214.200 9.960 214.520 ;
RECT 10.040 214.200 10.360 214.520 ;
RECT 10.440 214.200 10.760 214.520 ;
RECT 10.840 214.200 11.160 214.520 ;
RECT 11.240 214.200 11.560 214.520 ;
RECT 11.640 214.200 11.960 214.520 ;
RECT 12.040 214.200 12.360 214.520 ;
RECT 12.440 214.200 12.760 214.520 ;
RECT 12.840 214.200 13.160 214.520 ;
RECT 13.240 214.200 13.560 214.520 ;
RECT 13.640 214.200 13.960 214.520 ;
RECT 14.040 214.200 14.360 214.520 ;
RECT 14.440 214.200 14.760 214.520 ;
RECT 14.840 214.200 15.160 214.520 ;
RECT 15.240 214.200 15.560 214.520 ;
RECT 15.640 214.200 15.960 214.520 ;
RECT 16.040 214.200 16.360 214.520 ;
RECT 16.440 214.200 16.760 214.520 ;
RECT 16.840 214.200 17.160 214.520 ;
RECT 17.240 214.200 17.560 214.520 ;
RECT 17.640 214.200 17.960 214.520 ;
RECT 18.040 214.200 18.360 214.520 ;
RECT 18.440 214.200 18.760 214.520 ;
RECT 18.840 214.200 19.160 214.520 ;
RECT 19.240 214.200 19.560 214.520 ;
RECT 19.640 214.200 19.960 214.520 ;
RECT 95.560 214.200 95.880 214.520 ;
RECT 95.960 214.200 96.280 214.520 ;
RECT 96.360 214.200 96.680 214.520 ;
RECT 96.760 214.200 97.080 214.520 ;
RECT 145.560 214.200 145.880 214.520 ;
RECT 145.960 214.200 146.280 214.520 ;
RECT 146.360 214.200 146.680 214.520 ;
RECT 146.760 214.200 147.080 214.520 ;
RECT 206.880 214.200 207.200 214.520 ;
RECT 207.280 214.200 207.600 214.520 ;
RECT 207.680 214.200 208.000 214.520 ;
RECT 208.080 214.200 208.400 214.520 ;
RECT 208.480 214.200 208.800 214.520 ;
RECT 208.880 214.200 209.200 214.520 ;
RECT 209.280 214.200 209.600 214.520 ;
RECT 209.680 214.200 210.000 214.520 ;
RECT 210.080 214.200 210.400 214.520 ;
RECT 210.480 214.200 210.800 214.520 ;
RECT 210.880 214.200 211.200 214.520 ;
RECT 211.280 214.200 211.600 214.520 ;
RECT 211.680 214.200 212.000 214.520 ;
RECT 212.080 214.200 212.400 214.520 ;
RECT 212.480 214.200 212.800 214.520 ;
RECT 212.880 214.200 213.200 214.520 ;
RECT 213.280 214.200 213.600 214.520 ;
RECT 213.680 214.200 214.000 214.520 ;
RECT 214.080 214.200 214.400 214.520 ;
RECT 214.480 214.200 214.800 214.520 ;
RECT 214.880 214.200 215.200 214.520 ;
RECT 215.280 214.200 215.600 214.520 ;
RECT 215.680 214.200 216.000 214.520 ;
RECT 216.080 214.200 216.400 214.520 ;
RECT 216.480 214.200 216.800 214.520 ;
RECT 216.880 214.200 217.200 214.520 ;
RECT 217.280 214.200 217.600 214.520 ;
RECT 217.680 214.200 218.000 214.520 ;
RECT 218.080 214.200 218.400 214.520 ;
RECT 218.480 214.200 218.800 214.520 ;
RECT 218.880 214.200 219.200 214.520 ;
RECT 219.280 214.200 219.600 214.520 ;
RECT 219.680 214.200 220.000 214.520 ;
RECT 220.080 214.200 220.400 214.520 ;
RECT 220.480 214.200 220.800 214.520 ;
RECT 220.880 214.200 221.200 214.520 ;
RECT 221.280 214.200 221.600 214.520 ;
RECT 221.680 214.200 222.000 214.520 ;
RECT 222.080 214.200 222.400 214.520 ;
RECT 222.480 214.200 222.800 214.520 ;
RECT 222.880 214.200 223.200 214.520 ;
RECT 223.280 214.200 223.600 214.520 ;
RECT 223.680 214.200 224.000 214.520 ;
RECT 224.080 214.200 224.400 214.520 ;
RECT 224.480 214.200 224.800 214.520 ;
RECT 224.880 214.200 225.200 214.520 ;
RECT 225.280 214.200 225.600 214.520 ;
RECT 225.680 214.200 226.000 214.520 ;
RECT 226.080 214.200 226.400 214.520 ;
RECT 226.480 214.200 226.800 214.520 ;
RECT 0.040 213.800 0.360 214.120 ;
RECT 0.440 213.800 0.760 214.120 ;
RECT 0.840 213.800 1.160 214.120 ;
RECT 1.240 213.800 1.560 214.120 ;
RECT 1.640 213.800 1.960 214.120 ;
RECT 2.040 213.800 2.360 214.120 ;
RECT 2.440 213.800 2.760 214.120 ;
RECT 2.840 213.800 3.160 214.120 ;
RECT 3.240 213.800 3.560 214.120 ;
RECT 3.640 213.800 3.960 214.120 ;
RECT 4.040 213.800 4.360 214.120 ;
RECT 4.440 213.800 4.760 214.120 ;
RECT 4.840 213.800 5.160 214.120 ;
RECT 5.240 213.800 5.560 214.120 ;
RECT 5.640 213.800 5.960 214.120 ;
RECT 6.040 213.800 6.360 214.120 ;
RECT 6.440 213.800 6.760 214.120 ;
RECT 6.840 213.800 7.160 214.120 ;
RECT 7.240 213.800 7.560 214.120 ;
RECT 7.640 213.800 7.960 214.120 ;
RECT 8.040 213.800 8.360 214.120 ;
RECT 8.440 213.800 8.760 214.120 ;
RECT 8.840 213.800 9.160 214.120 ;
RECT 9.240 213.800 9.560 214.120 ;
RECT 9.640 213.800 9.960 214.120 ;
RECT 10.040 213.800 10.360 214.120 ;
RECT 10.440 213.800 10.760 214.120 ;
RECT 10.840 213.800 11.160 214.120 ;
RECT 11.240 213.800 11.560 214.120 ;
RECT 11.640 213.800 11.960 214.120 ;
RECT 12.040 213.800 12.360 214.120 ;
RECT 12.440 213.800 12.760 214.120 ;
RECT 12.840 213.800 13.160 214.120 ;
RECT 13.240 213.800 13.560 214.120 ;
RECT 13.640 213.800 13.960 214.120 ;
RECT 14.040 213.800 14.360 214.120 ;
RECT 14.440 213.800 14.760 214.120 ;
RECT 14.840 213.800 15.160 214.120 ;
RECT 15.240 213.800 15.560 214.120 ;
RECT 15.640 213.800 15.960 214.120 ;
RECT 16.040 213.800 16.360 214.120 ;
RECT 16.440 213.800 16.760 214.120 ;
RECT 16.840 213.800 17.160 214.120 ;
RECT 17.240 213.800 17.560 214.120 ;
RECT 17.640 213.800 17.960 214.120 ;
RECT 18.040 213.800 18.360 214.120 ;
RECT 18.440 213.800 18.760 214.120 ;
RECT 18.840 213.800 19.160 214.120 ;
RECT 19.240 213.800 19.560 214.120 ;
RECT 19.640 213.800 19.960 214.120 ;
RECT 95.560 213.800 95.880 214.120 ;
RECT 95.960 213.800 96.280 214.120 ;
RECT 96.360 213.800 96.680 214.120 ;
RECT 96.760 213.800 97.080 214.120 ;
RECT 145.560 213.800 145.880 214.120 ;
RECT 145.960 213.800 146.280 214.120 ;
RECT 146.360 213.800 146.680 214.120 ;
RECT 146.760 213.800 147.080 214.120 ;
RECT 206.880 213.800 207.200 214.120 ;
RECT 207.280 213.800 207.600 214.120 ;
RECT 207.680 213.800 208.000 214.120 ;
RECT 208.080 213.800 208.400 214.120 ;
RECT 208.480 213.800 208.800 214.120 ;
RECT 208.880 213.800 209.200 214.120 ;
RECT 209.280 213.800 209.600 214.120 ;
RECT 209.680 213.800 210.000 214.120 ;
RECT 210.080 213.800 210.400 214.120 ;
RECT 210.480 213.800 210.800 214.120 ;
RECT 210.880 213.800 211.200 214.120 ;
RECT 211.280 213.800 211.600 214.120 ;
RECT 211.680 213.800 212.000 214.120 ;
RECT 212.080 213.800 212.400 214.120 ;
RECT 212.480 213.800 212.800 214.120 ;
RECT 212.880 213.800 213.200 214.120 ;
RECT 213.280 213.800 213.600 214.120 ;
RECT 213.680 213.800 214.000 214.120 ;
RECT 214.080 213.800 214.400 214.120 ;
RECT 214.480 213.800 214.800 214.120 ;
RECT 214.880 213.800 215.200 214.120 ;
RECT 215.280 213.800 215.600 214.120 ;
RECT 215.680 213.800 216.000 214.120 ;
RECT 216.080 213.800 216.400 214.120 ;
RECT 216.480 213.800 216.800 214.120 ;
RECT 216.880 213.800 217.200 214.120 ;
RECT 217.280 213.800 217.600 214.120 ;
RECT 217.680 213.800 218.000 214.120 ;
RECT 218.080 213.800 218.400 214.120 ;
RECT 218.480 213.800 218.800 214.120 ;
RECT 218.880 213.800 219.200 214.120 ;
RECT 219.280 213.800 219.600 214.120 ;
RECT 219.680 213.800 220.000 214.120 ;
RECT 220.080 213.800 220.400 214.120 ;
RECT 220.480 213.800 220.800 214.120 ;
RECT 220.880 213.800 221.200 214.120 ;
RECT 221.280 213.800 221.600 214.120 ;
RECT 221.680 213.800 222.000 214.120 ;
RECT 222.080 213.800 222.400 214.120 ;
RECT 222.480 213.800 222.800 214.120 ;
RECT 222.880 213.800 223.200 214.120 ;
RECT 223.280 213.800 223.600 214.120 ;
RECT 223.680 213.800 224.000 214.120 ;
RECT 224.080 213.800 224.400 214.120 ;
RECT 224.480 213.800 224.800 214.120 ;
RECT 224.880 213.800 225.200 214.120 ;
RECT 225.280 213.800 225.600 214.120 ;
RECT 225.680 213.800 226.000 214.120 ;
RECT 226.080 213.800 226.400 214.120 ;
RECT 226.480 213.800 226.800 214.120 ;
RECT 0.040 213.400 0.360 213.720 ;
RECT 0.440 213.400 0.760 213.720 ;
RECT 0.840 213.400 1.160 213.720 ;
RECT 1.240 213.400 1.560 213.720 ;
RECT 1.640 213.400 1.960 213.720 ;
RECT 2.040 213.400 2.360 213.720 ;
RECT 2.440 213.400 2.760 213.720 ;
RECT 2.840 213.400 3.160 213.720 ;
RECT 3.240 213.400 3.560 213.720 ;
RECT 3.640 213.400 3.960 213.720 ;
RECT 4.040 213.400 4.360 213.720 ;
RECT 4.440 213.400 4.760 213.720 ;
RECT 4.840 213.400 5.160 213.720 ;
RECT 5.240 213.400 5.560 213.720 ;
RECT 5.640 213.400 5.960 213.720 ;
RECT 6.040 213.400 6.360 213.720 ;
RECT 6.440 213.400 6.760 213.720 ;
RECT 6.840 213.400 7.160 213.720 ;
RECT 7.240 213.400 7.560 213.720 ;
RECT 7.640 213.400 7.960 213.720 ;
RECT 8.040 213.400 8.360 213.720 ;
RECT 8.440 213.400 8.760 213.720 ;
RECT 8.840 213.400 9.160 213.720 ;
RECT 9.240 213.400 9.560 213.720 ;
RECT 9.640 213.400 9.960 213.720 ;
RECT 10.040 213.400 10.360 213.720 ;
RECT 10.440 213.400 10.760 213.720 ;
RECT 10.840 213.400 11.160 213.720 ;
RECT 11.240 213.400 11.560 213.720 ;
RECT 11.640 213.400 11.960 213.720 ;
RECT 12.040 213.400 12.360 213.720 ;
RECT 12.440 213.400 12.760 213.720 ;
RECT 12.840 213.400 13.160 213.720 ;
RECT 13.240 213.400 13.560 213.720 ;
RECT 13.640 213.400 13.960 213.720 ;
RECT 14.040 213.400 14.360 213.720 ;
RECT 14.440 213.400 14.760 213.720 ;
RECT 14.840 213.400 15.160 213.720 ;
RECT 15.240 213.400 15.560 213.720 ;
RECT 15.640 213.400 15.960 213.720 ;
RECT 16.040 213.400 16.360 213.720 ;
RECT 16.440 213.400 16.760 213.720 ;
RECT 16.840 213.400 17.160 213.720 ;
RECT 17.240 213.400 17.560 213.720 ;
RECT 17.640 213.400 17.960 213.720 ;
RECT 18.040 213.400 18.360 213.720 ;
RECT 18.440 213.400 18.760 213.720 ;
RECT 18.840 213.400 19.160 213.720 ;
RECT 19.240 213.400 19.560 213.720 ;
RECT 19.640 213.400 19.960 213.720 ;
RECT 95.560 213.400 95.880 213.720 ;
RECT 95.960 213.400 96.280 213.720 ;
RECT 96.360 213.400 96.680 213.720 ;
RECT 96.760 213.400 97.080 213.720 ;
RECT 145.560 213.400 145.880 213.720 ;
RECT 145.960 213.400 146.280 213.720 ;
RECT 146.360 213.400 146.680 213.720 ;
RECT 146.760 213.400 147.080 213.720 ;
RECT 206.880 213.400 207.200 213.720 ;
RECT 207.280 213.400 207.600 213.720 ;
RECT 207.680 213.400 208.000 213.720 ;
RECT 208.080 213.400 208.400 213.720 ;
RECT 208.480 213.400 208.800 213.720 ;
RECT 208.880 213.400 209.200 213.720 ;
RECT 209.280 213.400 209.600 213.720 ;
RECT 209.680 213.400 210.000 213.720 ;
RECT 210.080 213.400 210.400 213.720 ;
RECT 210.480 213.400 210.800 213.720 ;
RECT 210.880 213.400 211.200 213.720 ;
RECT 211.280 213.400 211.600 213.720 ;
RECT 211.680 213.400 212.000 213.720 ;
RECT 212.080 213.400 212.400 213.720 ;
RECT 212.480 213.400 212.800 213.720 ;
RECT 212.880 213.400 213.200 213.720 ;
RECT 213.280 213.400 213.600 213.720 ;
RECT 213.680 213.400 214.000 213.720 ;
RECT 214.080 213.400 214.400 213.720 ;
RECT 214.480 213.400 214.800 213.720 ;
RECT 214.880 213.400 215.200 213.720 ;
RECT 215.280 213.400 215.600 213.720 ;
RECT 215.680 213.400 216.000 213.720 ;
RECT 216.080 213.400 216.400 213.720 ;
RECT 216.480 213.400 216.800 213.720 ;
RECT 216.880 213.400 217.200 213.720 ;
RECT 217.280 213.400 217.600 213.720 ;
RECT 217.680 213.400 218.000 213.720 ;
RECT 218.080 213.400 218.400 213.720 ;
RECT 218.480 213.400 218.800 213.720 ;
RECT 218.880 213.400 219.200 213.720 ;
RECT 219.280 213.400 219.600 213.720 ;
RECT 219.680 213.400 220.000 213.720 ;
RECT 220.080 213.400 220.400 213.720 ;
RECT 220.480 213.400 220.800 213.720 ;
RECT 220.880 213.400 221.200 213.720 ;
RECT 221.280 213.400 221.600 213.720 ;
RECT 221.680 213.400 222.000 213.720 ;
RECT 222.080 213.400 222.400 213.720 ;
RECT 222.480 213.400 222.800 213.720 ;
RECT 222.880 213.400 223.200 213.720 ;
RECT 223.280 213.400 223.600 213.720 ;
RECT 223.680 213.400 224.000 213.720 ;
RECT 224.080 213.400 224.400 213.720 ;
RECT 224.480 213.400 224.800 213.720 ;
RECT 224.880 213.400 225.200 213.720 ;
RECT 225.280 213.400 225.600 213.720 ;
RECT 225.680 213.400 226.000 213.720 ;
RECT 226.080 213.400 226.400 213.720 ;
RECT 226.480 213.400 226.800 213.720 ;
RECT 0.040 213.000 0.360 213.320 ;
RECT 0.440 213.000 0.760 213.320 ;
RECT 0.840 213.000 1.160 213.320 ;
RECT 1.240 213.000 1.560 213.320 ;
RECT 1.640 213.000 1.960 213.320 ;
RECT 2.040 213.000 2.360 213.320 ;
RECT 2.440 213.000 2.760 213.320 ;
RECT 2.840 213.000 3.160 213.320 ;
RECT 3.240 213.000 3.560 213.320 ;
RECT 3.640 213.000 3.960 213.320 ;
RECT 4.040 213.000 4.360 213.320 ;
RECT 4.440 213.000 4.760 213.320 ;
RECT 4.840 213.000 5.160 213.320 ;
RECT 5.240 213.000 5.560 213.320 ;
RECT 5.640 213.000 5.960 213.320 ;
RECT 6.040 213.000 6.360 213.320 ;
RECT 6.440 213.000 6.760 213.320 ;
RECT 6.840 213.000 7.160 213.320 ;
RECT 7.240 213.000 7.560 213.320 ;
RECT 7.640 213.000 7.960 213.320 ;
RECT 8.040 213.000 8.360 213.320 ;
RECT 8.440 213.000 8.760 213.320 ;
RECT 8.840 213.000 9.160 213.320 ;
RECT 9.240 213.000 9.560 213.320 ;
RECT 9.640 213.000 9.960 213.320 ;
RECT 10.040 213.000 10.360 213.320 ;
RECT 10.440 213.000 10.760 213.320 ;
RECT 10.840 213.000 11.160 213.320 ;
RECT 11.240 213.000 11.560 213.320 ;
RECT 11.640 213.000 11.960 213.320 ;
RECT 12.040 213.000 12.360 213.320 ;
RECT 12.440 213.000 12.760 213.320 ;
RECT 12.840 213.000 13.160 213.320 ;
RECT 13.240 213.000 13.560 213.320 ;
RECT 13.640 213.000 13.960 213.320 ;
RECT 14.040 213.000 14.360 213.320 ;
RECT 14.440 213.000 14.760 213.320 ;
RECT 14.840 213.000 15.160 213.320 ;
RECT 15.240 213.000 15.560 213.320 ;
RECT 15.640 213.000 15.960 213.320 ;
RECT 16.040 213.000 16.360 213.320 ;
RECT 16.440 213.000 16.760 213.320 ;
RECT 16.840 213.000 17.160 213.320 ;
RECT 17.240 213.000 17.560 213.320 ;
RECT 17.640 213.000 17.960 213.320 ;
RECT 18.040 213.000 18.360 213.320 ;
RECT 18.440 213.000 18.760 213.320 ;
RECT 18.840 213.000 19.160 213.320 ;
RECT 19.240 213.000 19.560 213.320 ;
RECT 19.640 213.000 19.960 213.320 ;
RECT 95.560 213.000 95.880 213.320 ;
RECT 95.960 213.000 96.280 213.320 ;
RECT 96.360 213.000 96.680 213.320 ;
RECT 96.760 213.000 97.080 213.320 ;
RECT 145.560 213.000 145.880 213.320 ;
RECT 145.960 213.000 146.280 213.320 ;
RECT 146.360 213.000 146.680 213.320 ;
RECT 146.760 213.000 147.080 213.320 ;
RECT 206.880 213.000 207.200 213.320 ;
RECT 207.280 213.000 207.600 213.320 ;
RECT 207.680 213.000 208.000 213.320 ;
RECT 208.080 213.000 208.400 213.320 ;
RECT 208.480 213.000 208.800 213.320 ;
RECT 208.880 213.000 209.200 213.320 ;
RECT 209.280 213.000 209.600 213.320 ;
RECT 209.680 213.000 210.000 213.320 ;
RECT 210.080 213.000 210.400 213.320 ;
RECT 210.480 213.000 210.800 213.320 ;
RECT 210.880 213.000 211.200 213.320 ;
RECT 211.280 213.000 211.600 213.320 ;
RECT 211.680 213.000 212.000 213.320 ;
RECT 212.080 213.000 212.400 213.320 ;
RECT 212.480 213.000 212.800 213.320 ;
RECT 212.880 213.000 213.200 213.320 ;
RECT 213.280 213.000 213.600 213.320 ;
RECT 213.680 213.000 214.000 213.320 ;
RECT 214.080 213.000 214.400 213.320 ;
RECT 214.480 213.000 214.800 213.320 ;
RECT 214.880 213.000 215.200 213.320 ;
RECT 215.280 213.000 215.600 213.320 ;
RECT 215.680 213.000 216.000 213.320 ;
RECT 216.080 213.000 216.400 213.320 ;
RECT 216.480 213.000 216.800 213.320 ;
RECT 216.880 213.000 217.200 213.320 ;
RECT 217.280 213.000 217.600 213.320 ;
RECT 217.680 213.000 218.000 213.320 ;
RECT 218.080 213.000 218.400 213.320 ;
RECT 218.480 213.000 218.800 213.320 ;
RECT 218.880 213.000 219.200 213.320 ;
RECT 219.280 213.000 219.600 213.320 ;
RECT 219.680 213.000 220.000 213.320 ;
RECT 220.080 213.000 220.400 213.320 ;
RECT 220.480 213.000 220.800 213.320 ;
RECT 220.880 213.000 221.200 213.320 ;
RECT 221.280 213.000 221.600 213.320 ;
RECT 221.680 213.000 222.000 213.320 ;
RECT 222.080 213.000 222.400 213.320 ;
RECT 222.480 213.000 222.800 213.320 ;
RECT 222.880 213.000 223.200 213.320 ;
RECT 223.280 213.000 223.600 213.320 ;
RECT 223.680 213.000 224.000 213.320 ;
RECT 224.080 213.000 224.400 213.320 ;
RECT 224.480 213.000 224.800 213.320 ;
RECT 224.880 213.000 225.200 213.320 ;
RECT 225.280 213.000 225.600 213.320 ;
RECT 225.680 213.000 226.000 213.320 ;
RECT 226.080 213.000 226.400 213.320 ;
RECT 226.480 213.000 226.800 213.320 ;
RECT 0.040 212.600 0.360 212.920 ;
RECT 0.440 212.600 0.760 212.920 ;
RECT 0.840 212.600 1.160 212.920 ;
RECT 1.240 212.600 1.560 212.920 ;
RECT 1.640 212.600 1.960 212.920 ;
RECT 2.040 212.600 2.360 212.920 ;
RECT 2.440 212.600 2.760 212.920 ;
RECT 2.840 212.600 3.160 212.920 ;
RECT 3.240 212.600 3.560 212.920 ;
RECT 3.640 212.600 3.960 212.920 ;
RECT 4.040 212.600 4.360 212.920 ;
RECT 4.440 212.600 4.760 212.920 ;
RECT 4.840 212.600 5.160 212.920 ;
RECT 5.240 212.600 5.560 212.920 ;
RECT 5.640 212.600 5.960 212.920 ;
RECT 6.040 212.600 6.360 212.920 ;
RECT 6.440 212.600 6.760 212.920 ;
RECT 6.840 212.600 7.160 212.920 ;
RECT 7.240 212.600 7.560 212.920 ;
RECT 7.640 212.600 7.960 212.920 ;
RECT 8.040 212.600 8.360 212.920 ;
RECT 8.440 212.600 8.760 212.920 ;
RECT 8.840 212.600 9.160 212.920 ;
RECT 9.240 212.600 9.560 212.920 ;
RECT 9.640 212.600 9.960 212.920 ;
RECT 10.040 212.600 10.360 212.920 ;
RECT 10.440 212.600 10.760 212.920 ;
RECT 10.840 212.600 11.160 212.920 ;
RECT 11.240 212.600 11.560 212.920 ;
RECT 11.640 212.600 11.960 212.920 ;
RECT 12.040 212.600 12.360 212.920 ;
RECT 12.440 212.600 12.760 212.920 ;
RECT 12.840 212.600 13.160 212.920 ;
RECT 13.240 212.600 13.560 212.920 ;
RECT 13.640 212.600 13.960 212.920 ;
RECT 14.040 212.600 14.360 212.920 ;
RECT 14.440 212.600 14.760 212.920 ;
RECT 14.840 212.600 15.160 212.920 ;
RECT 15.240 212.600 15.560 212.920 ;
RECT 15.640 212.600 15.960 212.920 ;
RECT 16.040 212.600 16.360 212.920 ;
RECT 16.440 212.600 16.760 212.920 ;
RECT 16.840 212.600 17.160 212.920 ;
RECT 17.240 212.600 17.560 212.920 ;
RECT 17.640 212.600 17.960 212.920 ;
RECT 18.040 212.600 18.360 212.920 ;
RECT 18.440 212.600 18.760 212.920 ;
RECT 18.840 212.600 19.160 212.920 ;
RECT 19.240 212.600 19.560 212.920 ;
RECT 19.640 212.600 19.960 212.920 ;
RECT 95.560 212.600 95.880 212.920 ;
RECT 95.960 212.600 96.280 212.920 ;
RECT 96.360 212.600 96.680 212.920 ;
RECT 96.760 212.600 97.080 212.920 ;
RECT 145.560 212.600 145.880 212.920 ;
RECT 145.960 212.600 146.280 212.920 ;
RECT 146.360 212.600 146.680 212.920 ;
RECT 146.760 212.600 147.080 212.920 ;
RECT 206.880 212.600 207.200 212.920 ;
RECT 207.280 212.600 207.600 212.920 ;
RECT 207.680 212.600 208.000 212.920 ;
RECT 208.080 212.600 208.400 212.920 ;
RECT 208.480 212.600 208.800 212.920 ;
RECT 208.880 212.600 209.200 212.920 ;
RECT 209.280 212.600 209.600 212.920 ;
RECT 209.680 212.600 210.000 212.920 ;
RECT 210.080 212.600 210.400 212.920 ;
RECT 210.480 212.600 210.800 212.920 ;
RECT 210.880 212.600 211.200 212.920 ;
RECT 211.280 212.600 211.600 212.920 ;
RECT 211.680 212.600 212.000 212.920 ;
RECT 212.080 212.600 212.400 212.920 ;
RECT 212.480 212.600 212.800 212.920 ;
RECT 212.880 212.600 213.200 212.920 ;
RECT 213.280 212.600 213.600 212.920 ;
RECT 213.680 212.600 214.000 212.920 ;
RECT 214.080 212.600 214.400 212.920 ;
RECT 214.480 212.600 214.800 212.920 ;
RECT 214.880 212.600 215.200 212.920 ;
RECT 215.280 212.600 215.600 212.920 ;
RECT 215.680 212.600 216.000 212.920 ;
RECT 216.080 212.600 216.400 212.920 ;
RECT 216.480 212.600 216.800 212.920 ;
RECT 216.880 212.600 217.200 212.920 ;
RECT 217.280 212.600 217.600 212.920 ;
RECT 217.680 212.600 218.000 212.920 ;
RECT 218.080 212.600 218.400 212.920 ;
RECT 218.480 212.600 218.800 212.920 ;
RECT 218.880 212.600 219.200 212.920 ;
RECT 219.280 212.600 219.600 212.920 ;
RECT 219.680 212.600 220.000 212.920 ;
RECT 220.080 212.600 220.400 212.920 ;
RECT 220.480 212.600 220.800 212.920 ;
RECT 220.880 212.600 221.200 212.920 ;
RECT 221.280 212.600 221.600 212.920 ;
RECT 221.680 212.600 222.000 212.920 ;
RECT 222.080 212.600 222.400 212.920 ;
RECT 222.480 212.600 222.800 212.920 ;
RECT 222.880 212.600 223.200 212.920 ;
RECT 223.280 212.600 223.600 212.920 ;
RECT 223.680 212.600 224.000 212.920 ;
RECT 224.080 212.600 224.400 212.920 ;
RECT 224.480 212.600 224.800 212.920 ;
RECT 224.880 212.600 225.200 212.920 ;
RECT 225.280 212.600 225.600 212.920 ;
RECT 225.680 212.600 226.000 212.920 ;
RECT 226.080 212.600 226.400 212.920 ;
RECT 226.480 212.600 226.800 212.920 ;
RECT 0.040 212.200 0.360 212.520 ;
RECT 0.440 212.200 0.760 212.520 ;
RECT 0.840 212.200 1.160 212.520 ;
RECT 1.240 212.200 1.560 212.520 ;
RECT 1.640 212.200 1.960 212.520 ;
RECT 2.040 212.200 2.360 212.520 ;
RECT 2.440 212.200 2.760 212.520 ;
RECT 2.840 212.200 3.160 212.520 ;
RECT 3.240 212.200 3.560 212.520 ;
RECT 3.640 212.200 3.960 212.520 ;
RECT 4.040 212.200 4.360 212.520 ;
RECT 4.440 212.200 4.760 212.520 ;
RECT 4.840 212.200 5.160 212.520 ;
RECT 5.240 212.200 5.560 212.520 ;
RECT 5.640 212.200 5.960 212.520 ;
RECT 6.040 212.200 6.360 212.520 ;
RECT 6.440 212.200 6.760 212.520 ;
RECT 6.840 212.200 7.160 212.520 ;
RECT 7.240 212.200 7.560 212.520 ;
RECT 7.640 212.200 7.960 212.520 ;
RECT 8.040 212.200 8.360 212.520 ;
RECT 8.440 212.200 8.760 212.520 ;
RECT 8.840 212.200 9.160 212.520 ;
RECT 9.240 212.200 9.560 212.520 ;
RECT 9.640 212.200 9.960 212.520 ;
RECT 10.040 212.200 10.360 212.520 ;
RECT 10.440 212.200 10.760 212.520 ;
RECT 10.840 212.200 11.160 212.520 ;
RECT 11.240 212.200 11.560 212.520 ;
RECT 11.640 212.200 11.960 212.520 ;
RECT 12.040 212.200 12.360 212.520 ;
RECT 12.440 212.200 12.760 212.520 ;
RECT 12.840 212.200 13.160 212.520 ;
RECT 13.240 212.200 13.560 212.520 ;
RECT 13.640 212.200 13.960 212.520 ;
RECT 14.040 212.200 14.360 212.520 ;
RECT 14.440 212.200 14.760 212.520 ;
RECT 14.840 212.200 15.160 212.520 ;
RECT 15.240 212.200 15.560 212.520 ;
RECT 15.640 212.200 15.960 212.520 ;
RECT 16.040 212.200 16.360 212.520 ;
RECT 16.440 212.200 16.760 212.520 ;
RECT 16.840 212.200 17.160 212.520 ;
RECT 17.240 212.200 17.560 212.520 ;
RECT 17.640 212.200 17.960 212.520 ;
RECT 18.040 212.200 18.360 212.520 ;
RECT 18.440 212.200 18.760 212.520 ;
RECT 18.840 212.200 19.160 212.520 ;
RECT 19.240 212.200 19.560 212.520 ;
RECT 19.640 212.200 19.960 212.520 ;
RECT 95.560 212.200 95.880 212.520 ;
RECT 95.960 212.200 96.280 212.520 ;
RECT 96.360 212.200 96.680 212.520 ;
RECT 96.760 212.200 97.080 212.520 ;
RECT 145.560 212.200 145.880 212.520 ;
RECT 145.960 212.200 146.280 212.520 ;
RECT 146.360 212.200 146.680 212.520 ;
RECT 146.760 212.200 147.080 212.520 ;
RECT 206.880 212.200 207.200 212.520 ;
RECT 207.280 212.200 207.600 212.520 ;
RECT 207.680 212.200 208.000 212.520 ;
RECT 208.080 212.200 208.400 212.520 ;
RECT 208.480 212.200 208.800 212.520 ;
RECT 208.880 212.200 209.200 212.520 ;
RECT 209.280 212.200 209.600 212.520 ;
RECT 209.680 212.200 210.000 212.520 ;
RECT 210.080 212.200 210.400 212.520 ;
RECT 210.480 212.200 210.800 212.520 ;
RECT 210.880 212.200 211.200 212.520 ;
RECT 211.280 212.200 211.600 212.520 ;
RECT 211.680 212.200 212.000 212.520 ;
RECT 212.080 212.200 212.400 212.520 ;
RECT 212.480 212.200 212.800 212.520 ;
RECT 212.880 212.200 213.200 212.520 ;
RECT 213.280 212.200 213.600 212.520 ;
RECT 213.680 212.200 214.000 212.520 ;
RECT 214.080 212.200 214.400 212.520 ;
RECT 214.480 212.200 214.800 212.520 ;
RECT 214.880 212.200 215.200 212.520 ;
RECT 215.280 212.200 215.600 212.520 ;
RECT 215.680 212.200 216.000 212.520 ;
RECT 216.080 212.200 216.400 212.520 ;
RECT 216.480 212.200 216.800 212.520 ;
RECT 216.880 212.200 217.200 212.520 ;
RECT 217.280 212.200 217.600 212.520 ;
RECT 217.680 212.200 218.000 212.520 ;
RECT 218.080 212.200 218.400 212.520 ;
RECT 218.480 212.200 218.800 212.520 ;
RECT 218.880 212.200 219.200 212.520 ;
RECT 219.280 212.200 219.600 212.520 ;
RECT 219.680 212.200 220.000 212.520 ;
RECT 220.080 212.200 220.400 212.520 ;
RECT 220.480 212.200 220.800 212.520 ;
RECT 220.880 212.200 221.200 212.520 ;
RECT 221.280 212.200 221.600 212.520 ;
RECT 221.680 212.200 222.000 212.520 ;
RECT 222.080 212.200 222.400 212.520 ;
RECT 222.480 212.200 222.800 212.520 ;
RECT 222.880 212.200 223.200 212.520 ;
RECT 223.280 212.200 223.600 212.520 ;
RECT 223.680 212.200 224.000 212.520 ;
RECT 224.080 212.200 224.400 212.520 ;
RECT 224.480 212.200 224.800 212.520 ;
RECT 224.880 212.200 225.200 212.520 ;
RECT 225.280 212.200 225.600 212.520 ;
RECT 225.680 212.200 226.000 212.520 ;
RECT 226.080 212.200 226.400 212.520 ;
RECT 226.480 212.200 226.800 212.520 ;
RECT 0.040 211.800 0.360 212.120 ;
RECT 0.440 211.800 0.760 212.120 ;
RECT 0.840 211.800 1.160 212.120 ;
RECT 1.240 211.800 1.560 212.120 ;
RECT 1.640 211.800 1.960 212.120 ;
RECT 2.040 211.800 2.360 212.120 ;
RECT 2.440 211.800 2.760 212.120 ;
RECT 2.840 211.800 3.160 212.120 ;
RECT 3.240 211.800 3.560 212.120 ;
RECT 3.640 211.800 3.960 212.120 ;
RECT 4.040 211.800 4.360 212.120 ;
RECT 4.440 211.800 4.760 212.120 ;
RECT 4.840 211.800 5.160 212.120 ;
RECT 5.240 211.800 5.560 212.120 ;
RECT 5.640 211.800 5.960 212.120 ;
RECT 6.040 211.800 6.360 212.120 ;
RECT 6.440 211.800 6.760 212.120 ;
RECT 6.840 211.800 7.160 212.120 ;
RECT 7.240 211.800 7.560 212.120 ;
RECT 7.640 211.800 7.960 212.120 ;
RECT 8.040 211.800 8.360 212.120 ;
RECT 8.440 211.800 8.760 212.120 ;
RECT 8.840 211.800 9.160 212.120 ;
RECT 9.240 211.800 9.560 212.120 ;
RECT 9.640 211.800 9.960 212.120 ;
RECT 10.040 211.800 10.360 212.120 ;
RECT 10.440 211.800 10.760 212.120 ;
RECT 10.840 211.800 11.160 212.120 ;
RECT 11.240 211.800 11.560 212.120 ;
RECT 11.640 211.800 11.960 212.120 ;
RECT 12.040 211.800 12.360 212.120 ;
RECT 12.440 211.800 12.760 212.120 ;
RECT 12.840 211.800 13.160 212.120 ;
RECT 13.240 211.800 13.560 212.120 ;
RECT 13.640 211.800 13.960 212.120 ;
RECT 14.040 211.800 14.360 212.120 ;
RECT 14.440 211.800 14.760 212.120 ;
RECT 14.840 211.800 15.160 212.120 ;
RECT 15.240 211.800 15.560 212.120 ;
RECT 15.640 211.800 15.960 212.120 ;
RECT 16.040 211.800 16.360 212.120 ;
RECT 16.440 211.800 16.760 212.120 ;
RECT 16.840 211.800 17.160 212.120 ;
RECT 17.240 211.800 17.560 212.120 ;
RECT 17.640 211.800 17.960 212.120 ;
RECT 18.040 211.800 18.360 212.120 ;
RECT 18.440 211.800 18.760 212.120 ;
RECT 18.840 211.800 19.160 212.120 ;
RECT 19.240 211.800 19.560 212.120 ;
RECT 19.640 211.800 19.960 212.120 ;
RECT 95.560 211.800 95.880 212.120 ;
RECT 95.960 211.800 96.280 212.120 ;
RECT 96.360 211.800 96.680 212.120 ;
RECT 96.760 211.800 97.080 212.120 ;
RECT 145.560 211.800 145.880 212.120 ;
RECT 145.960 211.800 146.280 212.120 ;
RECT 146.360 211.800 146.680 212.120 ;
RECT 146.760 211.800 147.080 212.120 ;
RECT 206.880 211.800 207.200 212.120 ;
RECT 207.280 211.800 207.600 212.120 ;
RECT 207.680 211.800 208.000 212.120 ;
RECT 208.080 211.800 208.400 212.120 ;
RECT 208.480 211.800 208.800 212.120 ;
RECT 208.880 211.800 209.200 212.120 ;
RECT 209.280 211.800 209.600 212.120 ;
RECT 209.680 211.800 210.000 212.120 ;
RECT 210.080 211.800 210.400 212.120 ;
RECT 210.480 211.800 210.800 212.120 ;
RECT 210.880 211.800 211.200 212.120 ;
RECT 211.280 211.800 211.600 212.120 ;
RECT 211.680 211.800 212.000 212.120 ;
RECT 212.080 211.800 212.400 212.120 ;
RECT 212.480 211.800 212.800 212.120 ;
RECT 212.880 211.800 213.200 212.120 ;
RECT 213.280 211.800 213.600 212.120 ;
RECT 213.680 211.800 214.000 212.120 ;
RECT 214.080 211.800 214.400 212.120 ;
RECT 214.480 211.800 214.800 212.120 ;
RECT 214.880 211.800 215.200 212.120 ;
RECT 215.280 211.800 215.600 212.120 ;
RECT 215.680 211.800 216.000 212.120 ;
RECT 216.080 211.800 216.400 212.120 ;
RECT 216.480 211.800 216.800 212.120 ;
RECT 216.880 211.800 217.200 212.120 ;
RECT 217.280 211.800 217.600 212.120 ;
RECT 217.680 211.800 218.000 212.120 ;
RECT 218.080 211.800 218.400 212.120 ;
RECT 218.480 211.800 218.800 212.120 ;
RECT 218.880 211.800 219.200 212.120 ;
RECT 219.280 211.800 219.600 212.120 ;
RECT 219.680 211.800 220.000 212.120 ;
RECT 220.080 211.800 220.400 212.120 ;
RECT 220.480 211.800 220.800 212.120 ;
RECT 220.880 211.800 221.200 212.120 ;
RECT 221.280 211.800 221.600 212.120 ;
RECT 221.680 211.800 222.000 212.120 ;
RECT 222.080 211.800 222.400 212.120 ;
RECT 222.480 211.800 222.800 212.120 ;
RECT 222.880 211.800 223.200 212.120 ;
RECT 223.280 211.800 223.600 212.120 ;
RECT 223.680 211.800 224.000 212.120 ;
RECT 224.080 211.800 224.400 212.120 ;
RECT 224.480 211.800 224.800 212.120 ;
RECT 224.880 211.800 225.200 212.120 ;
RECT 225.280 211.800 225.600 212.120 ;
RECT 225.680 211.800 226.000 212.120 ;
RECT 226.080 211.800 226.400 212.120 ;
RECT 226.480 211.800 226.800 212.120 ;
RECT 0.040 211.400 0.360 211.720 ;
RECT 0.440 211.400 0.760 211.720 ;
RECT 0.840 211.400 1.160 211.720 ;
RECT 1.240 211.400 1.560 211.720 ;
RECT 1.640 211.400 1.960 211.720 ;
RECT 2.040 211.400 2.360 211.720 ;
RECT 2.440 211.400 2.760 211.720 ;
RECT 2.840 211.400 3.160 211.720 ;
RECT 3.240 211.400 3.560 211.720 ;
RECT 3.640 211.400 3.960 211.720 ;
RECT 4.040 211.400 4.360 211.720 ;
RECT 4.440 211.400 4.760 211.720 ;
RECT 4.840 211.400 5.160 211.720 ;
RECT 5.240 211.400 5.560 211.720 ;
RECT 5.640 211.400 5.960 211.720 ;
RECT 6.040 211.400 6.360 211.720 ;
RECT 6.440 211.400 6.760 211.720 ;
RECT 6.840 211.400 7.160 211.720 ;
RECT 7.240 211.400 7.560 211.720 ;
RECT 7.640 211.400 7.960 211.720 ;
RECT 8.040 211.400 8.360 211.720 ;
RECT 8.440 211.400 8.760 211.720 ;
RECT 8.840 211.400 9.160 211.720 ;
RECT 9.240 211.400 9.560 211.720 ;
RECT 9.640 211.400 9.960 211.720 ;
RECT 10.040 211.400 10.360 211.720 ;
RECT 10.440 211.400 10.760 211.720 ;
RECT 10.840 211.400 11.160 211.720 ;
RECT 11.240 211.400 11.560 211.720 ;
RECT 11.640 211.400 11.960 211.720 ;
RECT 12.040 211.400 12.360 211.720 ;
RECT 12.440 211.400 12.760 211.720 ;
RECT 12.840 211.400 13.160 211.720 ;
RECT 13.240 211.400 13.560 211.720 ;
RECT 13.640 211.400 13.960 211.720 ;
RECT 14.040 211.400 14.360 211.720 ;
RECT 14.440 211.400 14.760 211.720 ;
RECT 14.840 211.400 15.160 211.720 ;
RECT 15.240 211.400 15.560 211.720 ;
RECT 15.640 211.400 15.960 211.720 ;
RECT 16.040 211.400 16.360 211.720 ;
RECT 16.440 211.400 16.760 211.720 ;
RECT 16.840 211.400 17.160 211.720 ;
RECT 17.240 211.400 17.560 211.720 ;
RECT 17.640 211.400 17.960 211.720 ;
RECT 18.040 211.400 18.360 211.720 ;
RECT 18.440 211.400 18.760 211.720 ;
RECT 18.840 211.400 19.160 211.720 ;
RECT 19.240 211.400 19.560 211.720 ;
RECT 19.640 211.400 19.960 211.720 ;
RECT 95.560 211.400 95.880 211.720 ;
RECT 95.960 211.400 96.280 211.720 ;
RECT 96.360 211.400 96.680 211.720 ;
RECT 96.760 211.400 97.080 211.720 ;
RECT 145.560 211.400 145.880 211.720 ;
RECT 145.960 211.400 146.280 211.720 ;
RECT 146.360 211.400 146.680 211.720 ;
RECT 146.760 211.400 147.080 211.720 ;
RECT 206.880 211.400 207.200 211.720 ;
RECT 207.280 211.400 207.600 211.720 ;
RECT 207.680 211.400 208.000 211.720 ;
RECT 208.080 211.400 208.400 211.720 ;
RECT 208.480 211.400 208.800 211.720 ;
RECT 208.880 211.400 209.200 211.720 ;
RECT 209.280 211.400 209.600 211.720 ;
RECT 209.680 211.400 210.000 211.720 ;
RECT 210.080 211.400 210.400 211.720 ;
RECT 210.480 211.400 210.800 211.720 ;
RECT 210.880 211.400 211.200 211.720 ;
RECT 211.280 211.400 211.600 211.720 ;
RECT 211.680 211.400 212.000 211.720 ;
RECT 212.080 211.400 212.400 211.720 ;
RECT 212.480 211.400 212.800 211.720 ;
RECT 212.880 211.400 213.200 211.720 ;
RECT 213.280 211.400 213.600 211.720 ;
RECT 213.680 211.400 214.000 211.720 ;
RECT 214.080 211.400 214.400 211.720 ;
RECT 214.480 211.400 214.800 211.720 ;
RECT 214.880 211.400 215.200 211.720 ;
RECT 215.280 211.400 215.600 211.720 ;
RECT 215.680 211.400 216.000 211.720 ;
RECT 216.080 211.400 216.400 211.720 ;
RECT 216.480 211.400 216.800 211.720 ;
RECT 216.880 211.400 217.200 211.720 ;
RECT 217.280 211.400 217.600 211.720 ;
RECT 217.680 211.400 218.000 211.720 ;
RECT 218.080 211.400 218.400 211.720 ;
RECT 218.480 211.400 218.800 211.720 ;
RECT 218.880 211.400 219.200 211.720 ;
RECT 219.280 211.400 219.600 211.720 ;
RECT 219.680 211.400 220.000 211.720 ;
RECT 220.080 211.400 220.400 211.720 ;
RECT 220.480 211.400 220.800 211.720 ;
RECT 220.880 211.400 221.200 211.720 ;
RECT 221.280 211.400 221.600 211.720 ;
RECT 221.680 211.400 222.000 211.720 ;
RECT 222.080 211.400 222.400 211.720 ;
RECT 222.480 211.400 222.800 211.720 ;
RECT 222.880 211.400 223.200 211.720 ;
RECT 223.280 211.400 223.600 211.720 ;
RECT 223.680 211.400 224.000 211.720 ;
RECT 224.080 211.400 224.400 211.720 ;
RECT 224.480 211.400 224.800 211.720 ;
RECT 224.880 211.400 225.200 211.720 ;
RECT 225.280 211.400 225.600 211.720 ;
RECT 225.680 211.400 226.000 211.720 ;
RECT 226.080 211.400 226.400 211.720 ;
RECT 226.480 211.400 226.800 211.720 ;
RECT 0.040 211.000 0.360 211.320 ;
RECT 0.440 211.000 0.760 211.320 ;
RECT 0.840 211.000 1.160 211.320 ;
RECT 1.240 211.000 1.560 211.320 ;
RECT 1.640 211.000 1.960 211.320 ;
RECT 2.040 211.000 2.360 211.320 ;
RECT 2.440 211.000 2.760 211.320 ;
RECT 2.840 211.000 3.160 211.320 ;
RECT 3.240 211.000 3.560 211.320 ;
RECT 3.640 211.000 3.960 211.320 ;
RECT 4.040 211.000 4.360 211.320 ;
RECT 4.440 211.000 4.760 211.320 ;
RECT 4.840 211.000 5.160 211.320 ;
RECT 5.240 211.000 5.560 211.320 ;
RECT 5.640 211.000 5.960 211.320 ;
RECT 6.040 211.000 6.360 211.320 ;
RECT 6.440 211.000 6.760 211.320 ;
RECT 6.840 211.000 7.160 211.320 ;
RECT 7.240 211.000 7.560 211.320 ;
RECT 7.640 211.000 7.960 211.320 ;
RECT 8.040 211.000 8.360 211.320 ;
RECT 8.440 211.000 8.760 211.320 ;
RECT 8.840 211.000 9.160 211.320 ;
RECT 9.240 211.000 9.560 211.320 ;
RECT 9.640 211.000 9.960 211.320 ;
RECT 10.040 211.000 10.360 211.320 ;
RECT 10.440 211.000 10.760 211.320 ;
RECT 10.840 211.000 11.160 211.320 ;
RECT 11.240 211.000 11.560 211.320 ;
RECT 11.640 211.000 11.960 211.320 ;
RECT 12.040 211.000 12.360 211.320 ;
RECT 12.440 211.000 12.760 211.320 ;
RECT 12.840 211.000 13.160 211.320 ;
RECT 13.240 211.000 13.560 211.320 ;
RECT 13.640 211.000 13.960 211.320 ;
RECT 14.040 211.000 14.360 211.320 ;
RECT 14.440 211.000 14.760 211.320 ;
RECT 14.840 211.000 15.160 211.320 ;
RECT 15.240 211.000 15.560 211.320 ;
RECT 15.640 211.000 15.960 211.320 ;
RECT 16.040 211.000 16.360 211.320 ;
RECT 16.440 211.000 16.760 211.320 ;
RECT 16.840 211.000 17.160 211.320 ;
RECT 17.240 211.000 17.560 211.320 ;
RECT 17.640 211.000 17.960 211.320 ;
RECT 18.040 211.000 18.360 211.320 ;
RECT 18.440 211.000 18.760 211.320 ;
RECT 18.840 211.000 19.160 211.320 ;
RECT 19.240 211.000 19.560 211.320 ;
RECT 19.640 211.000 19.960 211.320 ;
RECT 95.560 211.000 95.880 211.320 ;
RECT 95.960 211.000 96.280 211.320 ;
RECT 96.360 211.000 96.680 211.320 ;
RECT 96.760 211.000 97.080 211.320 ;
RECT 145.560 211.000 145.880 211.320 ;
RECT 145.960 211.000 146.280 211.320 ;
RECT 146.360 211.000 146.680 211.320 ;
RECT 146.760 211.000 147.080 211.320 ;
RECT 206.880 211.000 207.200 211.320 ;
RECT 207.280 211.000 207.600 211.320 ;
RECT 207.680 211.000 208.000 211.320 ;
RECT 208.080 211.000 208.400 211.320 ;
RECT 208.480 211.000 208.800 211.320 ;
RECT 208.880 211.000 209.200 211.320 ;
RECT 209.280 211.000 209.600 211.320 ;
RECT 209.680 211.000 210.000 211.320 ;
RECT 210.080 211.000 210.400 211.320 ;
RECT 210.480 211.000 210.800 211.320 ;
RECT 210.880 211.000 211.200 211.320 ;
RECT 211.280 211.000 211.600 211.320 ;
RECT 211.680 211.000 212.000 211.320 ;
RECT 212.080 211.000 212.400 211.320 ;
RECT 212.480 211.000 212.800 211.320 ;
RECT 212.880 211.000 213.200 211.320 ;
RECT 213.280 211.000 213.600 211.320 ;
RECT 213.680 211.000 214.000 211.320 ;
RECT 214.080 211.000 214.400 211.320 ;
RECT 214.480 211.000 214.800 211.320 ;
RECT 214.880 211.000 215.200 211.320 ;
RECT 215.280 211.000 215.600 211.320 ;
RECT 215.680 211.000 216.000 211.320 ;
RECT 216.080 211.000 216.400 211.320 ;
RECT 216.480 211.000 216.800 211.320 ;
RECT 216.880 211.000 217.200 211.320 ;
RECT 217.280 211.000 217.600 211.320 ;
RECT 217.680 211.000 218.000 211.320 ;
RECT 218.080 211.000 218.400 211.320 ;
RECT 218.480 211.000 218.800 211.320 ;
RECT 218.880 211.000 219.200 211.320 ;
RECT 219.280 211.000 219.600 211.320 ;
RECT 219.680 211.000 220.000 211.320 ;
RECT 220.080 211.000 220.400 211.320 ;
RECT 220.480 211.000 220.800 211.320 ;
RECT 220.880 211.000 221.200 211.320 ;
RECT 221.280 211.000 221.600 211.320 ;
RECT 221.680 211.000 222.000 211.320 ;
RECT 222.080 211.000 222.400 211.320 ;
RECT 222.480 211.000 222.800 211.320 ;
RECT 222.880 211.000 223.200 211.320 ;
RECT 223.280 211.000 223.600 211.320 ;
RECT 223.680 211.000 224.000 211.320 ;
RECT 224.080 211.000 224.400 211.320 ;
RECT 224.480 211.000 224.800 211.320 ;
RECT 224.880 211.000 225.200 211.320 ;
RECT 225.280 211.000 225.600 211.320 ;
RECT 225.680 211.000 226.000 211.320 ;
RECT 226.080 211.000 226.400 211.320 ;
RECT 226.480 211.000 226.800 211.320 ;
RECT 0.040 210.600 0.360 210.920 ;
RECT 0.440 210.600 0.760 210.920 ;
RECT 0.840 210.600 1.160 210.920 ;
RECT 1.240 210.600 1.560 210.920 ;
RECT 1.640 210.600 1.960 210.920 ;
RECT 2.040 210.600 2.360 210.920 ;
RECT 2.440 210.600 2.760 210.920 ;
RECT 2.840 210.600 3.160 210.920 ;
RECT 3.240 210.600 3.560 210.920 ;
RECT 3.640 210.600 3.960 210.920 ;
RECT 4.040 210.600 4.360 210.920 ;
RECT 4.440 210.600 4.760 210.920 ;
RECT 4.840 210.600 5.160 210.920 ;
RECT 5.240 210.600 5.560 210.920 ;
RECT 5.640 210.600 5.960 210.920 ;
RECT 6.040 210.600 6.360 210.920 ;
RECT 6.440 210.600 6.760 210.920 ;
RECT 6.840 210.600 7.160 210.920 ;
RECT 7.240 210.600 7.560 210.920 ;
RECT 7.640 210.600 7.960 210.920 ;
RECT 8.040 210.600 8.360 210.920 ;
RECT 8.440 210.600 8.760 210.920 ;
RECT 8.840 210.600 9.160 210.920 ;
RECT 9.240 210.600 9.560 210.920 ;
RECT 9.640 210.600 9.960 210.920 ;
RECT 10.040 210.600 10.360 210.920 ;
RECT 10.440 210.600 10.760 210.920 ;
RECT 10.840 210.600 11.160 210.920 ;
RECT 11.240 210.600 11.560 210.920 ;
RECT 11.640 210.600 11.960 210.920 ;
RECT 12.040 210.600 12.360 210.920 ;
RECT 12.440 210.600 12.760 210.920 ;
RECT 12.840 210.600 13.160 210.920 ;
RECT 13.240 210.600 13.560 210.920 ;
RECT 13.640 210.600 13.960 210.920 ;
RECT 14.040 210.600 14.360 210.920 ;
RECT 14.440 210.600 14.760 210.920 ;
RECT 14.840 210.600 15.160 210.920 ;
RECT 15.240 210.600 15.560 210.920 ;
RECT 15.640 210.600 15.960 210.920 ;
RECT 16.040 210.600 16.360 210.920 ;
RECT 16.440 210.600 16.760 210.920 ;
RECT 16.840 210.600 17.160 210.920 ;
RECT 17.240 210.600 17.560 210.920 ;
RECT 17.640 210.600 17.960 210.920 ;
RECT 18.040 210.600 18.360 210.920 ;
RECT 18.440 210.600 18.760 210.920 ;
RECT 18.840 210.600 19.160 210.920 ;
RECT 19.240 210.600 19.560 210.920 ;
RECT 19.640 210.600 19.960 210.920 ;
RECT 95.560 210.600 95.880 210.920 ;
RECT 95.960 210.600 96.280 210.920 ;
RECT 96.360 210.600 96.680 210.920 ;
RECT 96.760 210.600 97.080 210.920 ;
RECT 145.560 210.600 145.880 210.920 ;
RECT 145.960 210.600 146.280 210.920 ;
RECT 146.360 210.600 146.680 210.920 ;
RECT 146.760 210.600 147.080 210.920 ;
RECT 206.880 210.600 207.200 210.920 ;
RECT 207.280 210.600 207.600 210.920 ;
RECT 207.680 210.600 208.000 210.920 ;
RECT 208.080 210.600 208.400 210.920 ;
RECT 208.480 210.600 208.800 210.920 ;
RECT 208.880 210.600 209.200 210.920 ;
RECT 209.280 210.600 209.600 210.920 ;
RECT 209.680 210.600 210.000 210.920 ;
RECT 210.080 210.600 210.400 210.920 ;
RECT 210.480 210.600 210.800 210.920 ;
RECT 210.880 210.600 211.200 210.920 ;
RECT 211.280 210.600 211.600 210.920 ;
RECT 211.680 210.600 212.000 210.920 ;
RECT 212.080 210.600 212.400 210.920 ;
RECT 212.480 210.600 212.800 210.920 ;
RECT 212.880 210.600 213.200 210.920 ;
RECT 213.280 210.600 213.600 210.920 ;
RECT 213.680 210.600 214.000 210.920 ;
RECT 214.080 210.600 214.400 210.920 ;
RECT 214.480 210.600 214.800 210.920 ;
RECT 214.880 210.600 215.200 210.920 ;
RECT 215.280 210.600 215.600 210.920 ;
RECT 215.680 210.600 216.000 210.920 ;
RECT 216.080 210.600 216.400 210.920 ;
RECT 216.480 210.600 216.800 210.920 ;
RECT 216.880 210.600 217.200 210.920 ;
RECT 217.280 210.600 217.600 210.920 ;
RECT 217.680 210.600 218.000 210.920 ;
RECT 218.080 210.600 218.400 210.920 ;
RECT 218.480 210.600 218.800 210.920 ;
RECT 218.880 210.600 219.200 210.920 ;
RECT 219.280 210.600 219.600 210.920 ;
RECT 219.680 210.600 220.000 210.920 ;
RECT 220.080 210.600 220.400 210.920 ;
RECT 220.480 210.600 220.800 210.920 ;
RECT 220.880 210.600 221.200 210.920 ;
RECT 221.280 210.600 221.600 210.920 ;
RECT 221.680 210.600 222.000 210.920 ;
RECT 222.080 210.600 222.400 210.920 ;
RECT 222.480 210.600 222.800 210.920 ;
RECT 222.880 210.600 223.200 210.920 ;
RECT 223.280 210.600 223.600 210.920 ;
RECT 223.680 210.600 224.000 210.920 ;
RECT 224.080 210.600 224.400 210.920 ;
RECT 224.480 210.600 224.800 210.920 ;
RECT 224.880 210.600 225.200 210.920 ;
RECT 225.280 210.600 225.600 210.920 ;
RECT 225.680 210.600 226.000 210.920 ;
RECT 226.080 210.600 226.400 210.920 ;
RECT 226.480 210.600 226.800 210.920 ;
RECT 0.040 210.200 0.360 210.520 ;
RECT 0.440 210.200 0.760 210.520 ;
RECT 0.840 210.200 1.160 210.520 ;
RECT 1.240 210.200 1.560 210.520 ;
RECT 1.640 210.200 1.960 210.520 ;
RECT 2.040 210.200 2.360 210.520 ;
RECT 2.440 210.200 2.760 210.520 ;
RECT 2.840 210.200 3.160 210.520 ;
RECT 3.240 210.200 3.560 210.520 ;
RECT 3.640 210.200 3.960 210.520 ;
RECT 4.040 210.200 4.360 210.520 ;
RECT 4.440 210.200 4.760 210.520 ;
RECT 4.840 210.200 5.160 210.520 ;
RECT 5.240 210.200 5.560 210.520 ;
RECT 5.640 210.200 5.960 210.520 ;
RECT 6.040 210.200 6.360 210.520 ;
RECT 6.440 210.200 6.760 210.520 ;
RECT 6.840 210.200 7.160 210.520 ;
RECT 7.240 210.200 7.560 210.520 ;
RECT 7.640 210.200 7.960 210.520 ;
RECT 8.040 210.200 8.360 210.520 ;
RECT 8.440 210.200 8.760 210.520 ;
RECT 8.840 210.200 9.160 210.520 ;
RECT 9.240 210.200 9.560 210.520 ;
RECT 9.640 210.200 9.960 210.520 ;
RECT 10.040 210.200 10.360 210.520 ;
RECT 10.440 210.200 10.760 210.520 ;
RECT 10.840 210.200 11.160 210.520 ;
RECT 11.240 210.200 11.560 210.520 ;
RECT 11.640 210.200 11.960 210.520 ;
RECT 12.040 210.200 12.360 210.520 ;
RECT 12.440 210.200 12.760 210.520 ;
RECT 12.840 210.200 13.160 210.520 ;
RECT 13.240 210.200 13.560 210.520 ;
RECT 13.640 210.200 13.960 210.520 ;
RECT 14.040 210.200 14.360 210.520 ;
RECT 14.440 210.200 14.760 210.520 ;
RECT 14.840 210.200 15.160 210.520 ;
RECT 15.240 210.200 15.560 210.520 ;
RECT 15.640 210.200 15.960 210.520 ;
RECT 16.040 210.200 16.360 210.520 ;
RECT 16.440 210.200 16.760 210.520 ;
RECT 16.840 210.200 17.160 210.520 ;
RECT 17.240 210.200 17.560 210.520 ;
RECT 17.640 210.200 17.960 210.520 ;
RECT 18.040 210.200 18.360 210.520 ;
RECT 18.440 210.200 18.760 210.520 ;
RECT 18.840 210.200 19.160 210.520 ;
RECT 19.240 210.200 19.560 210.520 ;
RECT 19.640 210.200 19.960 210.520 ;
RECT 95.560 210.200 95.880 210.520 ;
RECT 95.960 210.200 96.280 210.520 ;
RECT 96.360 210.200 96.680 210.520 ;
RECT 96.760 210.200 97.080 210.520 ;
RECT 145.560 210.200 145.880 210.520 ;
RECT 145.960 210.200 146.280 210.520 ;
RECT 146.360 210.200 146.680 210.520 ;
RECT 146.760 210.200 147.080 210.520 ;
RECT 206.880 210.200 207.200 210.520 ;
RECT 207.280 210.200 207.600 210.520 ;
RECT 207.680 210.200 208.000 210.520 ;
RECT 208.080 210.200 208.400 210.520 ;
RECT 208.480 210.200 208.800 210.520 ;
RECT 208.880 210.200 209.200 210.520 ;
RECT 209.280 210.200 209.600 210.520 ;
RECT 209.680 210.200 210.000 210.520 ;
RECT 210.080 210.200 210.400 210.520 ;
RECT 210.480 210.200 210.800 210.520 ;
RECT 210.880 210.200 211.200 210.520 ;
RECT 211.280 210.200 211.600 210.520 ;
RECT 211.680 210.200 212.000 210.520 ;
RECT 212.080 210.200 212.400 210.520 ;
RECT 212.480 210.200 212.800 210.520 ;
RECT 212.880 210.200 213.200 210.520 ;
RECT 213.280 210.200 213.600 210.520 ;
RECT 213.680 210.200 214.000 210.520 ;
RECT 214.080 210.200 214.400 210.520 ;
RECT 214.480 210.200 214.800 210.520 ;
RECT 214.880 210.200 215.200 210.520 ;
RECT 215.280 210.200 215.600 210.520 ;
RECT 215.680 210.200 216.000 210.520 ;
RECT 216.080 210.200 216.400 210.520 ;
RECT 216.480 210.200 216.800 210.520 ;
RECT 216.880 210.200 217.200 210.520 ;
RECT 217.280 210.200 217.600 210.520 ;
RECT 217.680 210.200 218.000 210.520 ;
RECT 218.080 210.200 218.400 210.520 ;
RECT 218.480 210.200 218.800 210.520 ;
RECT 218.880 210.200 219.200 210.520 ;
RECT 219.280 210.200 219.600 210.520 ;
RECT 219.680 210.200 220.000 210.520 ;
RECT 220.080 210.200 220.400 210.520 ;
RECT 220.480 210.200 220.800 210.520 ;
RECT 220.880 210.200 221.200 210.520 ;
RECT 221.280 210.200 221.600 210.520 ;
RECT 221.680 210.200 222.000 210.520 ;
RECT 222.080 210.200 222.400 210.520 ;
RECT 222.480 210.200 222.800 210.520 ;
RECT 222.880 210.200 223.200 210.520 ;
RECT 223.280 210.200 223.600 210.520 ;
RECT 223.680 210.200 224.000 210.520 ;
RECT 224.080 210.200 224.400 210.520 ;
RECT 224.480 210.200 224.800 210.520 ;
RECT 224.880 210.200 225.200 210.520 ;
RECT 225.280 210.200 225.600 210.520 ;
RECT 225.680 210.200 226.000 210.520 ;
RECT 226.080 210.200 226.400 210.520 ;
RECT 226.480 210.200 226.800 210.520 ;
RECT 0.040 209.800 0.360 210.120 ;
RECT 0.440 209.800 0.760 210.120 ;
RECT 0.840 209.800 1.160 210.120 ;
RECT 1.240 209.800 1.560 210.120 ;
RECT 1.640 209.800 1.960 210.120 ;
RECT 2.040 209.800 2.360 210.120 ;
RECT 2.440 209.800 2.760 210.120 ;
RECT 2.840 209.800 3.160 210.120 ;
RECT 3.240 209.800 3.560 210.120 ;
RECT 3.640 209.800 3.960 210.120 ;
RECT 4.040 209.800 4.360 210.120 ;
RECT 4.440 209.800 4.760 210.120 ;
RECT 4.840 209.800 5.160 210.120 ;
RECT 5.240 209.800 5.560 210.120 ;
RECT 5.640 209.800 5.960 210.120 ;
RECT 6.040 209.800 6.360 210.120 ;
RECT 6.440 209.800 6.760 210.120 ;
RECT 6.840 209.800 7.160 210.120 ;
RECT 7.240 209.800 7.560 210.120 ;
RECT 7.640 209.800 7.960 210.120 ;
RECT 8.040 209.800 8.360 210.120 ;
RECT 8.440 209.800 8.760 210.120 ;
RECT 8.840 209.800 9.160 210.120 ;
RECT 9.240 209.800 9.560 210.120 ;
RECT 9.640 209.800 9.960 210.120 ;
RECT 10.040 209.800 10.360 210.120 ;
RECT 10.440 209.800 10.760 210.120 ;
RECT 10.840 209.800 11.160 210.120 ;
RECT 11.240 209.800 11.560 210.120 ;
RECT 11.640 209.800 11.960 210.120 ;
RECT 12.040 209.800 12.360 210.120 ;
RECT 12.440 209.800 12.760 210.120 ;
RECT 12.840 209.800 13.160 210.120 ;
RECT 13.240 209.800 13.560 210.120 ;
RECT 13.640 209.800 13.960 210.120 ;
RECT 14.040 209.800 14.360 210.120 ;
RECT 14.440 209.800 14.760 210.120 ;
RECT 14.840 209.800 15.160 210.120 ;
RECT 15.240 209.800 15.560 210.120 ;
RECT 15.640 209.800 15.960 210.120 ;
RECT 16.040 209.800 16.360 210.120 ;
RECT 16.440 209.800 16.760 210.120 ;
RECT 16.840 209.800 17.160 210.120 ;
RECT 17.240 209.800 17.560 210.120 ;
RECT 17.640 209.800 17.960 210.120 ;
RECT 18.040 209.800 18.360 210.120 ;
RECT 18.440 209.800 18.760 210.120 ;
RECT 18.840 209.800 19.160 210.120 ;
RECT 19.240 209.800 19.560 210.120 ;
RECT 19.640 209.800 19.960 210.120 ;
RECT 95.560 209.800 95.880 210.120 ;
RECT 95.960 209.800 96.280 210.120 ;
RECT 96.360 209.800 96.680 210.120 ;
RECT 96.760 209.800 97.080 210.120 ;
RECT 145.560 209.800 145.880 210.120 ;
RECT 145.960 209.800 146.280 210.120 ;
RECT 146.360 209.800 146.680 210.120 ;
RECT 146.760 209.800 147.080 210.120 ;
RECT 206.880 209.800 207.200 210.120 ;
RECT 207.280 209.800 207.600 210.120 ;
RECT 207.680 209.800 208.000 210.120 ;
RECT 208.080 209.800 208.400 210.120 ;
RECT 208.480 209.800 208.800 210.120 ;
RECT 208.880 209.800 209.200 210.120 ;
RECT 209.280 209.800 209.600 210.120 ;
RECT 209.680 209.800 210.000 210.120 ;
RECT 210.080 209.800 210.400 210.120 ;
RECT 210.480 209.800 210.800 210.120 ;
RECT 210.880 209.800 211.200 210.120 ;
RECT 211.280 209.800 211.600 210.120 ;
RECT 211.680 209.800 212.000 210.120 ;
RECT 212.080 209.800 212.400 210.120 ;
RECT 212.480 209.800 212.800 210.120 ;
RECT 212.880 209.800 213.200 210.120 ;
RECT 213.280 209.800 213.600 210.120 ;
RECT 213.680 209.800 214.000 210.120 ;
RECT 214.080 209.800 214.400 210.120 ;
RECT 214.480 209.800 214.800 210.120 ;
RECT 214.880 209.800 215.200 210.120 ;
RECT 215.280 209.800 215.600 210.120 ;
RECT 215.680 209.800 216.000 210.120 ;
RECT 216.080 209.800 216.400 210.120 ;
RECT 216.480 209.800 216.800 210.120 ;
RECT 216.880 209.800 217.200 210.120 ;
RECT 217.280 209.800 217.600 210.120 ;
RECT 217.680 209.800 218.000 210.120 ;
RECT 218.080 209.800 218.400 210.120 ;
RECT 218.480 209.800 218.800 210.120 ;
RECT 218.880 209.800 219.200 210.120 ;
RECT 219.280 209.800 219.600 210.120 ;
RECT 219.680 209.800 220.000 210.120 ;
RECT 220.080 209.800 220.400 210.120 ;
RECT 220.480 209.800 220.800 210.120 ;
RECT 220.880 209.800 221.200 210.120 ;
RECT 221.280 209.800 221.600 210.120 ;
RECT 221.680 209.800 222.000 210.120 ;
RECT 222.080 209.800 222.400 210.120 ;
RECT 222.480 209.800 222.800 210.120 ;
RECT 222.880 209.800 223.200 210.120 ;
RECT 223.280 209.800 223.600 210.120 ;
RECT 223.680 209.800 224.000 210.120 ;
RECT 224.080 209.800 224.400 210.120 ;
RECT 224.480 209.800 224.800 210.120 ;
RECT 224.880 209.800 225.200 210.120 ;
RECT 225.280 209.800 225.600 210.120 ;
RECT 225.680 209.800 226.000 210.120 ;
RECT 226.080 209.800 226.400 210.120 ;
RECT 226.480 209.800 226.800 210.120 ;
RECT 0.040 209.400 0.360 209.720 ;
RECT 0.440 209.400 0.760 209.720 ;
RECT 0.840 209.400 1.160 209.720 ;
RECT 1.240 209.400 1.560 209.720 ;
RECT 1.640 209.400 1.960 209.720 ;
RECT 2.040 209.400 2.360 209.720 ;
RECT 2.440 209.400 2.760 209.720 ;
RECT 2.840 209.400 3.160 209.720 ;
RECT 3.240 209.400 3.560 209.720 ;
RECT 3.640 209.400 3.960 209.720 ;
RECT 4.040 209.400 4.360 209.720 ;
RECT 4.440 209.400 4.760 209.720 ;
RECT 4.840 209.400 5.160 209.720 ;
RECT 5.240 209.400 5.560 209.720 ;
RECT 5.640 209.400 5.960 209.720 ;
RECT 6.040 209.400 6.360 209.720 ;
RECT 6.440 209.400 6.760 209.720 ;
RECT 6.840 209.400 7.160 209.720 ;
RECT 7.240 209.400 7.560 209.720 ;
RECT 7.640 209.400 7.960 209.720 ;
RECT 8.040 209.400 8.360 209.720 ;
RECT 8.440 209.400 8.760 209.720 ;
RECT 8.840 209.400 9.160 209.720 ;
RECT 9.240 209.400 9.560 209.720 ;
RECT 9.640 209.400 9.960 209.720 ;
RECT 10.040 209.400 10.360 209.720 ;
RECT 10.440 209.400 10.760 209.720 ;
RECT 10.840 209.400 11.160 209.720 ;
RECT 11.240 209.400 11.560 209.720 ;
RECT 11.640 209.400 11.960 209.720 ;
RECT 12.040 209.400 12.360 209.720 ;
RECT 12.440 209.400 12.760 209.720 ;
RECT 12.840 209.400 13.160 209.720 ;
RECT 13.240 209.400 13.560 209.720 ;
RECT 13.640 209.400 13.960 209.720 ;
RECT 14.040 209.400 14.360 209.720 ;
RECT 14.440 209.400 14.760 209.720 ;
RECT 14.840 209.400 15.160 209.720 ;
RECT 15.240 209.400 15.560 209.720 ;
RECT 15.640 209.400 15.960 209.720 ;
RECT 16.040 209.400 16.360 209.720 ;
RECT 16.440 209.400 16.760 209.720 ;
RECT 16.840 209.400 17.160 209.720 ;
RECT 17.240 209.400 17.560 209.720 ;
RECT 17.640 209.400 17.960 209.720 ;
RECT 18.040 209.400 18.360 209.720 ;
RECT 18.440 209.400 18.760 209.720 ;
RECT 18.840 209.400 19.160 209.720 ;
RECT 19.240 209.400 19.560 209.720 ;
RECT 19.640 209.400 19.960 209.720 ;
RECT 95.560 209.400 95.880 209.720 ;
RECT 95.960 209.400 96.280 209.720 ;
RECT 96.360 209.400 96.680 209.720 ;
RECT 96.760 209.400 97.080 209.720 ;
RECT 145.560 209.400 145.880 209.720 ;
RECT 145.960 209.400 146.280 209.720 ;
RECT 146.360 209.400 146.680 209.720 ;
RECT 146.760 209.400 147.080 209.720 ;
RECT 206.880 209.400 207.200 209.720 ;
RECT 207.280 209.400 207.600 209.720 ;
RECT 207.680 209.400 208.000 209.720 ;
RECT 208.080 209.400 208.400 209.720 ;
RECT 208.480 209.400 208.800 209.720 ;
RECT 208.880 209.400 209.200 209.720 ;
RECT 209.280 209.400 209.600 209.720 ;
RECT 209.680 209.400 210.000 209.720 ;
RECT 210.080 209.400 210.400 209.720 ;
RECT 210.480 209.400 210.800 209.720 ;
RECT 210.880 209.400 211.200 209.720 ;
RECT 211.280 209.400 211.600 209.720 ;
RECT 211.680 209.400 212.000 209.720 ;
RECT 212.080 209.400 212.400 209.720 ;
RECT 212.480 209.400 212.800 209.720 ;
RECT 212.880 209.400 213.200 209.720 ;
RECT 213.280 209.400 213.600 209.720 ;
RECT 213.680 209.400 214.000 209.720 ;
RECT 214.080 209.400 214.400 209.720 ;
RECT 214.480 209.400 214.800 209.720 ;
RECT 214.880 209.400 215.200 209.720 ;
RECT 215.280 209.400 215.600 209.720 ;
RECT 215.680 209.400 216.000 209.720 ;
RECT 216.080 209.400 216.400 209.720 ;
RECT 216.480 209.400 216.800 209.720 ;
RECT 216.880 209.400 217.200 209.720 ;
RECT 217.280 209.400 217.600 209.720 ;
RECT 217.680 209.400 218.000 209.720 ;
RECT 218.080 209.400 218.400 209.720 ;
RECT 218.480 209.400 218.800 209.720 ;
RECT 218.880 209.400 219.200 209.720 ;
RECT 219.280 209.400 219.600 209.720 ;
RECT 219.680 209.400 220.000 209.720 ;
RECT 220.080 209.400 220.400 209.720 ;
RECT 220.480 209.400 220.800 209.720 ;
RECT 220.880 209.400 221.200 209.720 ;
RECT 221.280 209.400 221.600 209.720 ;
RECT 221.680 209.400 222.000 209.720 ;
RECT 222.080 209.400 222.400 209.720 ;
RECT 222.480 209.400 222.800 209.720 ;
RECT 222.880 209.400 223.200 209.720 ;
RECT 223.280 209.400 223.600 209.720 ;
RECT 223.680 209.400 224.000 209.720 ;
RECT 224.080 209.400 224.400 209.720 ;
RECT 224.480 209.400 224.800 209.720 ;
RECT 224.880 209.400 225.200 209.720 ;
RECT 225.280 209.400 225.600 209.720 ;
RECT 225.680 209.400 226.000 209.720 ;
RECT 226.080 209.400 226.400 209.720 ;
RECT 226.480 209.400 226.800 209.720 ;
RECT 0.040 209.000 0.360 209.320 ;
RECT 0.440 209.000 0.760 209.320 ;
RECT 0.840 209.000 1.160 209.320 ;
RECT 1.240 209.000 1.560 209.320 ;
RECT 1.640 209.000 1.960 209.320 ;
RECT 2.040 209.000 2.360 209.320 ;
RECT 2.440 209.000 2.760 209.320 ;
RECT 2.840 209.000 3.160 209.320 ;
RECT 3.240 209.000 3.560 209.320 ;
RECT 3.640 209.000 3.960 209.320 ;
RECT 4.040 209.000 4.360 209.320 ;
RECT 4.440 209.000 4.760 209.320 ;
RECT 4.840 209.000 5.160 209.320 ;
RECT 5.240 209.000 5.560 209.320 ;
RECT 5.640 209.000 5.960 209.320 ;
RECT 6.040 209.000 6.360 209.320 ;
RECT 6.440 209.000 6.760 209.320 ;
RECT 6.840 209.000 7.160 209.320 ;
RECT 7.240 209.000 7.560 209.320 ;
RECT 7.640 209.000 7.960 209.320 ;
RECT 8.040 209.000 8.360 209.320 ;
RECT 8.440 209.000 8.760 209.320 ;
RECT 8.840 209.000 9.160 209.320 ;
RECT 9.240 209.000 9.560 209.320 ;
RECT 9.640 209.000 9.960 209.320 ;
RECT 10.040 209.000 10.360 209.320 ;
RECT 10.440 209.000 10.760 209.320 ;
RECT 10.840 209.000 11.160 209.320 ;
RECT 11.240 209.000 11.560 209.320 ;
RECT 11.640 209.000 11.960 209.320 ;
RECT 12.040 209.000 12.360 209.320 ;
RECT 12.440 209.000 12.760 209.320 ;
RECT 12.840 209.000 13.160 209.320 ;
RECT 13.240 209.000 13.560 209.320 ;
RECT 13.640 209.000 13.960 209.320 ;
RECT 14.040 209.000 14.360 209.320 ;
RECT 14.440 209.000 14.760 209.320 ;
RECT 14.840 209.000 15.160 209.320 ;
RECT 15.240 209.000 15.560 209.320 ;
RECT 15.640 209.000 15.960 209.320 ;
RECT 16.040 209.000 16.360 209.320 ;
RECT 16.440 209.000 16.760 209.320 ;
RECT 16.840 209.000 17.160 209.320 ;
RECT 17.240 209.000 17.560 209.320 ;
RECT 17.640 209.000 17.960 209.320 ;
RECT 18.040 209.000 18.360 209.320 ;
RECT 18.440 209.000 18.760 209.320 ;
RECT 18.840 209.000 19.160 209.320 ;
RECT 19.240 209.000 19.560 209.320 ;
RECT 19.640 209.000 19.960 209.320 ;
RECT 95.560 209.000 95.880 209.320 ;
RECT 95.960 209.000 96.280 209.320 ;
RECT 96.360 209.000 96.680 209.320 ;
RECT 96.760 209.000 97.080 209.320 ;
RECT 145.560 209.000 145.880 209.320 ;
RECT 145.960 209.000 146.280 209.320 ;
RECT 146.360 209.000 146.680 209.320 ;
RECT 146.760 209.000 147.080 209.320 ;
RECT 206.880 209.000 207.200 209.320 ;
RECT 207.280 209.000 207.600 209.320 ;
RECT 207.680 209.000 208.000 209.320 ;
RECT 208.080 209.000 208.400 209.320 ;
RECT 208.480 209.000 208.800 209.320 ;
RECT 208.880 209.000 209.200 209.320 ;
RECT 209.280 209.000 209.600 209.320 ;
RECT 209.680 209.000 210.000 209.320 ;
RECT 210.080 209.000 210.400 209.320 ;
RECT 210.480 209.000 210.800 209.320 ;
RECT 210.880 209.000 211.200 209.320 ;
RECT 211.280 209.000 211.600 209.320 ;
RECT 211.680 209.000 212.000 209.320 ;
RECT 212.080 209.000 212.400 209.320 ;
RECT 212.480 209.000 212.800 209.320 ;
RECT 212.880 209.000 213.200 209.320 ;
RECT 213.280 209.000 213.600 209.320 ;
RECT 213.680 209.000 214.000 209.320 ;
RECT 214.080 209.000 214.400 209.320 ;
RECT 214.480 209.000 214.800 209.320 ;
RECT 214.880 209.000 215.200 209.320 ;
RECT 215.280 209.000 215.600 209.320 ;
RECT 215.680 209.000 216.000 209.320 ;
RECT 216.080 209.000 216.400 209.320 ;
RECT 216.480 209.000 216.800 209.320 ;
RECT 216.880 209.000 217.200 209.320 ;
RECT 217.280 209.000 217.600 209.320 ;
RECT 217.680 209.000 218.000 209.320 ;
RECT 218.080 209.000 218.400 209.320 ;
RECT 218.480 209.000 218.800 209.320 ;
RECT 218.880 209.000 219.200 209.320 ;
RECT 219.280 209.000 219.600 209.320 ;
RECT 219.680 209.000 220.000 209.320 ;
RECT 220.080 209.000 220.400 209.320 ;
RECT 220.480 209.000 220.800 209.320 ;
RECT 220.880 209.000 221.200 209.320 ;
RECT 221.280 209.000 221.600 209.320 ;
RECT 221.680 209.000 222.000 209.320 ;
RECT 222.080 209.000 222.400 209.320 ;
RECT 222.480 209.000 222.800 209.320 ;
RECT 222.880 209.000 223.200 209.320 ;
RECT 223.280 209.000 223.600 209.320 ;
RECT 223.680 209.000 224.000 209.320 ;
RECT 224.080 209.000 224.400 209.320 ;
RECT 224.480 209.000 224.800 209.320 ;
RECT 224.880 209.000 225.200 209.320 ;
RECT 225.280 209.000 225.600 209.320 ;
RECT 225.680 209.000 226.000 209.320 ;
RECT 226.080 209.000 226.400 209.320 ;
RECT 226.480 209.000 226.800 209.320 ;
RECT 0.040 208.600 0.360 208.920 ;
RECT 0.440 208.600 0.760 208.920 ;
RECT 0.840 208.600 1.160 208.920 ;
RECT 1.240 208.600 1.560 208.920 ;
RECT 1.640 208.600 1.960 208.920 ;
RECT 2.040 208.600 2.360 208.920 ;
RECT 2.440 208.600 2.760 208.920 ;
RECT 2.840 208.600 3.160 208.920 ;
RECT 3.240 208.600 3.560 208.920 ;
RECT 3.640 208.600 3.960 208.920 ;
RECT 4.040 208.600 4.360 208.920 ;
RECT 4.440 208.600 4.760 208.920 ;
RECT 4.840 208.600 5.160 208.920 ;
RECT 5.240 208.600 5.560 208.920 ;
RECT 5.640 208.600 5.960 208.920 ;
RECT 6.040 208.600 6.360 208.920 ;
RECT 6.440 208.600 6.760 208.920 ;
RECT 6.840 208.600 7.160 208.920 ;
RECT 7.240 208.600 7.560 208.920 ;
RECT 7.640 208.600 7.960 208.920 ;
RECT 8.040 208.600 8.360 208.920 ;
RECT 8.440 208.600 8.760 208.920 ;
RECT 8.840 208.600 9.160 208.920 ;
RECT 9.240 208.600 9.560 208.920 ;
RECT 9.640 208.600 9.960 208.920 ;
RECT 10.040 208.600 10.360 208.920 ;
RECT 10.440 208.600 10.760 208.920 ;
RECT 10.840 208.600 11.160 208.920 ;
RECT 11.240 208.600 11.560 208.920 ;
RECT 11.640 208.600 11.960 208.920 ;
RECT 12.040 208.600 12.360 208.920 ;
RECT 12.440 208.600 12.760 208.920 ;
RECT 12.840 208.600 13.160 208.920 ;
RECT 13.240 208.600 13.560 208.920 ;
RECT 13.640 208.600 13.960 208.920 ;
RECT 14.040 208.600 14.360 208.920 ;
RECT 14.440 208.600 14.760 208.920 ;
RECT 14.840 208.600 15.160 208.920 ;
RECT 15.240 208.600 15.560 208.920 ;
RECT 15.640 208.600 15.960 208.920 ;
RECT 16.040 208.600 16.360 208.920 ;
RECT 16.440 208.600 16.760 208.920 ;
RECT 16.840 208.600 17.160 208.920 ;
RECT 17.240 208.600 17.560 208.920 ;
RECT 17.640 208.600 17.960 208.920 ;
RECT 18.040 208.600 18.360 208.920 ;
RECT 18.440 208.600 18.760 208.920 ;
RECT 18.840 208.600 19.160 208.920 ;
RECT 19.240 208.600 19.560 208.920 ;
RECT 19.640 208.600 19.960 208.920 ;
RECT 95.560 208.600 95.880 208.920 ;
RECT 95.960 208.600 96.280 208.920 ;
RECT 96.360 208.600 96.680 208.920 ;
RECT 96.760 208.600 97.080 208.920 ;
RECT 145.560 208.600 145.880 208.920 ;
RECT 145.960 208.600 146.280 208.920 ;
RECT 146.360 208.600 146.680 208.920 ;
RECT 146.760 208.600 147.080 208.920 ;
RECT 206.880 208.600 207.200 208.920 ;
RECT 207.280 208.600 207.600 208.920 ;
RECT 207.680 208.600 208.000 208.920 ;
RECT 208.080 208.600 208.400 208.920 ;
RECT 208.480 208.600 208.800 208.920 ;
RECT 208.880 208.600 209.200 208.920 ;
RECT 209.280 208.600 209.600 208.920 ;
RECT 209.680 208.600 210.000 208.920 ;
RECT 210.080 208.600 210.400 208.920 ;
RECT 210.480 208.600 210.800 208.920 ;
RECT 210.880 208.600 211.200 208.920 ;
RECT 211.280 208.600 211.600 208.920 ;
RECT 211.680 208.600 212.000 208.920 ;
RECT 212.080 208.600 212.400 208.920 ;
RECT 212.480 208.600 212.800 208.920 ;
RECT 212.880 208.600 213.200 208.920 ;
RECT 213.280 208.600 213.600 208.920 ;
RECT 213.680 208.600 214.000 208.920 ;
RECT 214.080 208.600 214.400 208.920 ;
RECT 214.480 208.600 214.800 208.920 ;
RECT 214.880 208.600 215.200 208.920 ;
RECT 215.280 208.600 215.600 208.920 ;
RECT 215.680 208.600 216.000 208.920 ;
RECT 216.080 208.600 216.400 208.920 ;
RECT 216.480 208.600 216.800 208.920 ;
RECT 216.880 208.600 217.200 208.920 ;
RECT 217.280 208.600 217.600 208.920 ;
RECT 217.680 208.600 218.000 208.920 ;
RECT 218.080 208.600 218.400 208.920 ;
RECT 218.480 208.600 218.800 208.920 ;
RECT 218.880 208.600 219.200 208.920 ;
RECT 219.280 208.600 219.600 208.920 ;
RECT 219.680 208.600 220.000 208.920 ;
RECT 220.080 208.600 220.400 208.920 ;
RECT 220.480 208.600 220.800 208.920 ;
RECT 220.880 208.600 221.200 208.920 ;
RECT 221.280 208.600 221.600 208.920 ;
RECT 221.680 208.600 222.000 208.920 ;
RECT 222.080 208.600 222.400 208.920 ;
RECT 222.480 208.600 222.800 208.920 ;
RECT 222.880 208.600 223.200 208.920 ;
RECT 223.280 208.600 223.600 208.920 ;
RECT 223.680 208.600 224.000 208.920 ;
RECT 224.080 208.600 224.400 208.920 ;
RECT 224.480 208.600 224.800 208.920 ;
RECT 224.880 208.600 225.200 208.920 ;
RECT 225.280 208.600 225.600 208.920 ;
RECT 225.680 208.600 226.000 208.920 ;
RECT 226.080 208.600 226.400 208.920 ;
RECT 226.480 208.600 226.800 208.920 ;
RECT 0.040 208.200 0.360 208.520 ;
RECT 0.440 208.200 0.760 208.520 ;
RECT 0.840 208.200 1.160 208.520 ;
RECT 1.240 208.200 1.560 208.520 ;
RECT 1.640 208.200 1.960 208.520 ;
RECT 2.040 208.200 2.360 208.520 ;
RECT 2.440 208.200 2.760 208.520 ;
RECT 2.840 208.200 3.160 208.520 ;
RECT 3.240 208.200 3.560 208.520 ;
RECT 3.640 208.200 3.960 208.520 ;
RECT 4.040 208.200 4.360 208.520 ;
RECT 4.440 208.200 4.760 208.520 ;
RECT 4.840 208.200 5.160 208.520 ;
RECT 5.240 208.200 5.560 208.520 ;
RECT 5.640 208.200 5.960 208.520 ;
RECT 6.040 208.200 6.360 208.520 ;
RECT 6.440 208.200 6.760 208.520 ;
RECT 6.840 208.200 7.160 208.520 ;
RECT 7.240 208.200 7.560 208.520 ;
RECT 7.640 208.200 7.960 208.520 ;
RECT 8.040 208.200 8.360 208.520 ;
RECT 8.440 208.200 8.760 208.520 ;
RECT 8.840 208.200 9.160 208.520 ;
RECT 9.240 208.200 9.560 208.520 ;
RECT 9.640 208.200 9.960 208.520 ;
RECT 10.040 208.200 10.360 208.520 ;
RECT 10.440 208.200 10.760 208.520 ;
RECT 10.840 208.200 11.160 208.520 ;
RECT 11.240 208.200 11.560 208.520 ;
RECT 11.640 208.200 11.960 208.520 ;
RECT 12.040 208.200 12.360 208.520 ;
RECT 12.440 208.200 12.760 208.520 ;
RECT 12.840 208.200 13.160 208.520 ;
RECT 13.240 208.200 13.560 208.520 ;
RECT 13.640 208.200 13.960 208.520 ;
RECT 14.040 208.200 14.360 208.520 ;
RECT 14.440 208.200 14.760 208.520 ;
RECT 14.840 208.200 15.160 208.520 ;
RECT 15.240 208.200 15.560 208.520 ;
RECT 15.640 208.200 15.960 208.520 ;
RECT 16.040 208.200 16.360 208.520 ;
RECT 16.440 208.200 16.760 208.520 ;
RECT 16.840 208.200 17.160 208.520 ;
RECT 17.240 208.200 17.560 208.520 ;
RECT 17.640 208.200 17.960 208.520 ;
RECT 18.040 208.200 18.360 208.520 ;
RECT 18.440 208.200 18.760 208.520 ;
RECT 18.840 208.200 19.160 208.520 ;
RECT 19.240 208.200 19.560 208.520 ;
RECT 19.640 208.200 19.960 208.520 ;
RECT 95.560 208.200 95.880 208.520 ;
RECT 95.960 208.200 96.280 208.520 ;
RECT 96.360 208.200 96.680 208.520 ;
RECT 96.760 208.200 97.080 208.520 ;
RECT 145.560 208.200 145.880 208.520 ;
RECT 145.960 208.200 146.280 208.520 ;
RECT 146.360 208.200 146.680 208.520 ;
RECT 146.760 208.200 147.080 208.520 ;
RECT 206.880 208.200 207.200 208.520 ;
RECT 207.280 208.200 207.600 208.520 ;
RECT 207.680 208.200 208.000 208.520 ;
RECT 208.080 208.200 208.400 208.520 ;
RECT 208.480 208.200 208.800 208.520 ;
RECT 208.880 208.200 209.200 208.520 ;
RECT 209.280 208.200 209.600 208.520 ;
RECT 209.680 208.200 210.000 208.520 ;
RECT 210.080 208.200 210.400 208.520 ;
RECT 210.480 208.200 210.800 208.520 ;
RECT 210.880 208.200 211.200 208.520 ;
RECT 211.280 208.200 211.600 208.520 ;
RECT 211.680 208.200 212.000 208.520 ;
RECT 212.080 208.200 212.400 208.520 ;
RECT 212.480 208.200 212.800 208.520 ;
RECT 212.880 208.200 213.200 208.520 ;
RECT 213.280 208.200 213.600 208.520 ;
RECT 213.680 208.200 214.000 208.520 ;
RECT 214.080 208.200 214.400 208.520 ;
RECT 214.480 208.200 214.800 208.520 ;
RECT 214.880 208.200 215.200 208.520 ;
RECT 215.280 208.200 215.600 208.520 ;
RECT 215.680 208.200 216.000 208.520 ;
RECT 216.080 208.200 216.400 208.520 ;
RECT 216.480 208.200 216.800 208.520 ;
RECT 216.880 208.200 217.200 208.520 ;
RECT 217.280 208.200 217.600 208.520 ;
RECT 217.680 208.200 218.000 208.520 ;
RECT 218.080 208.200 218.400 208.520 ;
RECT 218.480 208.200 218.800 208.520 ;
RECT 218.880 208.200 219.200 208.520 ;
RECT 219.280 208.200 219.600 208.520 ;
RECT 219.680 208.200 220.000 208.520 ;
RECT 220.080 208.200 220.400 208.520 ;
RECT 220.480 208.200 220.800 208.520 ;
RECT 220.880 208.200 221.200 208.520 ;
RECT 221.280 208.200 221.600 208.520 ;
RECT 221.680 208.200 222.000 208.520 ;
RECT 222.080 208.200 222.400 208.520 ;
RECT 222.480 208.200 222.800 208.520 ;
RECT 222.880 208.200 223.200 208.520 ;
RECT 223.280 208.200 223.600 208.520 ;
RECT 223.680 208.200 224.000 208.520 ;
RECT 224.080 208.200 224.400 208.520 ;
RECT 224.480 208.200 224.800 208.520 ;
RECT 224.880 208.200 225.200 208.520 ;
RECT 225.280 208.200 225.600 208.520 ;
RECT 225.680 208.200 226.000 208.520 ;
RECT 226.080 208.200 226.400 208.520 ;
RECT 226.480 208.200 226.800 208.520 ;
RECT 0.040 207.800 0.360 208.120 ;
RECT 0.440 207.800 0.760 208.120 ;
RECT 0.840 207.800 1.160 208.120 ;
RECT 1.240 207.800 1.560 208.120 ;
RECT 1.640 207.800 1.960 208.120 ;
RECT 2.040 207.800 2.360 208.120 ;
RECT 2.440 207.800 2.760 208.120 ;
RECT 2.840 207.800 3.160 208.120 ;
RECT 3.240 207.800 3.560 208.120 ;
RECT 3.640 207.800 3.960 208.120 ;
RECT 4.040 207.800 4.360 208.120 ;
RECT 4.440 207.800 4.760 208.120 ;
RECT 4.840 207.800 5.160 208.120 ;
RECT 5.240 207.800 5.560 208.120 ;
RECT 5.640 207.800 5.960 208.120 ;
RECT 6.040 207.800 6.360 208.120 ;
RECT 6.440 207.800 6.760 208.120 ;
RECT 6.840 207.800 7.160 208.120 ;
RECT 7.240 207.800 7.560 208.120 ;
RECT 7.640 207.800 7.960 208.120 ;
RECT 8.040 207.800 8.360 208.120 ;
RECT 8.440 207.800 8.760 208.120 ;
RECT 8.840 207.800 9.160 208.120 ;
RECT 9.240 207.800 9.560 208.120 ;
RECT 9.640 207.800 9.960 208.120 ;
RECT 10.040 207.800 10.360 208.120 ;
RECT 10.440 207.800 10.760 208.120 ;
RECT 10.840 207.800 11.160 208.120 ;
RECT 11.240 207.800 11.560 208.120 ;
RECT 11.640 207.800 11.960 208.120 ;
RECT 12.040 207.800 12.360 208.120 ;
RECT 12.440 207.800 12.760 208.120 ;
RECT 12.840 207.800 13.160 208.120 ;
RECT 13.240 207.800 13.560 208.120 ;
RECT 13.640 207.800 13.960 208.120 ;
RECT 14.040 207.800 14.360 208.120 ;
RECT 14.440 207.800 14.760 208.120 ;
RECT 14.840 207.800 15.160 208.120 ;
RECT 15.240 207.800 15.560 208.120 ;
RECT 15.640 207.800 15.960 208.120 ;
RECT 16.040 207.800 16.360 208.120 ;
RECT 16.440 207.800 16.760 208.120 ;
RECT 16.840 207.800 17.160 208.120 ;
RECT 17.240 207.800 17.560 208.120 ;
RECT 17.640 207.800 17.960 208.120 ;
RECT 18.040 207.800 18.360 208.120 ;
RECT 18.440 207.800 18.760 208.120 ;
RECT 18.840 207.800 19.160 208.120 ;
RECT 19.240 207.800 19.560 208.120 ;
RECT 19.640 207.800 19.960 208.120 ;
RECT 95.560 207.800 95.880 208.120 ;
RECT 95.960 207.800 96.280 208.120 ;
RECT 96.360 207.800 96.680 208.120 ;
RECT 96.760 207.800 97.080 208.120 ;
RECT 145.560 207.800 145.880 208.120 ;
RECT 145.960 207.800 146.280 208.120 ;
RECT 146.360 207.800 146.680 208.120 ;
RECT 146.760 207.800 147.080 208.120 ;
RECT 206.880 207.800 207.200 208.120 ;
RECT 207.280 207.800 207.600 208.120 ;
RECT 207.680 207.800 208.000 208.120 ;
RECT 208.080 207.800 208.400 208.120 ;
RECT 208.480 207.800 208.800 208.120 ;
RECT 208.880 207.800 209.200 208.120 ;
RECT 209.280 207.800 209.600 208.120 ;
RECT 209.680 207.800 210.000 208.120 ;
RECT 210.080 207.800 210.400 208.120 ;
RECT 210.480 207.800 210.800 208.120 ;
RECT 210.880 207.800 211.200 208.120 ;
RECT 211.280 207.800 211.600 208.120 ;
RECT 211.680 207.800 212.000 208.120 ;
RECT 212.080 207.800 212.400 208.120 ;
RECT 212.480 207.800 212.800 208.120 ;
RECT 212.880 207.800 213.200 208.120 ;
RECT 213.280 207.800 213.600 208.120 ;
RECT 213.680 207.800 214.000 208.120 ;
RECT 214.080 207.800 214.400 208.120 ;
RECT 214.480 207.800 214.800 208.120 ;
RECT 214.880 207.800 215.200 208.120 ;
RECT 215.280 207.800 215.600 208.120 ;
RECT 215.680 207.800 216.000 208.120 ;
RECT 216.080 207.800 216.400 208.120 ;
RECT 216.480 207.800 216.800 208.120 ;
RECT 216.880 207.800 217.200 208.120 ;
RECT 217.280 207.800 217.600 208.120 ;
RECT 217.680 207.800 218.000 208.120 ;
RECT 218.080 207.800 218.400 208.120 ;
RECT 218.480 207.800 218.800 208.120 ;
RECT 218.880 207.800 219.200 208.120 ;
RECT 219.280 207.800 219.600 208.120 ;
RECT 219.680 207.800 220.000 208.120 ;
RECT 220.080 207.800 220.400 208.120 ;
RECT 220.480 207.800 220.800 208.120 ;
RECT 220.880 207.800 221.200 208.120 ;
RECT 221.280 207.800 221.600 208.120 ;
RECT 221.680 207.800 222.000 208.120 ;
RECT 222.080 207.800 222.400 208.120 ;
RECT 222.480 207.800 222.800 208.120 ;
RECT 222.880 207.800 223.200 208.120 ;
RECT 223.280 207.800 223.600 208.120 ;
RECT 223.680 207.800 224.000 208.120 ;
RECT 224.080 207.800 224.400 208.120 ;
RECT 224.480 207.800 224.800 208.120 ;
RECT 224.880 207.800 225.200 208.120 ;
RECT 225.280 207.800 225.600 208.120 ;
RECT 225.680 207.800 226.000 208.120 ;
RECT 226.080 207.800 226.400 208.120 ;
RECT 226.480 207.800 226.800 208.120 ;
RECT 0.040 207.400 0.360 207.720 ;
RECT 0.440 207.400 0.760 207.720 ;
RECT 0.840 207.400 1.160 207.720 ;
RECT 1.240 207.400 1.560 207.720 ;
RECT 1.640 207.400 1.960 207.720 ;
RECT 2.040 207.400 2.360 207.720 ;
RECT 2.440 207.400 2.760 207.720 ;
RECT 2.840 207.400 3.160 207.720 ;
RECT 3.240 207.400 3.560 207.720 ;
RECT 3.640 207.400 3.960 207.720 ;
RECT 4.040 207.400 4.360 207.720 ;
RECT 4.440 207.400 4.760 207.720 ;
RECT 4.840 207.400 5.160 207.720 ;
RECT 5.240 207.400 5.560 207.720 ;
RECT 5.640 207.400 5.960 207.720 ;
RECT 6.040 207.400 6.360 207.720 ;
RECT 6.440 207.400 6.760 207.720 ;
RECT 6.840 207.400 7.160 207.720 ;
RECT 7.240 207.400 7.560 207.720 ;
RECT 7.640 207.400 7.960 207.720 ;
RECT 8.040 207.400 8.360 207.720 ;
RECT 8.440 207.400 8.760 207.720 ;
RECT 8.840 207.400 9.160 207.720 ;
RECT 9.240 207.400 9.560 207.720 ;
RECT 9.640 207.400 9.960 207.720 ;
RECT 10.040 207.400 10.360 207.720 ;
RECT 10.440 207.400 10.760 207.720 ;
RECT 10.840 207.400 11.160 207.720 ;
RECT 11.240 207.400 11.560 207.720 ;
RECT 11.640 207.400 11.960 207.720 ;
RECT 12.040 207.400 12.360 207.720 ;
RECT 12.440 207.400 12.760 207.720 ;
RECT 12.840 207.400 13.160 207.720 ;
RECT 13.240 207.400 13.560 207.720 ;
RECT 13.640 207.400 13.960 207.720 ;
RECT 14.040 207.400 14.360 207.720 ;
RECT 14.440 207.400 14.760 207.720 ;
RECT 14.840 207.400 15.160 207.720 ;
RECT 15.240 207.400 15.560 207.720 ;
RECT 15.640 207.400 15.960 207.720 ;
RECT 16.040 207.400 16.360 207.720 ;
RECT 16.440 207.400 16.760 207.720 ;
RECT 16.840 207.400 17.160 207.720 ;
RECT 17.240 207.400 17.560 207.720 ;
RECT 17.640 207.400 17.960 207.720 ;
RECT 18.040 207.400 18.360 207.720 ;
RECT 18.440 207.400 18.760 207.720 ;
RECT 18.840 207.400 19.160 207.720 ;
RECT 19.240 207.400 19.560 207.720 ;
RECT 19.640 207.400 19.960 207.720 ;
RECT 95.560 207.400 95.880 207.720 ;
RECT 95.960 207.400 96.280 207.720 ;
RECT 96.360 207.400 96.680 207.720 ;
RECT 96.760 207.400 97.080 207.720 ;
RECT 145.560 207.400 145.880 207.720 ;
RECT 145.960 207.400 146.280 207.720 ;
RECT 146.360 207.400 146.680 207.720 ;
RECT 146.760 207.400 147.080 207.720 ;
RECT 206.880 207.400 207.200 207.720 ;
RECT 207.280 207.400 207.600 207.720 ;
RECT 207.680 207.400 208.000 207.720 ;
RECT 208.080 207.400 208.400 207.720 ;
RECT 208.480 207.400 208.800 207.720 ;
RECT 208.880 207.400 209.200 207.720 ;
RECT 209.280 207.400 209.600 207.720 ;
RECT 209.680 207.400 210.000 207.720 ;
RECT 210.080 207.400 210.400 207.720 ;
RECT 210.480 207.400 210.800 207.720 ;
RECT 210.880 207.400 211.200 207.720 ;
RECT 211.280 207.400 211.600 207.720 ;
RECT 211.680 207.400 212.000 207.720 ;
RECT 212.080 207.400 212.400 207.720 ;
RECT 212.480 207.400 212.800 207.720 ;
RECT 212.880 207.400 213.200 207.720 ;
RECT 213.280 207.400 213.600 207.720 ;
RECT 213.680 207.400 214.000 207.720 ;
RECT 214.080 207.400 214.400 207.720 ;
RECT 214.480 207.400 214.800 207.720 ;
RECT 214.880 207.400 215.200 207.720 ;
RECT 215.280 207.400 215.600 207.720 ;
RECT 215.680 207.400 216.000 207.720 ;
RECT 216.080 207.400 216.400 207.720 ;
RECT 216.480 207.400 216.800 207.720 ;
RECT 216.880 207.400 217.200 207.720 ;
RECT 217.280 207.400 217.600 207.720 ;
RECT 217.680 207.400 218.000 207.720 ;
RECT 218.080 207.400 218.400 207.720 ;
RECT 218.480 207.400 218.800 207.720 ;
RECT 218.880 207.400 219.200 207.720 ;
RECT 219.280 207.400 219.600 207.720 ;
RECT 219.680 207.400 220.000 207.720 ;
RECT 220.080 207.400 220.400 207.720 ;
RECT 220.480 207.400 220.800 207.720 ;
RECT 220.880 207.400 221.200 207.720 ;
RECT 221.280 207.400 221.600 207.720 ;
RECT 221.680 207.400 222.000 207.720 ;
RECT 222.080 207.400 222.400 207.720 ;
RECT 222.480 207.400 222.800 207.720 ;
RECT 222.880 207.400 223.200 207.720 ;
RECT 223.280 207.400 223.600 207.720 ;
RECT 223.680 207.400 224.000 207.720 ;
RECT 224.080 207.400 224.400 207.720 ;
RECT 224.480 207.400 224.800 207.720 ;
RECT 224.880 207.400 225.200 207.720 ;
RECT 225.280 207.400 225.600 207.720 ;
RECT 225.680 207.400 226.000 207.720 ;
RECT 226.080 207.400 226.400 207.720 ;
RECT 226.480 207.400 226.800 207.720 ;
RECT 0.040 207.000 0.360 207.320 ;
RECT 0.440 207.000 0.760 207.320 ;
RECT 0.840 207.000 1.160 207.320 ;
RECT 1.240 207.000 1.560 207.320 ;
RECT 1.640 207.000 1.960 207.320 ;
RECT 2.040 207.000 2.360 207.320 ;
RECT 2.440 207.000 2.760 207.320 ;
RECT 2.840 207.000 3.160 207.320 ;
RECT 3.240 207.000 3.560 207.320 ;
RECT 3.640 207.000 3.960 207.320 ;
RECT 4.040 207.000 4.360 207.320 ;
RECT 4.440 207.000 4.760 207.320 ;
RECT 4.840 207.000 5.160 207.320 ;
RECT 5.240 207.000 5.560 207.320 ;
RECT 5.640 207.000 5.960 207.320 ;
RECT 6.040 207.000 6.360 207.320 ;
RECT 6.440 207.000 6.760 207.320 ;
RECT 6.840 207.000 7.160 207.320 ;
RECT 7.240 207.000 7.560 207.320 ;
RECT 7.640 207.000 7.960 207.320 ;
RECT 8.040 207.000 8.360 207.320 ;
RECT 8.440 207.000 8.760 207.320 ;
RECT 8.840 207.000 9.160 207.320 ;
RECT 9.240 207.000 9.560 207.320 ;
RECT 9.640 207.000 9.960 207.320 ;
RECT 10.040 207.000 10.360 207.320 ;
RECT 10.440 207.000 10.760 207.320 ;
RECT 10.840 207.000 11.160 207.320 ;
RECT 11.240 207.000 11.560 207.320 ;
RECT 11.640 207.000 11.960 207.320 ;
RECT 12.040 207.000 12.360 207.320 ;
RECT 12.440 207.000 12.760 207.320 ;
RECT 12.840 207.000 13.160 207.320 ;
RECT 13.240 207.000 13.560 207.320 ;
RECT 13.640 207.000 13.960 207.320 ;
RECT 14.040 207.000 14.360 207.320 ;
RECT 14.440 207.000 14.760 207.320 ;
RECT 14.840 207.000 15.160 207.320 ;
RECT 15.240 207.000 15.560 207.320 ;
RECT 15.640 207.000 15.960 207.320 ;
RECT 16.040 207.000 16.360 207.320 ;
RECT 16.440 207.000 16.760 207.320 ;
RECT 16.840 207.000 17.160 207.320 ;
RECT 17.240 207.000 17.560 207.320 ;
RECT 17.640 207.000 17.960 207.320 ;
RECT 18.040 207.000 18.360 207.320 ;
RECT 18.440 207.000 18.760 207.320 ;
RECT 18.840 207.000 19.160 207.320 ;
RECT 19.240 207.000 19.560 207.320 ;
RECT 19.640 207.000 19.960 207.320 ;
RECT 95.560 207.000 95.880 207.320 ;
RECT 95.960 207.000 96.280 207.320 ;
RECT 96.360 207.000 96.680 207.320 ;
RECT 96.760 207.000 97.080 207.320 ;
RECT 145.560 207.000 145.880 207.320 ;
RECT 145.960 207.000 146.280 207.320 ;
RECT 146.360 207.000 146.680 207.320 ;
RECT 146.760 207.000 147.080 207.320 ;
RECT 206.880 207.000 207.200 207.320 ;
RECT 207.280 207.000 207.600 207.320 ;
RECT 207.680 207.000 208.000 207.320 ;
RECT 208.080 207.000 208.400 207.320 ;
RECT 208.480 207.000 208.800 207.320 ;
RECT 208.880 207.000 209.200 207.320 ;
RECT 209.280 207.000 209.600 207.320 ;
RECT 209.680 207.000 210.000 207.320 ;
RECT 210.080 207.000 210.400 207.320 ;
RECT 210.480 207.000 210.800 207.320 ;
RECT 210.880 207.000 211.200 207.320 ;
RECT 211.280 207.000 211.600 207.320 ;
RECT 211.680 207.000 212.000 207.320 ;
RECT 212.080 207.000 212.400 207.320 ;
RECT 212.480 207.000 212.800 207.320 ;
RECT 212.880 207.000 213.200 207.320 ;
RECT 213.280 207.000 213.600 207.320 ;
RECT 213.680 207.000 214.000 207.320 ;
RECT 214.080 207.000 214.400 207.320 ;
RECT 214.480 207.000 214.800 207.320 ;
RECT 214.880 207.000 215.200 207.320 ;
RECT 215.280 207.000 215.600 207.320 ;
RECT 215.680 207.000 216.000 207.320 ;
RECT 216.080 207.000 216.400 207.320 ;
RECT 216.480 207.000 216.800 207.320 ;
RECT 216.880 207.000 217.200 207.320 ;
RECT 217.280 207.000 217.600 207.320 ;
RECT 217.680 207.000 218.000 207.320 ;
RECT 218.080 207.000 218.400 207.320 ;
RECT 218.480 207.000 218.800 207.320 ;
RECT 218.880 207.000 219.200 207.320 ;
RECT 219.280 207.000 219.600 207.320 ;
RECT 219.680 207.000 220.000 207.320 ;
RECT 220.080 207.000 220.400 207.320 ;
RECT 220.480 207.000 220.800 207.320 ;
RECT 220.880 207.000 221.200 207.320 ;
RECT 221.280 207.000 221.600 207.320 ;
RECT 221.680 207.000 222.000 207.320 ;
RECT 222.080 207.000 222.400 207.320 ;
RECT 222.480 207.000 222.800 207.320 ;
RECT 222.880 207.000 223.200 207.320 ;
RECT 223.280 207.000 223.600 207.320 ;
RECT 223.680 207.000 224.000 207.320 ;
RECT 224.080 207.000 224.400 207.320 ;
RECT 224.480 207.000 224.800 207.320 ;
RECT 224.880 207.000 225.200 207.320 ;
RECT 225.280 207.000 225.600 207.320 ;
RECT 225.680 207.000 226.000 207.320 ;
RECT 226.080 207.000 226.400 207.320 ;
RECT 226.480 207.000 226.800 207.320 ;
RECT 0.040 19.640 0.360 19.960 ;
RECT 0.440 19.640 0.760 19.960 ;
RECT 0.840 19.640 1.160 19.960 ;
RECT 1.240 19.640 1.560 19.960 ;
RECT 1.640 19.640 1.960 19.960 ;
RECT 2.040 19.640 2.360 19.960 ;
RECT 2.440 19.640 2.760 19.960 ;
RECT 2.840 19.640 3.160 19.960 ;
RECT 3.240 19.640 3.560 19.960 ;
RECT 3.640 19.640 3.960 19.960 ;
RECT 4.040 19.640 4.360 19.960 ;
RECT 4.440 19.640 4.760 19.960 ;
RECT 4.840 19.640 5.160 19.960 ;
RECT 5.240 19.640 5.560 19.960 ;
RECT 5.640 19.640 5.960 19.960 ;
RECT 6.040 19.640 6.360 19.960 ;
RECT 6.440 19.640 6.760 19.960 ;
RECT 6.840 19.640 7.160 19.960 ;
RECT 7.240 19.640 7.560 19.960 ;
RECT 7.640 19.640 7.960 19.960 ;
RECT 8.040 19.640 8.360 19.960 ;
RECT 8.440 19.640 8.760 19.960 ;
RECT 8.840 19.640 9.160 19.960 ;
RECT 9.240 19.640 9.560 19.960 ;
RECT 9.640 19.640 9.960 19.960 ;
RECT 10.040 19.640 10.360 19.960 ;
RECT 10.440 19.640 10.760 19.960 ;
RECT 10.840 19.640 11.160 19.960 ;
RECT 11.240 19.640 11.560 19.960 ;
RECT 11.640 19.640 11.960 19.960 ;
RECT 12.040 19.640 12.360 19.960 ;
RECT 12.440 19.640 12.760 19.960 ;
RECT 12.840 19.640 13.160 19.960 ;
RECT 13.240 19.640 13.560 19.960 ;
RECT 13.640 19.640 13.960 19.960 ;
RECT 14.040 19.640 14.360 19.960 ;
RECT 14.440 19.640 14.760 19.960 ;
RECT 14.840 19.640 15.160 19.960 ;
RECT 15.240 19.640 15.560 19.960 ;
RECT 15.640 19.640 15.960 19.960 ;
RECT 16.040 19.640 16.360 19.960 ;
RECT 16.440 19.640 16.760 19.960 ;
RECT 16.840 19.640 17.160 19.960 ;
RECT 17.240 19.640 17.560 19.960 ;
RECT 17.640 19.640 17.960 19.960 ;
RECT 18.040 19.640 18.360 19.960 ;
RECT 18.440 19.640 18.760 19.960 ;
RECT 18.840 19.640 19.160 19.960 ;
RECT 19.240 19.640 19.560 19.960 ;
RECT 19.640 19.640 19.960 19.960 ;
RECT 95.560 19.640 95.880 19.960 ;
RECT 95.960 19.640 96.280 19.960 ;
RECT 96.360 19.640 96.680 19.960 ;
RECT 96.760 19.640 97.080 19.960 ;
RECT 145.560 19.640 145.880 19.960 ;
RECT 145.960 19.640 146.280 19.960 ;
RECT 146.360 19.640 146.680 19.960 ;
RECT 146.760 19.640 147.080 19.960 ;
RECT 206.880 19.640 207.200 19.960 ;
RECT 207.280 19.640 207.600 19.960 ;
RECT 207.680 19.640 208.000 19.960 ;
RECT 208.080 19.640 208.400 19.960 ;
RECT 208.480 19.640 208.800 19.960 ;
RECT 208.880 19.640 209.200 19.960 ;
RECT 209.280 19.640 209.600 19.960 ;
RECT 209.680 19.640 210.000 19.960 ;
RECT 210.080 19.640 210.400 19.960 ;
RECT 210.480 19.640 210.800 19.960 ;
RECT 210.880 19.640 211.200 19.960 ;
RECT 211.280 19.640 211.600 19.960 ;
RECT 211.680 19.640 212.000 19.960 ;
RECT 212.080 19.640 212.400 19.960 ;
RECT 212.480 19.640 212.800 19.960 ;
RECT 212.880 19.640 213.200 19.960 ;
RECT 213.280 19.640 213.600 19.960 ;
RECT 213.680 19.640 214.000 19.960 ;
RECT 214.080 19.640 214.400 19.960 ;
RECT 214.480 19.640 214.800 19.960 ;
RECT 214.880 19.640 215.200 19.960 ;
RECT 215.280 19.640 215.600 19.960 ;
RECT 215.680 19.640 216.000 19.960 ;
RECT 216.080 19.640 216.400 19.960 ;
RECT 216.480 19.640 216.800 19.960 ;
RECT 216.880 19.640 217.200 19.960 ;
RECT 217.280 19.640 217.600 19.960 ;
RECT 217.680 19.640 218.000 19.960 ;
RECT 218.080 19.640 218.400 19.960 ;
RECT 218.480 19.640 218.800 19.960 ;
RECT 218.880 19.640 219.200 19.960 ;
RECT 219.280 19.640 219.600 19.960 ;
RECT 219.680 19.640 220.000 19.960 ;
RECT 220.080 19.640 220.400 19.960 ;
RECT 220.480 19.640 220.800 19.960 ;
RECT 220.880 19.640 221.200 19.960 ;
RECT 221.280 19.640 221.600 19.960 ;
RECT 221.680 19.640 222.000 19.960 ;
RECT 222.080 19.640 222.400 19.960 ;
RECT 222.480 19.640 222.800 19.960 ;
RECT 222.880 19.640 223.200 19.960 ;
RECT 223.280 19.640 223.600 19.960 ;
RECT 223.680 19.640 224.000 19.960 ;
RECT 224.080 19.640 224.400 19.960 ;
RECT 224.480 19.640 224.800 19.960 ;
RECT 224.880 19.640 225.200 19.960 ;
RECT 225.280 19.640 225.600 19.960 ;
RECT 225.680 19.640 226.000 19.960 ;
RECT 226.080 19.640 226.400 19.960 ;
RECT 226.480 19.640 226.800 19.960 ;
RECT 0.040 19.240 0.360 19.560 ;
RECT 0.440 19.240 0.760 19.560 ;
RECT 0.840 19.240 1.160 19.560 ;
RECT 1.240 19.240 1.560 19.560 ;
RECT 1.640 19.240 1.960 19.560 ;
RECT 2.040 19.240 2.360 19.560 ;
RECT 2.440 19.240 2.760 19.560 ;
RECT 2.840 19.240 3.160 19.560 ;
RECT 3.240 19.240 3.560 19.560 ;
RECT 3.640 19.240 3.960 19.560 ;
RECT 4.040 19.240 4.360 19.560 ;
RECT 4.440 19.240 4.760 19.560 ;
RECT 4.840 19.240 5.160 19.560 ;
RECT 5.240 19.240 5.560 19.560 ;
RECT 5.640 19.240 5.960 19.560 ;
RECT 6.040 19.240 6.360 19.560 ;
RECT 6.440 19.240 6.760 19.560 ;
RECT 6.840 19.240 7.160 19.560 ;
RECT 7.240 19.240 7.560 19.560 ;
RECT 7.640 19.240 7.960 19.560 ;
RECT 8.040 19.240 8.360 19.560 ;
RECT 8.440 19.240 8.760 19.560 ;
RECT 8.840 19.240 9.160 19.560 ;
RECT 9.240 19.240 9.560 19.560 ;
RECT 9.640 19.240 9.960 19.560 ;
RECT 10.040 19.240 10.360 19.560 ;
RECT 10.440 19.240 10.760 19.560 ;
RECT 10.840 19.240 11.160 19.560 ;
RECT 11.240 19.240 11.560 19.560 ;
RECT 11.640 19.240 11.960 19.560 ;
RECT 12.040 19.240 12.360 19.560 ;
RECT 12.440 19.240 12.760 19.560 ;
RECT 12.840 19.240 13.160 19.560 ;
RECT 13.240 19.240 13.560 19.560 ;
RECT 13.640 19.240 13.960 19.560 ;
RECT 14.040 19.240 14.360 19.560 ;
RECT 14.440 19.240 14.760 19.560 ;
RECT 14.840 19.240 15.160 19.560 ;
RECT 15.240 19.240 15.560 19.560 ;
RECT 15.640 19.240 15.960 19.560 ;
RECT 16.040 19.240 16.360 19.560 ;
RECT 16.440 19.240 16.760 19.560 ;
RECT 16.840 19.240 17.160 19.560 ;
RECT 17.240 19.240 17.560 19.560 ;
RECT 17.640 19.240 17.960 19.560 ;
RECT 18.040 19.240 18.360 19.560 ;
RECT 18.440 19.240 18.760 19.560 ;
RECT 18.840 19.240 19.160 19.560 ;
RECT 19.240 19.240 19.560 19.560 ;
RECT 19.640 19.240 19.960 19.560 ;
RECT 95.560 19.240 95.880 19.560 ;
RECT 95.960 19.240 96.280 19.560 ;
RECT 96.360 19.240 96.680 19.560 ;
RECT 96.760 19.240 97.080 19.560 ;
RECT 145.560 19.240 145.880 19.560 ;
RECT 145.960 19.240 146.280 19.560 ;
RECT 146.360 19.240 146.680 19.560 ;
RECT 146.760 19.240 147.080 19.560 ;
RECT 206.880 19.240 207.200 19.560 ;
RECT 207.280 19.240 207.600 19.560 ;
RECT 207.680 19.240 208.000 19.560 ;
RECT 208.080 19.240 208.400 19.560 ;
RECT 208.480 19.240 208.800 19.560 ;
RECT 208.880 19.240 209.200 19.560 ;
RECT 209.280 19.240 209.600 19.560 ;
RECT 209.680 19.240 210.000 19.560 ;
RECT 210.080 19.240 210.400 19.560 ;
RECT 210.480 19.240 210.800 19.560 ;
RECT 210.880 19.240 211.200 19.560 ;
RECT 211.280 19.240 211.600 19.560 ;
RECT 211.680 19.240 212.000 19.560 ;
RECT 212.080 19.240 212.400 19.560 ;
RECT 212.480 19.240 212.800 19.560 ;
RECT 212.880 19.240 213.200 19.560 ;
RECT 213.280 19.240 213.600 19.560 ;
RECT 213.680 19.240 214.000 19.560 ;
RECT 214.080 19.240 214.400 19.560 ;
RECT 214.480 19.240 214.800 19.560 ;
RECT 214.880 19.240 215.200 19.560 ;
RECT 215.280 19.240 215.600 19.560 ;
RECT 215.680 19.240 216.000 19.560 ;
RECT 216.080 19.240 216.400 19.560 ;
RECT 216.480 19.240 216.800 19.560 ;
RECT 216.880 19.240 217.200 19.560 ;
RECT 217.280 19.240 217.600 19.560 ;
RECT 217.680 19.240 218.000 19.560 ;
RECT 218.080 19.240 218.400 19.560 ;
RECT 218.480 19.240 218.800 19.560 ;
RECT 218.880 19.240 219.200 19.560 ;
RECT 219.280 19.240 219.600 19.560 ;
RECT 219.680 19.240 220.000 19.560 ;
RECT 220.080 19.240 220.400 19.560 ;
RECT 220.480 19.240 220.800 19.560 ;
RECT 220.880 19.240 221.200 19.560 ;
RECT 221.280 19.240 221.600 19.560 ;
RECT 221.680 19.240 222.000 19.560 ;
RECT 222.080 19.240 222.400 19.560 ;
RECT 222.480 19.240 222.800 19.560 ;
RECT 222.880 19.240 223.200 19.560 ;
RECT 223.280 19.240 223.600 19.560 ;
RECT 223.680 19.240 224.000 19.560 ;
RECT 224.080 19.240 224.400 19.560 ;
RECT 224.480 19.240 224.800 19.560 ;
RECT 224.880 19.240 225.200 19.560 ;
RECT 225.280 19.240 225.600 19.560 ;
RECT 225.680 19.240 226.000 19.560 ;
RECT 226.080 19.240 226.400 19.560 ;
RECT 226.480 19.240 226.800 19.560 ;
RECT 0.040 18.840 0.360 19.160 ;
RECT 0.440 18.840 0.760 19.160 ;
RECT 0.840 18.840 1.160 19.160 ;
RECT 1.240 18.840 1.560 19.160 ;
RECT 1.640 18.840 1.960 19.160 ;
RECT 2.040 18.840 2.360 19.160 ;
RECT 2.440 18.840 2.760 19.160 ;
RECT 2.840 18.840 3.160 19.160 ;
RECT 3.240 18.840 3.560 19.160 ;
RECT 3.640 18.840 3.960 19.160 ;
RECT 4.040 18.840 4.360 19.160 ;
RECT 4.440 18.840 4.760 19.160 ;
RECT 4.840 18.840 5.160 19.160 ;
RECT 5.240 18.840 5.560 19.160 ;
RECT 5.640 18.840 5.960 19.160 ;
RECT 6.040 18.840 6.360 19.160 ;
RECT 6.440 18.840 6.760 19.160 ;
RECT 6.840 18.840 7.160 19.160 ;
RECT 7.240 18.840 7.560 19.160 ;
RECT 7.640 18.840 7.960 19.160 ;
RECT 8.040 18.840 8.360 19.160 ;
RECT 8.440 18.840 8.760 19.160 ;
RECT 8.840 18.840 9.160 19.160 ;
RECT 9.240 18.840 9.560 19.160 ;
RECT 9.640 18.840 9.960 19.160 ;
RECT 10.040 18.840 10.360 19.160 ;
RECT 10.440 18.840 10.760 19.160 ;
RECT 10.840 18.840 11.160 19.160 ;
RECT 11.240 18.840 11.560 19.160 ;
RECT 11.640 18.840 11.960 19.160 ;
RECT 12.040 18.840 12.360 19.160 ;
RECT 12.440 18.840 12.760 19.160 ;
RECT 12.840 18.840 13.160 19.160 ;
RECT 13.240 18.840 13.560 19.160 ;
RECT 13.640 18.840 13.960 19.160 ;
RECT 14.040 18.840 14.360 19.160 ;
RECT 14.440 18.840 14.760 19.160 ;
RECT 14.840 18.840 15.160 19.160 ;
RECT 15.240 18.840 15.560 19.160 ;
RECT 15.640 18.840 15.960 19.160 ;
RECT 16.040 18.840 16.360 19.160 ;
RECT 16.440 18.840 16.760 19.160 ;
RECT 16.840 18.840 17.160 19.160 ;
RECT 17.240 18.840 17.560 19.160 ;
RECT 17.640 18.840 17.960 19.160 ;
RECT 18.040 18.840 18.360 19.160 ;
RECT 18.440 18.840 18.760 19.160 ;
RECT 18.840 18.840 19.160 19.160 ;
RECT 19.240 18.840 19.560 19.160 ;
RECT 19.640 18.840 19.960 19.160 ;
RECT 95.560 18.840 95.880 19.160 ;
RECT 95.960 18.840 96.280 19.160 ;
RECT 96.360 18.840 96.680 19.160 ;
RECT 96.760 18.840 97.080 19.160 ;
RECT 145.560 18.840 145.880 19.160 ;
RECT 145.960 18.840 146.280 19.160 ;
RECT 146.360 18.840 146.680 19.160 ;
RECT 146.760 18.840 147.080 19.160 ;
RECT 206.880 18.840 207.200 19.160 ;
RECT 207.280 18.840 207.600 19.160 ;
RECT 207.680 18.840 208.000 19.160 ;
RECT 208.080 18.840 208.400 19.160 ;
RECT 208.480 18.840 208.800 19.160 ;
RECT 208.880 18.840 209.200 19.160 ;
RECT 209.280 18.840 209.600 19.160 ;
RECT 209.680 18.840 210.000 19.160 ;
RECT 210.080 18.840 210.400 19.160 ;
RECT 210.480 18.840 210.800 19.160 ;
RECT 210.880 18.840 211.200 19.160 ;
RECT 211.280 18.840 211.600 19.160 ;
RECT 211.680 18.840 212.000 19.160 ;
RECT 212.080 18.840 212.400 19.160 ;
RECT 212.480 18.840 212.800 19.160 ;
RECT 212.880 18.840 213.200 19.160 ;
RECT 213.280 18.840 213.600 19.160 ;
RECT 213.680 18.840 214.000 19.160 ;
RECT 214.080 18.840 214.400 19.160 ;
RECT 214.480 18.840 214.800 19.160 ;
RECT 214.880 18.840 215.200 19.160 ;
RECT 215.280 18.840 215.600 19.160 ;
RECT 215.680 18.840 216.000 19.160 ;
RECT 216.080 18.840 216.400 19.160 ;
RECT 216.480 18.840 216.800 19.160 ;
RECT 216.880 18.840 217.200 19.160 ;
RECT 217.280 18.840 217.600 19.160 ;
RECT 217.680 18.840 218.000 19.160 ;
RECT 218.080 18.840 218.400 19.160 ;
RECT 218.480 18.840 218.800 19.160 ;
RECT 218.880 18.840 219.200 19.160 ;
RECT 219.280 18.840 219.600 19.160 ;
RECT 219.680 18.840 220.000 19.160 ;
RECT 220.080 18.840 220.400 19.160 ;
RECT 220.480 18.840 220.800 19.160 ;
RECT 220.880 18.840 221.200 19.160 ;
RECT 221.280 18.840 221.600 19.160 ;
RECT 221.680 18.840 222.000 19.160 ;
RECT 222.080 18.840 222.400 19.160 ;
RECT 222.480 18.840 222.800 19.160 ;
RECT 222.880 18.840 223.200 19.160 ;
RECT 223.280 18.840 223.600 19.160 ;
RECT 223.680 18.840 224.000 19.160 ;
RECT 224.080 18.840 224.400 19.160 ;
RECT 224.480 18.840 224.800 19.160 ;
RECT 224.880 18.840 225.200 19.160 ;
RECT 225.280 18.840 225.600 19.160 ;
RECT 225.680 18.840 226.000 19.160 ;
RECT 226.080 18.840 226.400 19.160 ;
RECT 226.480 18.840 226.800 19.160 ;
RECT 0.040 18.440 0.360 18.760 ;
RECT 0.440 18.440 0.760 18.760 ;
RECT 0.840 18.440 1.160 18.760 ;
RECT 1.240 18.440 1.560 18.760 ;
RECT 1.640 18.440 1.960 18.760 ;
RECT 2.040 18.440 2.360 18.760 ;
RECT 2.440 18.440 2.760 18.760 ;
RECT 2.840 18.440 3.160 18.760 ;
RECT 3.240 18.440 3.560 18.760 ;
RECT 3.640 18.440 3.960 18.760 ;
RECT 4.040 18.440 4.360 18.760 ;
RECT 4.440 18.440 4.760 18.760 ;
RECT 4.840 18.440 5.160 18.760 ;
RECT 5.240 18.440 5.560 18.760 ;
RECT 5.640 18.440 5.960 18.760 ;
RECT 6.040 18.440 6.360 18.760 ;
RECT 6.440 18.440 6.760 18.760 ;
RECT 6.840 18.440 7.160 18.760 ;
RECT 7.240 18.440 7.560 18.760 ;
RECT 7.640 18.440 7.960 18.760 ;
RECT 8.040 18.440 8.360 18.760 ;
RECT 8.440 18.440 8.760 18.760 ;
RECT 8.840 18.440 9.160 18.760 ;
RECT 9.240 18.440 9.560 18.760 ;
RECT 9.640 18.440 9.960 18.760 ;
RECT 10.040 18.440 10.360 18.760 ;
RECT 10.440 18.440 10.760 18.760 ;
RECT 10.840 18.440 11.160 18.760 ;
RECT 11.240 18.440 11.560 18.760 ;
RECT 11.640 18.440 11.960 18.760 ;
RECT 12.040 18.440 12.360 18.760 ;
RECT 12.440 18.440 12.760 18.760 ;
RECT 12.840 18.440 13.160 18.760 ;
RECT 13.240 18.440 13.560 18.760 ;
RECT 13.640 18.440 13.960 18.760 ;
RECT 14.040 18.440 14.360 18.760 ;
RECT 14.440 18.440 14.760 18.760 ;
RECT 14.840 18.440 15.160 18.760 ;
RECT 15.240 18.440 15.560 18.760 ;
RECT 15.640 18.440 15.960 18.760 ;
RECT 16.040 18.440 16.360 18.760 ;
RECT 16.440 18.440 16.760 18.760 ;
RECT 16.840 18.440 17.160 18.760 ;
RECT 17.240 18.440 17.560 18.760 ;
RECT 17.640 18.440 17.960 18.760 ;
RECT 18.040 18.440 18.360 18.760 ;
RECT 18.440 18.440 18.760 18.760 ;
RECT 18.840 18.440 19.160 18.760 ;
RECT 19.240 18.440 19.560 18.760 ;
RECT 19.640 18.440 19.960 18.760 ;
RECT 95.560 18.440 95.880 18.760 ;
RECT 95.960 18.440 96.280 18.760 ;
RECT 96.360 18.440 96.680 18.760 ;
RECT 96.760 18.440 97.080 18.760 ;
RECT 145.560 18.440 145.880 18.760 ;
RECT 145.960 18.440 146.280 18.760 ;
RECT 146.360 18.440 146.680 18.760 ;
RECT 146.760 18.440 147.080 18.760 ;
RECT 206.880 18.440 207.200 18.760 ;
RECT 207.280 18.440 207.600 18.760 ;
RECT 207.680 18.440 208.000 18.760 ;
RECT 208.080 18.440 208.400 18.760 ;
RECT 208.480 18.440 208.800 18.760 ;
RECT 208.880 18.440 209.200 18.760 ;
RECT 209.280 18.440 209.600 18.760 ;
RECT 209.680 18.440 210.000 18.760 ;
RECT 210.080 18.440 210.400 18.760 ;
RECT 210.480 18.440 210.800 18.760 ;
RECT 210.880 18.440 211.200 18.760 ;
RECT 211.280 18.440 211.600 18.760 ;
RECT 211.680 18.440 212.000 18.760 ;
RECT 212.080 18.440 212.400 18.760 ;
RECT 212.480 18.440 212.800 18.760 ;
RECT 212.880 18.440 213.200 18.760 ;
RECT 213.280 18.440 213.600 18.760 ;
RECT 213.680 18.440 214.000 18.760 ;
RECT 214.080 18.440 214.400 18.760 ;
RECT 214.480 18.440 214.800 18.760 ;
RECT 214.880 18.440 215.200 18.760 ;
RECT 215.280 18.440 215.600 18.760 ;
RECT 215.680 18.440 216.000 18.760 ;
RECT 216.080 18.440 216.400 18.760 ;
RECT 216.480 18.440 216.800 18.760 ;
RECT 216.880 18.440 217.200 18.760 ;
RECT 217.280 18.440 217.600 18.760 ;
RECT 217.680 18.440 218.000 18.760 ;
RECT 218.080 18.440 218.400 18.760 ;
RECT 218.480 18.440 218.800 18.760 ;
RECT 218.880 18.440 219.200 18.760 ;
RECT 219.280 18.440 219.600 18.760 ;
RECT 219.680 18.440 220.000 18.760 ;
RECT 220.080 18.440 220.400 18.760 ;
RECT 220.480 18.440 220.800 18.760 ;
RECT 220.880 18.440 221.200 18.760 ;
RECT 221.280 18.440 221.600 18.760 ;
RECT 221.680 18.440 222.000 18.760 ;
RECT 222.080 18.440 222.400 18.760 ;
RECT 222.480 18.440 222.800 18.760 ;
RECT 222.880 18.440 223.200 18.760 ;
RECT 223.280 18.440 223.600 18.760 ;
RECT 223.680 18.440 224.000 18.760 ;
RECT 224.080 18.440 224.400 18.760 ;
RECT 224.480 18.440 224.800 18.760 ;
RECT 224.880 18.440 225.200 18.760 ;
RECT 225.280 18.440 225.600 18.760 ;
RECT 225.680 18.440 226.000 18.760 ;
RECT 226.080 18.440 226.400 18.760 ;
RECT 226.480 18.440 226.800 18.760 ;
RECT 0.040 18.040 0.360 18.360 ;
RECT 0.440 18.040 0.760 18.360 ;
RECT 0.840 18.040 1.160 18.360 ;
RECT 1.240 18.040 1.560 18.360 ;
RECT 1.640 18.040 1.960 18.360 ;
RECT 2.040 18.040 2.360 18.360 ;
RECT 2.440 18.040 2.760 18.360 ;
RECT 2.840 18.040 3.160 18.360 ;
RECT 3.240 18.040 3.560 18.360 ;
RECT 3.640 18.040 3.960 18.360 ;
RECT 4.040 18.040 4.360 18.360 ;
RECT 4.440 18.040 4.760 18.360 ;
RECT 4.840 18.040 5.160 18.360 ;
RECT 5.240 18.040 5.560 18.360 ;
RECT 5.640 18.040 5.960 18.360 ;
RECT 6.040 18.040 6.360 18.360 ;
RECT 6.440 18.040 6.760 18.360 ;
RECT 6.840 18.040 7.160 18.360 ;
RECT 7.240 18.040 7.560 18.360 ;
RECT 7.640 18.040 7.960 18.360 ;
RECT 8.040 18.040 8.360 18.360 ;
RECT 8.440 18.040 8.760 18.360 ;
RECT 8.840 18.040 9.160 18.360 ;
RECT 9.240 18.040 9.560 18.360 ;
RECT 9.640 18.040 9.960 18.360 ;
RECT 10.040 18.040 10.360 18.360 ;
RECT 10.440 18.040 10.760 18.360 ;
RECT 10.840 18.040 11.160 18.360 ;
RECT 11.240 18.040 11.560 18.360 ;
RECT 11.640 18.040 11.960 18.360 ;
RECT 12.040 18.040 12.360 18.360 ;
RECT 12.440 18.040 12.760 18.360 ;
RECT 12.840 18.040 13.160 18.360 ;
RECT 13.240 18.040 13.560 18.360 ;
RECT 13.640 18.040 13.960 18.360 ;
RECT 14.040 18.040 14.360 18.360 ;
RECT 14.440 18.040 14.760 18.360 ;
RECT 14.840 18.040 15.160 18.360 ;
RECT 15.240 18.040 15.560 18.360 ;
RECT 15.640 18.040 15.960 18.360 ;
RECT 16.040 18.040 16.360 18.360 ;
RECT 16.440 18.040 16.760 18.360 ;
RECT 16.840 18.040 17.160 18.360 ;
RECT 17.240 18.040 17.560 18.360 ;
RECT 17.640 18.040 17.960 18.360 ;
RECT 18.040 18.040 18.360 18.360 ;
RECT 18.440 18.040 18.760 18.360 ;
RECT 18.840 18.040 19.160 18.360 ;
RECT 19.240 18.040 19.560 18.360 ;
RECT 19.640 18.040 19.960 18.360 ;
RECT 95.560 18.040 95.880 18.360 ;
RECT 95.960 18.040 96.280 18.360 ;
RECT 96.360 18.040 96.680 18.360 ;
RECT 96.760 18.040 97.080 18.360 ;
RECT 145.560 18.040 145.880 18.360 ;
RECT 145.960 18.040 146.280 18.360 ;
RECT 146.360 18.040 146.680 18.360 ;
RECT 146.760 18.040 147.080 18.360 ;
RECT 206.880 18.040 207.200 18.360 ;
RECT 207.280 18.040 207.600 18.360 ;
RECT 207.680 18.040 208.000 18.360 ;
RECT 208.080 18.040 208.400 18.360 ;
RECT 208.480 18.040 208.800 18.360 ;
RECT 208.880 18.040 209.200 18.360 ;
RECT 209.280 18.040 209.600 18.360 ;
RECT 209.680 18.040 210.000 18.360 ;
RECT 210.080 18.040 210.400 18.360 ;
RECT 210.480 18.040 210.800 18.360 ;
RECT 210.880 18.040 211.200 18.360 ;
RECT 211.280 18.040 211.600 18.360 ;
RECT 211.680 18.040 212.000 18.360 ;
RECT 212.080 18.040 212.400 18.360 ;
RECT 212.480 18.040 212.800 18.360 ;
RECT 212.880 18.040 213.200 18.360 ;
RECT 213.280 18.040 213.600 18.360 ;
RECT 213.680 18.040 214.000 18.360 ;
RECT 214.080 18.040 214.400 18.360 ;
RECT 214.480 18.040 214.800 18.360 ;
RECT 214.880 18.040 215.200 18.360 ;
RECT 215.280 18.040 215.600 18.360 ;
RECT 215.680 18.040 216.000 18.360 ;
RECT 216.080 18.040 216.400 18.360 ;
RECT 216.480 18.040 216.800 18.360 ;
RECT 216.880 18.040 217.200 18.360 ;
RECT 217.280 18.040 217.600 18.360 ;
RECT 217.680 18.040 218.000 18.360 ;
RECT 218.080 18.040 218.400 18.360 ;
RECT 218.480 18.040 218.800 18.360 ;
RECT 218.880 18.040 219.200 18.360 ;
RECT 219.280 18.040 219.600 18.360 ;
RECT 219.680 18.040 220.000 18.360 ;
RECT 220.080 18.040 220.400 18.360 ;
RECT 220.480 18.040 220.800 18.360 ;
RECT 220.880 18.040 221.200 18.360 ;
RECT 221.280 18.040 221.600 18.360 ;
RECT 221.680 18.040 222.000 18.360 ;
RECT 222.080 18.040 222.400 18.360 ;
RECT 222.480 18.040 222.800 18.360 ;
RECT 222.880 18.040 223.200 18.360 ;
RECT 223.280 18.040 223.600 18.360 ;
RECT 223.680 18.040 224.000 18.360 ;
RECT 224.080 18.040 224.400 18.360 ;
RECT 224.480 18.040 224.800 18.360 ;
RECT 224.880 18.040 225.200 18.360 ;
RECT 225.280 18.040 225.600 18.360 ;
RECT 225.680 18.040 226.000 18.360 ;
RECT 226.080 18.040 226.400 18.360 ;
RECT 226.480 18.040 226.800 18.360 ;
RECT 0.040 17.640 0.360 17.960 ;
RECT 0.440 17.640 0.760 17.960 ;
RECT 0.840 17.640 1.160 17.960 ;
RECT 1.240 17.640 1.560 17.960 ;
RECT 1.640 17.640 1.960 17.960 ;
RECT 2.040 17.640 2.360 17.960 ;
RECT 2.440 17.640 2.760 17.960 ;
RECT 2.840 17.640 3.160 17.960 ;
RECT 3.240 17.640 3.560 17.960 ;
RECT 3.640 17.640 3.960 17.960 ;
RECT 4.040 17.640 4.360 17.960 ;
RECT 4.440 17.640 4.760 17.960 ;
RECT 4.840 17.640 5.160 17.960 ;
RECT 5.240 17.640 5.560 17.960 ;
RECT 5.640 17.640 5.960 17.960 ;
RECT 6.040 17.640 6.360 17.960 ;
RECT 6.440 17.640 6.760 17.960 ;
RECT 6.840 17.640 7.160 17.960 ;
RECT 7.240 17.640 7.560 17.960 ;
RECT 7.640 17.640 7.960 17.960 ;
RECT 8.040 17.640 8.360 17.960 ;
RECT 8.440 17.640 8.760 17.960 ;
RECT 8.840 17.640 9.160 17.960 ;
RECT 9.240 17.640 9.560 17.960 ;
RECT 9.640 17.640 9.960 17.960 ;
RECT 10.040 17.640 10.360 17.960 ;
RECT 10.440 17.640 10.760 17.960 ;
RECT 10.840 17.640 11.160 17.960 ;
RECT 11.240 17.640 11.560 17.960 ;
RECT 11.640 17.640 11.960 17.960 ;
RECT 12.040 17.640 12.360 17.960 ;
RECT 12.440 17.640 12.760 17.960 ;
RECT 12.840 17.640 13.160 17.960 ;
RECT 13.240 17.640 13.560 17.960 ;
RECT 13.640 17.640 13.960 17.960 ;
RECT 14.040 17.640 14.360 17.960 ;
RECT 14.440 17.640 14.760 17.960 ;
RECT 14.840 17.640 15.160 17.960 ;
RECT 15.240 17.640 15.560 17.960 ;
RECT 15.640 17.640 15.960 17.960 ;
RECT 16.040 17.640 16.360 17.960 ;
RECT 16.440 17.640 16.760 17.960 ;
RECT 16.840 17.640 17.160 17.960 ;
RECT 17.240 17.640 17.560 17.960 ;
RECT 17.640 17.640 17.960 17.960 ;
RECT 18.040 17.640 18.360 17.960 ;
RECT 18.440 17.640 18.760 17.960 ;
RECT 18.840 17.640 19.160 17.960 ;
RECT 19.240 17.640 19.560 17.960 ;
RECT 19.640 17.640 19.960 17.960 ;
RECT 95.560 17.640 95.880 17.960 ;
RECT 95.960 17.640 96.280 17.960 ;
RECT 96.360 17.640 96.680 17.960 ;
RECT 96.760 17.640 97.080 17.960 ;
RECT 145.560 17.640 145.880 17.960 ;
RECT 145.960 17.640 146.280 17.960 ;
RECT 146.360 17.640 146.680 17.960 ;
RECT 146.760 17.640 147.080 17.960 ;
RECT 206.880 17.640 207.200 17.960 ;
RECT 207.280 17.640 207.600 17.960 ;
RECT 207.680 17.640 208.000 17.960 ;
RECT 208.080 17.640 208.400 17.960 ;
RECT 208.480 17.640 208.800 17.960 ;
RECT 208.880 17.640 209.200 17.960 ;
RECT 209.280 17.640 209.600 17.960 ;
RECT 209.680 17.640 210.000 17.960 ;
RECT 210.080 17.640 210.400 17.960 ;
RECT 210.480 17.640 210.800 17.960 ;
RECT 210.880 17.640 211.200 17.960 ;
RECT 211.280 17.640 211.600 17.960 ;
RECT 211.680 17.640 212.000 17.960 ;
RECT 212.080 17.640 212.400 17.960 ;
RECT 212.480 17.640 212.800 17.960 ;
RECT 212.880 17.640 213.200 17.960 ;
RECT 213.280 17.640 213.600 17.960 ;
RECT 213.680 17.640 214.000 17.960 ;
RECT 214.080 17.640 214.400 17.960 ;
RECT 214.480 17.640 214.800 17.960 ;
RECT 214.880 17.640 215.200 17.960 ;
RECT 215.280 17.640 215.600 17.960 ;
RECT 215.680 17.640 216.000 17.960 ;
RECT 216.080 17.640 216.400 17.960 ;
RECT 216.480 17.640 216.800 17.960 ;
RECT 216.880 17.640 217.200 17.960 ;
RECT 217.280 17.640 217.600 17.960 ;
RECT 217.680 17.640 218.000 17.960 ;
RECT 218.080 17.640 218.400 17.960 ;
RECT 218.480 17.640 218.800 17.960 ;
RECT 218.880 17.640 219.200 17.960 ;
RECT 219.280 17.640 219.600 17.960 ;
RECT 219.680 17.640 220.000 17.960 ;
RECT 220.080 17.640 220.400 17.960 ;
RECT 220.480 17.640 220.800 17.960 ;
RECT 220.880 17.640 221.200 17.960 ;
RECT 221.280 17.640 221.600 17.960 ;
RECT 221.680 17.640 222.000 17.960 ;
RECT 222.080 17.640 222.400 17.960 ;
RECT 222.480 17.640 222.800 17.960 ;
RECT 222.880 17.640 223.200 17.960 ;
RECT 223.280 17.640 223.600 17.960 ;
RECT 223.680 17.640 224.000 17.960 ;
RECT 224.080 17.640 224.400 17.960 ;
RECT 224.480 17.640 224.800 17.960 ;
RECT 224.880 17.640 225.200 17.960 ;
RECT 225.280 17.640 225.600 17.960 ;
RECT 225.680 17.640 226.000 17.960 ;
RECT 226.080 17.640 226.400 17.960 ;
RECT 226.480 17.640 226.800 17.960 ;
RECT 0.040 17.240 0.360 17.560 ;
RECT 0.440 17.240 0.760 17.560 ;
RECT 0.840 17.240 1.160 17.560 ;
RECT 1.240 17.240 1.560 17.560 ;
RECT 1.640 17.240 1.960 17.560 ;
RECT 2.040 17.240 2.360 17.560 ;
RECT 2.440 17.240 2.760 17.560 ;
RECT 2.840 17.240 3.160 17.560 ;
RECT 3.240 17.240 3.560 17.560 ;
RECT 3.640 17.240 3.960 17.560 ;
RECT 4.040 17.240 4.360 17.560 ;
RECT 4.440 17.240 4.760 17.560 ;
RECT 4.840 17.240 5.160 17.560 ;
RECT 5.240 17.240 5.560 17.560 ;
RECT 5.640 17.240 5.960 17.560 ;
RECT 6.040 17.240 6.360 17.560 ;
RECT 6.440 17.240 6.760 17.560 ;
RECT 6.840 17.240 7.160 17.560 ;
RECT 7.240 17.240 7.560 17.560 ;
RECT 7.640 17.240 7.960 17.560 ;
RECT 8.040 17.240 8.360 17.560 ;
RECT 8.440 17.240 8.760 17.560 ;
RECT 8.840 17.240 9.160 17.560 ;
RECT 9.240 17.240 9.560 17.560 ;
RECT 9.640 17.240 9.960 17.560 ;
RECT 10.040 17.240 10.360 17.560 ;
RECT 10.440 17.240 10.760 17.560 ;
RECT 10.840 17.240 11.160 17.560 ;
RECT 11.240 17.240 11.560 17.560 ;
RECT 11.640 17.240 11.960 17.560 ;
RECT 12.040 17.240 12.360 17.560 ;
RECT 12.440 17.240 12.760 17.560 ;
RECT 12.840 17.240 13.160 17.560 ;
RECT 13.240 17.240 13.560 17.560 ;
RECT 13.640 17.240 13.960 17.560 ;
RECT 14.040 17.240 14.360 17.560 ;
RECT 14.440 17.240 14.760 17.560 ;
RECT 14.840 17.240 15.160 17.560 ;
RECT 15.240 17.240 15.560 17.560 ;
RECT 15.640 17.240 15.960 17.560 ;
RECT 16.040 17.240 16.360 17.560 ;
RECT 16.440 17.240 16.760 17.560 ;
RECT 16.840 17.240 17.160 17.560 ;
RECT 17.240 17.240 17.560 17.560 ;
RECT 17.640 17.240 17.960 17.560 ;
RECT 18.040 17.240 18.360 17.560 ;
RECT 18.440 17.240 18.760 17.560 ;
RECT 18.840 17.240 19.160 17.560 ;
RECT 19.240 17.240 19.560 17.560 ;
RECT 19.640 17.240 19.960 17.560 ;
RECT 95.560 17.240 95.880 17.560 ;
RECT 95.960 17.240 96.280 17.560 ;
RECT 96.360 17.240 96.680 17.560 ;
RECT 96.760 17.240 97.080 17.560 ;
RECT 145.560 17.240 145.880 17.560 ;
RECT 145.960 17.240 146.280 17.560 ;
RECT 146.360 17.240 146.680 17.560 ;
RECT 146.760 17.240 147.080 17.560 ;
RECT 206.880 17.240 207.200 17.560 ;
RECT 207.280 17.240 207.600 17.560 ;
RECT 207.680 17.240 208.000 17.560 ;
RECT 208.080 17.240 208.400 17.560 ;
RECT 208.480 17.240 208.800 17.560 ;
RECT 208.880 17.240 209.200 17.560 ;
RECT 209.280 17.240 209.600 17.560 ;
RECT 209.680 17.240 210.000 17.560 ;
RECT 210.080 17.240 210.400 17.560 ;
RECT 210.480 17.240 210.800 17.560 ;
RECT 210.880 17.240 211.200 17.560 ;
RECT 211.280 17.240 211.600 17.560 ;
RECT 211.680 17.240 212.000 17.560 ;
RECT 212.080 17.240 212.400 17.560 ;
RECT 212.480 17.240 212.800 17.560 ;
RECT 212.880 17.240 213.200 17.560 ;
RECT 213.280 17.240 213.600 17.560 ;
RECT 213.680 17.240 214.000 17.560 ;
RECT 214.080 17.240 214.400 17.560 ;
RECT 214.480 17.240 214.800 17.560 ;
RECT 214.880 17.240 215.200 17.560 ;
RECT 215.280 17.240 215.600 17.560 ;
RECT 215.680 17.240 216.000 17.560 ;
RECT 216.080 17.240 216.400 17.560 ;
RECT 216.480 17.240 216.800 17.560 ;
RECT 216.880 17.240 217.200 17.560 ;
RECT 217.280 17.240 217.600 17.560 ;
RECT 217.680 17.240 218.000 17.560 ;
RECT 218.080 17.240 218.400 17.560 ;
RECT 218.480 17.240 218.800 17.560 ;
RECT 218.880 17.240 219.200 17.560 ;
RECT 219.280 17.240 219.600 17.560 ;
RECT 219.680 17.240 220.000 17.560 ;
RECT 220.080 17.240 220.400 17.560 ;
RECT 220.480 17.240 220.800 17.560 ;
RECT 220.880 17.240 221.200 17.560 ;
RECT 221.280 17.240 221.600 17.560 ;
RECT 221.680 17.240 222.000 17.560 ;
RECT 222.080 17.240 222.400 17.560 ;
RECT 222.480 17.240 222.800 17.560 ;
RECT 222.880 17.240 223.200 17.560 ;
RECT 223.280 17.240 223.600 17.560 ;
RECT 223.680 17.240 224.000 17.560 ;
RECT 224.080 17.240 224.400 17.560 ;
RECT 224.480 17.240 224.800 17.560 ;
RECT 224.880 17.240 225.200 17.560 ;
RECT 225.280 17.240 225.600 17.560 ;
RECT 225.680 17.240 226.000 17.560 ;
RECT 226.080 17.240 226.400 17.560 ;
RECT 226.480 17.240 226.800 17.560 ;
RECT 0.040 16.840 0.360 17.160 ;
RECT 0.440 16.840 0.760 17.160 ;
RECT 0.840 16.840 1.160 17.160 ;
RECT 1.240 16.840 1.560 17.160 ;
RECT 1.640 16.840 1.960 17.160 ;
RECT 2.040 16.840 2.360 17.160 ;
RECT 2.440 16.840 2.760 17.160 ;
RECT 2.840 16.840 3.160 17.160 ;
RECT 3.240 16.840 3.560 17.160 ;
RECT 3.640 16.840 3.960 17.160 ;
RECT 4.040 16.840 4.360 17.160 ;
RECT 4.440 16.840 4.760 17.160 ;
RECT 4.840 16.840 5.160 17.160 ;
RECT 5.240 16.840 5.560 17.160 ;
RECT 5.640 16.840 5.960 17.160 ;
RECT 6.040 16.840 6.360 17.160 ;
RECT 6.440 16.840 6.760 17.160 ;
RECT 6.840 16.840 7.160 17.160 ;
RECT 7.240 16.840 7.560 17.160 ;
RECT 7.640 16.840 7.960 17.160 ;
RECT 8.040 16.840 8.360 17.160 ;
RECT 8.440 16.840 8.760 17.160 ;
RECT 8.840 16.840 9.160 17.160 ;
RECT 9.240 16.840 9.560 17.160 ;
RECT 9.640 16.840 9.960 17.160 ;
RECT 10.040 16.840 10.360 17.160 ;
RECT 10.440 16.840 10.760 17.160 ;
RECT 10.840 16.840 11.160 17.160 ;
RECT 11.240 16.840 11.560 17.160 ;
RECT 11.640 16.840 11.960 17.160 ;
RECT 12.040 16.840 12.360 17.160 ;
RECT 12.440 16.840 12.760 17.160 ;
RECT 12.840 16.840 13.160 17.160 ;
RECT 13.240 16.840 13.560 17.160 ;
RECT 13.640 16.840 13.960 17.160 ;
RECT 14.040 16.840 14.360 17.160 ;
RECT 14.440 16.840 14.760 17.160 ;
RECT 14.840 16.840 15.160 17.160 ;
RECT 15.240 16.840 15.560 17.160 ;
RECT 15.640 16.840 15.960 17.160 ;
RECT 16.040 16.840 16.360 17.160 ;
RECT 16.440 16.840 16.760 17.160 ;
RECT 16.840 16.840 17.160 17.160 ;
RECT 17.240 16.840 17.560 17.160 ;
RECT 17.640 16.840 17.960 17.160 ;
RECT 18.040 16.840 18.360 17.160 ;
RECT 18.440 16.840 18.760 17.160 ;
RECT 18.840 16.840 19.160 17.160 ;
RECT 19.240 16.840 19.560 17.160 ;
RECT 19.640 16.840 19.960 17.160 ;
RECT 95.560 16.840 95.880 17.160 ;
RECT 95.960 16.840 96.280 17.160 ;
RECT 96.360 16.840 96.680 17.160 ;
RECT 96.760 16.840 97.080 17.160 ;
RECT 145.560 16.840 145.880 17.160 ;
RECT 145.960 16.840 146.280 17.160 ;
RECT 146.360 16.840 146.680 17.160 ;
RECT 146.760 16.840 147.080 17.160 ;
RECT 206.880 16.840 207.200 17.160 ;
RECT 207.280 16.840 207.600 17.160 ;
RECT 207.680 16.840 208.000 17.160 ;
RECT 208.080 16.840 208.400 17.160 ;
RECT 208.480 16.840 208.800 17.160 ;
RECT 208.880 16.840 209.200 17.160 ;
RECT 209.280 16.840 209.600 17.160 ;
RECT 209.680 16.840 210.000 17.160 ;
RECT 210.080 16.840 210.400 17.160 ;
RECT 210.480 16.840 210.800 17.160 ;
RECT 210.880 16.840 211.200 17.160 ;
RECT 211.280 16.840 211.600 17.160 ;
RECT 211.680 16.840 212.000 17.160 ;
RECT 212.080 16.840 212.400 17.160 ;
RECT 212.480 16.840 212.800 17.160 ;
RECT 212.880 16.840 213.200 17.160 ;
RECT 213.280 16.840 213.600 17.160 ;
RECT 213.680 16.840 214.000 17.160 ;
RECT 214.080 16.840 214.400 17.160 ;
RECT 214.480 16.840 214.800 17.160 ;
RECT 214.880 16.840 215.200 17.160 ;
RECT 215.280 16.840 215.600 17.160 ;
RECT 215.680 16.840 216.000 17.160 ;
RECT 216.080 16.840 216.400 17.160 ;
RECT 216.480 16.840 216.800 17.160 ;
RECT 216.880 16.840 217.200 17.160 ;
RECT 217.280 16.840 217.600 17.160 ;
RECT 217.680 16.840 218.000 17.160 ;
RECT 218.080 16.840 218.400 17.160 ;
RECT 218.480 16.840 218.800 17.160 ;
RECT 218.880 16.840 219.200 17.160 ;
RECT 219.280 16.840 219.600 17.160 ;
RECT 219.680 16.840 220.000 17.160 ;
RECT 220.080 16.840 220.400 17.160 ;
RECT 220.480 16.840 220.800 17.160 ;
RECT 220.880 16.840 221.200 17.160 ;
RECT 221.280 16.840 221.600 17.160 ;
RECT 221.680 16.840 222.000 17.160 ;
RECT 222.080 16.840 222.400 17.160 ;
RECT 222.480 16.840 222.800 17.160 ;
RECT 222.880 16.840 223.200 17.160 ;
RECT 223.280 16.840 223.600 17.160 ;
RECT 223.680 16.840 224.000 17.160 ;
RECT 224.080 16.840 224.400 17.160 ;
RECT 224.480 16.840 224.800 17.160 ;
RECT 224.880 16.840 225.200 17.160 ;
RECT 225.280 16.840 225.600 17.160 ;
RECT 225.680 16.840 226.000 17.160 ;
RECT 226.080 16.840 226.400 17.160 ;
RECT 226.480 16.840 226.800 17.160 ;
RECT 0.040 16.440 0.360 16.760 ;
RECT 0.440 16.440 0.760 16.760 ;
RECT 0.840 16.440 1.160 16.760 ;
RECT 1.240 16.440 1.560 16.760 ;
RECT 1.640 16.440 1.960 16.760 ;
RECT 2.040 16.440 2.360 16.760 ;
RECT 2.440 16.440 2.760 16.760 ;
RECT 2.840 16.440 3.160 16.760 ;
RECT 3.240 16.440 3.560 16.760 ;
RECT 3.640 16.440 3.960 16.760 ;
RECT 4.040 16.440 4.360 16.760 ;
RECT 4.440 16.440 4.760 16.760 ;
RECT 4.840 16.440 5.160 16.760 ;
RECT 5.240 16.440 5.560 16.760 ;
RECT 5.640 16.440 5.960 16.760 ;
RECT 6.040 16.440 6.360 16.760 ;
RECT 6.440 16.440 6.760 16.760 ;
RECT 6.840 16.440 7.160 16.760 ;
RECT 7.240 16.440 7.560 16.760 ;
RECT 7.640 16.440 7.960 16.760 ;
RECT 8.040 16.440 8.360 16.760 ;
RECT 8.440 16.440 8.760 16.760 ;
RECT 8.840 16.440 9.160 16.760 ;
RECT 9.240 16.440 9.560 16.760 ;
RECT 9.640 16.440 9.960 16.760 ;
RECT 10.040 16.440 10.360 16.760 ;
RECT 10.440 16.440 10.760 16.760 ;
RECT 10.840 16.440 11.160 16.760 ;
RECT 11.240 16.440 11.560 16.760 ;
RECT 11.640 16.440 11.960 16.760 ;
RECT 12.040 16.440 12.360 16.760 ;
RECT 12.440 16.440 12.760 16.760 ;
RECT 12.840 16.440 13.160 16.760 ;
RECT 13.240 16.440 13.560 16.760 ;
RECT 13.640 16.440 13.960 16.760 ;
RECT 14.040 16.440 14.360 16.760 ;
RECT 14.440 16.440 14.760 16.760 ;
RECT 14.840 16.440 15.160 16.760 ;
RECT 15.240 16.440 15.560 16.760 ;
RECT 15.640 16.440 15.960 16.760 ;
RECT 16.040 16.440 16.360 16.760 ;
RECT 16.440 16.440 16.760 16.760 ;
RECT 16.840 16.440 17.160 16.760 ;
RECT 17.240 16.440 17.560 16.760 ;
RECT 17.640 16.440 17.960 16.760 ;
RECT 18.040 16.440 18.360 16.760 ;
RECT 18.440 16.440 18.760 16.760 ;
RECT 18.840 16.440 19.160 16.760 ;
RECT 19.240 16.440 19.560 16.760 ;
RECT 19.640 16.440 19.960 16.760 ;
RECT 95.560 16.440 95.880 16.760 ;
RECT 95.960 16.440 96.280 16.760 ;
RECT 96.360 16.440 96.680 16.760 ;
RECT 96.760 16.440 97.080 16.760 ;
RECT 145.560 16.440 145.880 16.760 ;
RECT 145.960 16.440 146.280 16.760 ;
RECT 146.360 16.440 146.680 16.760 ;
RECT 146.760 16.440 147.080 16.760 ;
RECT 206.880 16.440 207.200 16.760 ;
RECT 207.280 16.440 207.600 16.760 ;
RECT 207.680 16.440 208.000 16.760 ;
RECT 208.080 16.440 208.400 16.760 ;
RECT 208.480 16.440 208.800 16.760 ;
RECT 208.880 16.440 209.200 16.760 ;
RECT 209.280 16.440 209.600 16.760 ;
RECT 209.680 16.440 210.000 16.760 ;
RECT 210.080 16.440 210.400 16.760 ;
RECT 210.480 16.440 210.800 16.760 ;
RECT 210.880 16.440 211.200 16.760 ;
RECT 211.280 16.440 211.600 16.760 ;
RECT 211.680 16.440 212.000 16.760 ;
RECT 212.080 16.440 212.400 16.760 ;
RECT 212.480 16.440 212.800 16.760 ;
RECT 212.880 16.440 213.200 16.760 ;
RECT 213.280 16.440 213.600 16.760 ;
RECT 213.680 16.440 214.000 16.760 ;
RECT 214.080 16.440 214.400 16.760 ;
RECT 214.480 16.440 214.800 16.760 ;
RECT 214.880 16.440 215.200 16.760 ;
RECT 215.280 16.440 215.600 16.760 ;
RECT 215.680 16.440 216.000 16.760 ;
RECT 216.080 16.440 216.400 16.760 ;
RECT 216.480 16.440 216.800 16.760 ;
RECT 216.880 16.440 217.200 16.760 ;
RECT 217.280 16.440 217.600 16.760 ;
RECT 217.680 16.440 218.000 16.760 ;
RECT 218.080 16.440 218.400 16.760 ;
RECT 218.480 16.440 218.800 16.760 ;
RECT 218.880 16.440 219.200 16.760 ;
RECT 219.280 16.440 219.600 16.760 ;
RECT 219.680 16.440 220.000 16.760 ;
RECT 220.080 16.440 220.400 16.760 ;
RECT 220.480 16.440 220.800 16.760 ;
RECT 220.880 16.440 221.200 16.760 ;
RECT 221.280 16.440 221.600 16.760 ;
RECT 221.680 16.440 222.000 16.760 ;
RECT 222.080 16.440 222.400 16.760 ;
RECT 222.480 16.440 222.800 16.760 ;
RECT 222.880 16.440 223.200 16.760 ;
RECT 223.280 16.440 223.600 16.760 ;
RECT 223.680 16.440 224.000 16.760 ;
RECT 224.080 16.440 224.400 16.760 ;
RECT 224.480 16.440 224.800 16.760 ;
RECT 224.880 16.440 225.200 16.760 ;
RECT 225.280 16.440 225.600 16.760 ;
RECT 225.680 16.440 226.000 16.760 ;
RECT 226.080 16.440 226.400 16.760 ;
RECT 226.480 16.440 226.800 16.760 ;
RECT 0.040 16.040 0.360 16.360 ;
RECT 0.440 16.040 0.760 16.360 ;
RECT 0.840 16.040 1.160 16.360 ;
RECT 1.240 16.040 1.560 16.360 ;
RECT 1.640 16.040 1.960 16.360 ;
RECT 2.040 16.040 2.360 16.360 ;
RECT 2.440 16.040 2.760 16.360 ;
RECT 2.840 16.040 3.160 16.360 ;
RECT 3.240 16.040 3.560 16.360 ;
RECT 3.640 16.040 3.960 16.360 ;
RECT 4.040 16.040 4.360 16.360 ;
RECT 4.440 16.040 4.760 16.360 ;
RECT 4.840 16.040 5.160 16.360 ;
RECT 5.240 16.040 5.560 16.360 ;
RECT 5.640 16.040 5.960 16.360 ;
RECT 6.040 16.040 6.360 16.360 ;
RECT 6.440 16.040 6.760 16.360 ;
RECT 6.840 16.040 7.160 16.360 ;
RECT 7.240 16.040 7.560 16.360 ;
RECT 7.640 16.040 7.960 16.360 ;
RECT 8.040 16.040 8.360 16.360 ;
RECT 8.440 16.040 8.760 16.360 ;
RECT 8.840 16.040 9.160 16.360 ;
RECT 9.240 16.040 9.560 16.360 ;
RECT 9.640 16.040 9.960 16.360 ;
RECT 10.040 16.040 10.360 16.360 ;
RECT 10.440 16.040 10.760 16.360 ;
RECT 10.840 16.040 11.160 16.360 ;
RECT 11.240 16.040 11.560 16.360 ;
RECT 11.640 16.040 11.960 16.360 ;
RECT 12.040 16.040 12.360 16.360 ;
RECT 12.440 16.040 12.760 16.360 ;
RECT 12.840 16.040 13.160 16.360 ;
RECT 13.240 16.040 13.560 16.360 ;
RECT 13.640 16.040 13.960 16.360 ;
RECT 14.040 16.040 14.360 16.360 ;
RECT 14.440 16.040 14.760 16.360 ;
RECT 14.840 16.040 15.160 16.360 ;
RECT 15.240 16.040 15.560 16.360 ;
RECT 15.640 16.040 15.960 16.360 ;
RECT 16.040 16.040 16.360 16.360 ;
RECT 16.440 16.040 16.760 16.360 ;
RECT 16.840 16.040 17.160 16.360 ;
RECT 17.240 16.040 17.560 16.360 ;
RECT 17.640 16.040 17.960 16.360 ;
RECT 18.040 16.040 18.360 16.360 ;
RECT 18.440 16.040 18.760 16.360 ;
RECT 18.840 16.040 19.160 16.360 ;
RECT 19.240 16.040 19.560 16.360 ;
RECT 19.640 16.040 19.960 16.360 ;
RECT 95.560 16.040 95.880 16.360 ;
RECT 95.960 16.040 96.280 16.360 ;
RECT 96.360 16.040 96.680 16.360 ;
RECT 96.760 16.040 97.080 16.360 ;
RECT 145.560 16.040 145.880 16.360 ;
RECT 145.960 16.040 146.280 16.360 ;
RECT 146.360 16.040 146.680 16.360 ;
RECT 146.760 16.040 147.080 16.360 ;
RECT 206.880 16.040 207.200 16.360 ;
RECT 207.280 16.040 207.600 16.360 ;
RECT 207.680 16.040 208.000 16.360 ;
RECT 208.080 16.040 208.400 16.360 ;
RECT 208.480 16.040 208.800 16.360 ;
RECT 208.880 16.040 209.200 16.360 ;
RECT 209.280 16.040 209.600 16.360 ;
RECT 209.680 16.040 210.000 16.360 ;
RECT 210.080 16.040 210.400 16.360 ;
RECT 210.480 16.040 210.800 16.360 ;
RECT 210.880 16.040 211.200 16.360 ;
RECT 211.280 16.040 211.600 16.360 ;
RECT 211.680 16.040 212.000 16.360 ;
RECT 212.080 16.040 212.400 16.360 ;
RECT 212.480 16.040 212.800 16.360 ;
RECT 212.880 16.040 213.200 16.360 ;
RECT 213.280 16.040 213.600 16.360 ;
RECT 213.680 16.040 214.000 16.360 ;
RECT 214.080 16.040 214.400 16.360 ;
RECT 214.480 16.040 214.800 16.360 ;
RECT 214.880 16.040 215.200 16.360 ;
RECT 215.280 16.040 215.600 16.360 ;
RECT 215.680 16.040 216.000 16.360 ;
RECT 216.080 16.040 216.400 16.360 ;
RECT 216.480 16.040 216.800 16.360 ;
RECT 216.880 16.040 217.200 16.360 ;
RECT 217.280 16.040 217.600 16.360 ;
RECT 217.680 16.040 218.000 16.360 ;
RECT 218.080 16.040 218.400 16.360 ;
RECT 218.480 16.040 218.800 16.360 ;
RECT 218.880 16.040 219.200 16.360 ;
RECT 219.280 16.040 219.600 16.360 ;
RECT 219.680 16.040 220.000 16.360 ;
RECT 220.080 16.040 220.400 16.360 ;
RECT 220.480 16.040 220.800 16.360 ;
RECT 220.880 16.040 221.200 16.360 ;
RECT 221.280 16.040 221.600 16.360 ;
RECT 221.680 16.040 222.000 16.360 ;
RECT 222.080 16.040 222.400 16.360 ;
RECT 222.480 16.040 222.800 16.360 ;
RECT 222.880 16.040 223.200 16.360 ;
RECT 223.280 16.040 223.600 16.360 ;
RECT 223.680 16.040 224.000 16.360 ;
RECT 224.080 16.040 224.400 16.360 ;
RECT 224.480 16.040 224.800 16.360 ;
RECT 224.880 16.040 225.200 16.360 ;
RECT 225.280 16.040 225.600 16.360 ;
RECT 225.680 16.040 226.000 16.360 ;
RECT 226.080 16.040 226.400 16.360 ;
RECT 226.480 16.040 226.800 16.360 ;
RECT 0.040 15.640 0.360 15.960 ;
RECT 0.440 15.640 0.760 15.960 ;
RECT 0.840 15.640 1.160 15.960 ;
RECT 1.240 15.640 1.560 15.960 ;
RECT 1.640 15.640 1.960 15.960 ;
RECT 2.040 15.640 2.360 15.960 ;
RECT 2.440 15.640 2.760 15.960 ;
RECT 2.840 15.640 3.160 15.960 ;
RECT 3.240 15.640 3.560 15.960 ;
RECT 3.640 15.640 3.960 15.960 ;
RECT 4.040 15.640 4.360 15.960 ;
RECT 4.440 15.640 4.760 15.960 ;
RECT 4.840 15.640 5.160 15.960 ;
RECT 5.240 15.640 5.560 15.960 ;
RECT 5.640 15.640 5.960 15.960 ;
RECT 6.040 15.640 6.360 15.960 ;
RECT 6.440 15.640 6.760 15.960 ;
RECT 6.840 15.640 7.160 15.960 ;
RECT 7.240 15.640 7.560 15.960 ;
RECT 7.640 15.640 7.960 15.960 ;
RECT 8.040 15.640 8.360 15.960 ;
RECT 8.440 15.640 8.760 15.960 ;
RECT 8.840 15.640 9.160 15.960 ;
RECT 9.240 15.640 9.560 15.960 ;
RECT 9.640 15.640 9.960 15.960 ;
RECT 10.040 15.640 10.360 15.960 ;
RECT 10.440 15.640 10.760 15.960 ;
RECT 10.840 15.640 11.160 15.960 ;
RECT 11.240 15.640 11.560 15.960 ;
RECT 11.640 15.640 11.960 15.960 ;
RECT 12.040 15.640 12.360 15.960 ;
RECT 12.440 15.640 12.760 15.960 ;
RECT 12.840 15.640 13.160 15.960 ;
RECT 13.240 15.640 13.560 15.960 ;
RECT 13.640 15.640 13.960 15.960 ;
RECT 14.040 15.640 14.360 15.960 ;
RECT 14.440 15.640 14.760 15.960 ;
RECT 14.840 15.640 15.160 15.960 ;
RECT 15.240 15.640 15.560 15.960 ;
RECT 15.640 15.640 15.960 15.960 ;
RECT 16.040 15.640 16.360 15.960 ;
RECT 16.440 15.640 16.760 15.960 ;
RECT 16.840 15.640 17.160 15.960 ;
RECT 17.240 15.640 17.560 15.960 ;
RECT 17.640 15.640 17.960 15.960 ;
RECT 18.040 15.640 18.360 15.960 ;
RECT 18.440 15.640 18.760 15.960 ;
RECT 18.840 15.640 19.160 15.960 ;
RECT 19.240 15.640 19.560 15.960 ;
RECT 19.640 15.640 19.960 15.960 ;
RECT 95.560 15.640 95.880 15.960 ;
RECT 95.960 15.640 96.280 15.960 ;
RECT 96.360 15.640 96.680 15.960 ;
RECT 96.760 15.640 97.080 15.960 ;
RECT 145.560 15.640 145.880 15.960 ;
RECT 145.960 15.640 146.280 15.960 ;
RECT 146.360 15.640 146.680 15.960 ;
RECT 146.760 15.640 147.080 15.960 ;
RECT 206.880 15.640 207.200 15.960 ;
RECT 207.280 15.640 207.600 15.960 ;
RECT 207.680 15.640 208.000 15.960 ;
RECT 208.080 15.640 208.400 15.960 ;
RECT 208.480 15.640 208.800 15.960 ;
RECT 208.880 15.640 209.200 15.960 ;
RECT 209.280 15.640 209.600 15.960 ;
RECT 209.680 15.640 210.000 15.960 ;
RECT 210.080 15.640 210.400 15.960 ;
RECT 210.480 15.640 210.800 15.960 ;
RECT 210.880 15.640 211.200 15.960 ;
RECT 211.280 15.640 211.600 15.960 ;
RECT 211.680 15.640 212.000 15.960 ;
RECT 212.080 15.640 212.400 15.960 ;
RECT 212.480 15.640 212.800 15.960 ;
RECT 212.880 15.640 213.200 15.960 ;
RECT 213.280 15.640 213.600 15.960 ;
RECT 213.680 15.640 214.000 15.960 ;
RECT 214.080 15.640 214.400 15.960 ;
RECT 214.480 15.640 214.800 15.960 ;
RECT 214.880 15.640 215.200 15.960 ;
RECT 215.280 15.640 215.600 15.960 ;
RECT 215.680 15.640 216.000 15.960 ;
RECT 216.080 15.640 216.400 15.960 ;
RECT 216.480 15.640 216.800 15.960 ;
RECT 216.880 15.640 217.200 15.960 ;
RECT 217.280 15.640 217.600 15.960 ;
RECT 217.680 15.640 218.000 15.960 ;
RECT 218.080 15.640 218.400 15.960 ;
RECT 218.480 15.640 218.800 15.960 ;
RECT 218.880 15.640 219.200 15.960 ;
RECT 219.280 15.640 219.600 15.960 ;
RECT 219.680 15.640 220.000 15.960 ;
RECT 220.080 15.640 220.400 15.960 ;
RECT 220.480 15.640 220.800 15.960 ;
RECT 220.880 15.640 221.200 15.960 ;
RECT 221.280 15.640 221.600 15.960 ;
RECT 221.680 15.640 222.000 15.960 ;
RECT 222.080 15.640 222.400 15.960 ;
RECT 222.480 15.640 222.800 15.960 ;
RECT 222.880 15.640 223.200 15.960 ;
RECT 223.280 15.640 223.600 15.960 ;
RECT 223.680 15.640 224.000 15.960 ;
RECT 224.080 15.640 224.400 15.960 ;
RECT 224.480 15.640 224.800 15.960 ;
RECT 224.880 15.640 225.200 15.960 ;
RECT 225.280 15.640 225.600 15.960 ;
RECT 225.680 15.640 226.000 15.960 ;
RECT 226.080 15.640 226.400 15.960 ;
RECT 226.480 15.640 226.800 15.960 ;
RECT 0.040 15.240 0.360 15.560 ;
RECT 0.440 15.240 0.760 15.560 ;
RECT 0.840 15.240 1.160 15.560 ;
RECT 1.240 15.240 1.560 15.560 ;
RECT 1.640 15.240 1.960 15.560 ;
RECT 2.040 15.240 2.360 15.560 ;
RECT 2.440 15.240 2.760 15.560 ;
RECT 2.840 15.240 3.160 15.560 ;
RECT 3.240 15.240 3.560 15.560 ;
RECT 3.640 15.240 3.960 15.560 ;
RECT 4.040 15.240 4.360 15.560 ;
RECT 4.440 15.240 4.760 15.560 ;
RECT 4.840 15.240 5.160 15.560 ;
RECT 5.240 15.240 5.560 15.560 ;
RECT 5.640 15.240 5.960 15.560 ;
RECT 6.040 15.240 6.360 15.560 ;
RECT 6.440 15.240 6.760 15.560 ;
RECT 6.840 15.240 7.160 15.560 ;
RECT 7.240 15.240 7.560 15.560 ;
RECT 7.640 15.240 7.960 15.560 ;
RECT 8.040 15.240 8.360 15.560 ;
RECT 8.440 15.240 8.760 15.560 ;
RECT 8.840 15.240 9.160 15.560 ;
RECT 9.240 15.240 9.560 15.560 ;
RECT 9.640 15.240 9.960 15.560 ;
RECT 10.040 15.240 10.360 15.560 ;
RECT 10.440 15.240 10.760 15.560 ;
RECT 10.840 15.240 11.160 15.560 ;
RECT 11.240 15.240 11.560 15.560 ;
RECT 11.640 15.240 11.960 15.560 ;
RECT 12.040 15.240 12.360 15.560 ;
RECT 12.440 15.240 12.760 15.560 ;
RECT 12.840 15.240 13.160 15.560 ;
RECT 13.240 15.240 13.560 15.560 ;
RECT 13.640 15.240 13.960 15.560 ;
RECT 14.040 15.240 14.360 15.560 ;
RECT 14.440 15.240 14.760 15.560 ;
RECT 14.840 15.240 15.160 15.560 ;
RECT 15.240 15.240 15.560 15.560 ;
RECT 15.640 15.240 15.960 15.560 ;
RECT 16.040 15.240 16.360 15.560 ;
RECT 16.440 15.240 16.760 15.560 ;
RECT 16.840 15.240 17.160 15.560 ;
RECT 17.240 15.240 17.560 15.560 ;
RECT 17.640 15.240 17.960 15.560 ;
RECT 18.040 15.240 18.360 15.560 ;
RECT 18.440 15.240 18.760 15.560 ;
RECT 18.840 15.240 19.160 15.560 ;
RECT 19.240 15.240 19.560 15.560 ;
RECT 19.640 15.240 19.960 15.560 ;
RECT 95.560 15.240 95.880 15.560 ;
RECT 95.960 15.240 96.280 15.560 ;
RECT 96.360 15.240 96.680 15.560 ;
RECT 96.760 15.240 97.080 15.560 ;
RECT 145.560 15.240 145.880 15.560 ;
RECT 145.960 15.240 146.280 15.560 ;
RECT 146.360 15.240 146.680 15.560 ;
RECT 146.760 15.240 147.080 15.560 ;
RECT 206.880 15.240 207.200 15.560 ;
RECT 207.280 15.240 207.600 15.560 ;
RECT 207.680 15.240 208.000 15.560 ;
RECT 208.080 15.240 208.400 15.560 ;
RECT 208.480 15.240 208.800 15.560 ;
RECT 208.880 15.240 209.200 15.560 ;
RECT 209.280 15.240 209.600 15.560 ;
RECT 209.680 15.240 210.000 15.560 ;
RECT 210.080 15.240 210.400 15.560 ;
RECT 210.480 15.240 210.800 15.560 ;
RECT 210.880 15.240 211.200 15.560 ;
RECT 211.280 15.240 211.600 15.560 ;
RECT 211.680 15.240 212.000 15.560 ;
RECT 212.080 15.240 212.400 15.560 ;
RECT 212.480 15.240 212.800 15.560 ;
RECT 212.880 15.240 213.200 15.560 ;
RECT 213.280 15.240 213.600 15.560 ;
RECT 213.680 15.240 214.000 15.560 ;
RECT 214.080 15.240 214.400 15.560 ;
RECT 214.480 15.240 214.800 15.560 ;
RECT 214.880 15.240 215.200 15.560 ;
RECT 215.280 15.240 215.600 15.560 ;
RECT 215.680 15.240 216.000 15.560 ;
RECT 216.080 15.240 216.400 15.560 ;
RECT 216.480 15.240 216.800 15.560 ;
RECT 216.880 15.240 217.200 15.560 ;
RECT 217.280 15.240 217.600 15.560 ;
RECT 217.680 15.240 218.000 15.560 ;
RECT 218.080 15.240 218.400 15.560 ;
RECT 218.480 15.240 218.800 15.560 ;
RECT 218.880 15.240 219.200 15.560 ;
RECT 219.280 15.240 219.600 15.560 ;
RECT 219.680 15.240 220.000 15.560 ;
RECT 220.080 15.240 220.400 15.560 ;
RECT 220.480 15.240 220.800 15.560 ;
RECT 220.880 15.240 221.200 15.560 ;
RECT 221.280 15.240 221.600 15.560 ;
RECT 221.680 15.240 222.000 15.560 ;
RECT 222.080 15.240 222.400 15.560 ;
RECT 222.480 15.240 222.800 15.560 ;
RECT 222.880 15.240 223.200 15.560 ;
RECT 223.280 15.240 223.600 15.560 ;
RECT 223.680 15.240 224.000 15.560 ;
RECT 224.080 15.240 224.400 15.560 ;
RECT 224.480 15.240 224.800 15.560 ;
RECT 224.880 15.240 225.200 15.560 ;
RECT 225.280 15.240 225.600 15.560 ;
RECT 225.680 15.240 226.000 15.560 ;
RECT 226.080 15.240 226.400 15.560 ;
RECT 226.480 15.240 226.800 15.560 ;
RECT 0.040 14.840 0.360 15.160 ;
RECT 0.440 14.840 0.760 15.160 ;
RECT 0.840 14.840 1.160 15.160 ;
RECT 1.240 14.840 1.560 15.160 ;
RECT 1.640 14.840 1.960 15.160 ;
RECT 2.040 14.840 2.360 15.160 ;
RECT 2.440 14.840 2.760 15.160 ;
RECT 2.840 14.840 3.160 15.160 ;
RECT 3.240 14.840 3.560 15.160 ;
RECT 3.640 14.840 3.960 15.160 ;
RECT 4.040 14.840 4.360 15.160 ;
RECT 4.440 14.840 4.760 15.160 ;
RECT 4.840 14.840 5.160 15.160 ;
RECT 5.240 14.840 5.560 15.160 ;
RECT 5.640 14.840 5.960 15.160 ;
RECT 6.040 14.840 6.360 15.160 ;
RECT 6.440 14.840 6.760 15.160 ;
RECT 6.840 14.840 7.160 15.160 ;
RECT 7.240 14.840 7.560 15.160 ;
RECT 7.640 14.840 7.960 15.160 ;
RECT 8.040 14.840 8.360 15.160 ;
RECT 8.440 14.840 8.760 15.160 ;
RECT 8.840 14.840 9.160 15.160 ;
RECT 9.240 14.840 9.560 15.160 ;
RECT 9.640 14.840 9.960 15.160 ;
RECT 10.040 14.840 10.360 15.160 ;
RECT 10.440 14.840 10.760 15.160 ;
RECT 10.840 14.840 11.160 15.160 ;
RECT 11.240 14.840 11.560 15.160 ;
RECT 11.640 14.840 11.960 15.160 ;
RECT 12.040 14.840 12.360 15.160 ;
RECT 12.440 14.840 12.760 15.160 ;
RECT 12.840 14.840 13.160 15.160 ;
RECT 13.240 14.840 13.560 15.160 ;
RECT 13.640 14.840 13.960 15.160 ;
RECT 14.040 14.840 14.360 15.160 ;
RECT 14.440 14.840 14.760 15.160 ;
RECT 14.840 14.840 15.160 15.160 ;
RECT 15.240 14.840 15.560 15.160 ;
RECT 15.640 14.840 15.960 15.160 ;
RECT 16.040 14.840 16.360 15.160 ;
RECT 16.440 14.840 16.760 15.160 ;
RECT 16.840 14.840 17.160 15.160 ;
RECT 17.240 14.840 17.560 15.160 ;
RECT 17.640 14.840 17.960 15.160 ;
RECT 18.040 14.840 18.360 15.160 ;
RECT 18.440 14.840 18.760 15.160 ;
RECT 18.840 14.840 19.160 15.160 ;
RECT 19.240 14.840 19.560 15.160 ;
RECT 19.640 14.840 19.960 15.160 ;
RECT 95.560 14.840 95.880 15.160 ;
RECT 95.960 14.840 96.280 15.160 ;
RECT 96.360 14.840 96.680 15.160 ;
RECT 96.760 14.840 97.080 15.160 ;
RECT 145.560 14.840 145.880 15.160 ;
RECT 145.960 14.840 146.280 15.160 ;
RECT 146.360 14.840 146.680 15.160 ;
RECT 146.760 14.840 147.080 15.160 ;
RECT 206.880 14.840 207.200 15.160 ;
RECT 207.280 14.840 207.600 15.160 ;
RECT 207.680 14.840 208.000 15.160 ;
RECT 208.080 14.840 208.400 15.160 ;
RECT 208.480 14.840 208.800 15.160 ;
RECT 208.880 14.840 209.200 15.160 ;
RECT 209.280 14.840 209.600 15.160 ;
RECT 209.680 14.840 210.000 15.160 ;
RECT 210.080 14.840 210.400 15.160 ;
RECT 210.480 14.840 210.800 15.160 ;
RECT 210.880 14.840 211.200 15.160 ;
RECT 211.280 14.840 211.600 15.160 ;
RECT 211.680 14.840 212.000 15.160 ;
RECT 212.080 14.840 212.400 15.160 ;
RECT 212.480 14.840 212.800 15.160 ;
RECT 212.880 14.840 213.200 15.160 ;
RECT 213.280 14.840 213.600 15.160 ;
RECT 213.680 14.840 214.000 15.160 ;
RECT 214.080 14.840 214.400 15.160 ;
RECT 214.480 14.840 214.800 15.160 ;
RECT 214.880 14.840 215.200 15.160 ;
RECT 215.280 14.840 215.600 15.160 ;
RECT 215.680 14.840 216.000 15.160 ;
RECT 216.080 14.840 216.400 15.160 ;
RECT 216.480 14.840 216.800 15.160 ;
RECT 216.880 14.840 217.200 15.160 ;
RECT 217.280 14.840 217.600 15.160 ;
RECT 217.680 14.840 218.000 15.160 ;
RECT 218.080 14.840 218.400 15.160 ;
RECT 218.480 14.840 218.800 15.160 ;
RECT 218.880 14.840 219.200 15.160 ;
RECT 219.280 14.840 219.600 15.160 ;
RECT 219.680 14.840 220.000 15.160 ;
RECT 220.080 14.840 220.400 15.160 ;
RECT 220.480 14.840 220.800 15.160 ;
RECT 220.880 14.840 221.200 15.160 ;
RECT 221.280 14.840 221.600 15.160 ;
RECT 221.680 14.840 222.000 15.160 ;
RECT 222.080 14.840 222.400 15.160 ;
RECT 222.480 14.840 222.800 15.160 ;
RECT 222.880 14.840 223.200 15.160 ;
RECT 223.280 14.840 223.600 15.160 ;
RECT 223.680 14.840 224.000 15.160 ;
RECT 224.080 14.840 224.400 15.160 ;
RECT 224.480 14.840 224.800 15.160 ;
RECT 224.880 14.840 225.200 15.160 ;
RECT 225.280 14.840 225.600 15.160 ;
RECT 225.680 14.840 226.000 15.160 ;
RECT 226.080 14.840 226.400 15.160 ;
RECT 226.480 14.840 226.800 15.160 ;
RECT 0.040 14.440 0.360 14.760 ;
RECT 0.440 14.440 0.760 14.760 ;
RECT 0.840 14.440 1.160 14.760 ;
RECT 1.240 14.440 1.560 14.760 ;
RECT 1.640 14.440 1.960 14.760 ;
RECT 2.040 14.440 2.360 14.760 ;
RECT 2.440 14.440 2.760 14.760 ;
RECT 2.840 14.440 3.160 14.760 ;
RECT 3.240 14.440 3.560 14.760 ;
RECT 3.640 14.440 3.960 14.760 ;
RECT 4.040 14.440 4.360 14.760 ;
RECT 4.440 14.440 4.760 14.760 ;
RECT 4.840 14.440 5.160 14.760 ;
RECT 5.240 14.440 5.560 14.760 ;
RECT 5.640 14.440 5.960 14.760 ;
RECT 6.040 14.440 6.360 14.760 ;
RECT 6.440 14.440 6.760 14.760 ;
RECT 6.840 14.440 7.160 14.760 ;
RECT 7.240 14.440 7.560 14.760 ;
RECT 7.640 14.440 7.960 14.760 ;
RECT 8.040 14.440 8.360 14.760 ;
RECT 8.440 14.440 8.760 14.760 ;
RECT 8.840 14.440 9.160 14.760 ;
RECT 9.240 14.440 9.560 14.760 ;
RECT 9.640 14.440 9.960 14.760 ;
RECT 10.040 14.440 10.360 14.760 ;
RECT 10.440 14.440 10.760 14.760 ;
RECT 10.840 14.440 11.160 14.760 ;
RECT 11.240 14.440 11.560 14.760 ;
RECT 11.640 14.440 11.960 14.760 ;
RECT 12.040 14.440 12.360 14.760 ;
RECT 12.440 14.440 12.760 14.760 ;
RECT 12.840 14.440 13.160 14.760 ;
RECT 13.240 14.440 13.560 14.760 ;
RECT 13.640 14.440 13.960 14.760 ;
RECT 14.040 14.440 14.360 14.760 ;
RECT 14.440 14.440 14.760 14.760 ;
RECT 14.840 14.440 15.160 14.760 ;
RECT 15.240 14.440 15.560 14.760 ;
RECT 15.640 14.440 15.960 14.760 ;
RECT 16.040 14.440 16.360 14.760 ;
RECT 16.440 14.440 16.760 14.760 ;
RECT 16.840 14.440 17.160 14.760 ;
RECT 17.240 14.440 17.560 14.760 ;
RECT 17.640 14.440 17.960 14.760 ;
RECT 18.040 14.440 18.360 14.760 ;
RECT 18.440 14.440 18.760 14.760 ;
RECT 18.840 14.440 19.160 14.760 ;
RECT 19.240 14.440 19.560 14.760 ;
RECT 19.640 14.440 19.960 14.760 ;
RECT 95.560 14.440 95.880 14.760 ;
RECT 95.960 14.440 96.280 14.760 ;
RECT 96.360 14.440 96.680 14.760 ;
RECT 96.760 14.440 97.080 14.760 ;
RECT 145.560 14.440 145.880 14.760 ;
RECT 145.960 14.440 146.280 14.760 ;
RECT 146.360 14.440 146.680 14.760 ;
RECT 146.760 14.440 147.080 14.760 ;
RECT 206.880 14.440 207.200 14.760 ;
RECT 207.280 14.440 207.600 14.760 ;
RECT 207.680 14.440 208.000 14.760 ;
RECT 208.080 14.440 208.400 14.760 ;
RECT 208.480 14.440 208.800 14.760 ;
RECT 208.880 14.440 209.200 14.760 ;
RECT 209.280 14.440 209.600 14.760 ;
RECT 209.680 14.440 210.000 14.760 ;
RECT 210.080 14.440 210.400 14.760 ;
RECT 210.480 14.440 210.800 14.760 ;
RECT 210.880 14.440 211.200 14.760 ;
RECT 211.280 14.440 211.600 14.760 ;
RECT 211.680 14.440 212.000 14.760 ;
RECT 212.080 14.440 212.400 14.760 ;
RECT 212.480 14.440 212.800 14.760 ;
RECT 212.880 14.440 213.200 14.760 ;
RECT 213.280 14.440 213.600 14.760 ;
RECT 213.680 14.440 214.000 14.760 ;
RECT 214.080 14.440 214.400 14.760 ;
RECT 214.480 14.440 214.800 14.760 ;
RECT 214.880 14.440 215.200 14.760 ;
RECT 215.280 14.440 215.600 14.760 ;
RECT 215.680 14.440 216.000 14.760 ;
RECT 216.080 14.440 216.400 14.760 ;
RECT 216.480 14.440 216.800 14.760 ;
RECT 216.880 14.440 217.200 14.760 ;
RECT 217.280 14.440 217.600 14.760 ;
RECT 217.680 14.440 218.000 14.760 ;
RECT 218.080 14.440 218.400 14.760 ;
RECT 218.480 14.440 218.800 14.760 ;
RECT 218.880 14.440 219.200 14.760 ;
RECT 219.280 14.440 219.600 14.760 ;
RECT 219.680 14.440 220.000 14.760 ;
RECT 220.080 14.440 220.400 14.760 ;
RECT 220.480 14.440 220.800 14.760 ;
RECT 220.880 14.440 221.200 14.760 ;
RECT 221.280 14.440 221.600 14.760 ;
RECT 221.680 14.440 222.000 14.760 ;
RECT 222.080 14.440 222.400 14.760 ;
RECT 222.480 14.440 222.800 14.760 ;
RECT 222.880 14.440 223.200 14.760 ;
RECT 223.280 14.440 223.600 14.760 ;
RECT 223.680 14.440 224.000 14.760 ;
RECT 224.080 14.440 224.400 14.760 ;
RECT 224.480 14.440 224.800 14.760 ;
RECT 224.880 14.440 225.200 14.760 ;
RECT 225.280 14.440 225.600 14.760 ;
RECT 225.680 14.440 226.000 14.760 ;
RECT 226.080 14.440 226.400 14.760 ;
RECT 226.480 14.440 226.800 14.760 ;
RECT 0.040 14.040 0.360 14.360 ;
RECT 0.440 14.040 0.760 14.360 ;
RECT 0.840 14.040 1.160 14.360 ;
RECT 1.240 14.040 1.560 14.360 ;
RECT 1.640 14.040 1.960 14.360 ;
RECT 2.040 14.040 2.360 14.360 ;
RECT 2.440 14.040 2.760 14.360 ;
RECT 2.840 14.040 3.160 14.360 ;
RECT 3.240 14.040 3.560 14.360 ;
RECT 3.640 14.040 3.960 14.360 ;
RECT 4.040 14.040 4.360 14.360 ;
RECT 4.440 14.040 4.760 14.360 ;
RECT 4.840 14.040 5.160 14.360 ;
RECT 5.240 14.040 5.560 14.360 ;
RECT 5.640 14.040 5.960 14.360 ;
RECT 6.040 14.040 6.360 14.360 ;
RECT 6.440 14.040 6.760 14.360 ;
RECT 6.840 14.040 7.160 14.360 ;
RECT 7.240 14.040 7.560 14.360 ;
RECT 7.640 14.040 7.960 14.360 ;
RECT 8.040 14.040 8.360 14.360 ;
RECT 8.440 14.040 8.760 14.360 ;
RECT 8.840 14.040 9.160 14.360 ;
RECT 9.240 14.040 9.560 14.360 ;
RECT 9.640 14.040 9.960 14.360 ;
RECT 10.040 14.040 10.360 14.360 ;
RECT 10.440 14.040 10.760 14.360 ;
RECT 10.840 14.040 11.160 14.360 ;
RECT 11.240 14.040 11.560 14.360 ;
RECT 11.640 14.040 11.960 14.360 ;
RECT 12.040 14.040 12.360 14.360 ;
RECT 12.440 14.040 12.760 14.360 ;
RECT 12.840 14.040 13.160 14.360 ;
RECT 13.240 14.040 13.560 14.360 ;
RECT 13.640 14.040 13.960 14.360 ;
RECT 14.040 14.040 14.360 14.360 ;
RECT 14.440 14.040 14.760 14.360 ;
RECT 14.840 14.040 15.160 14.360 ;
RECT 15.240 14.040 15.560 14.360 ;
RECT 15.640 14.040 15.960 14.360 ;
RECT 16.040 14.040 16.360 14.360 ;
RECT 16.440 14.040 16.760 14.360 ;
RECT 16.840 14.040 17.160 14.360 ;
RECT 17.240 14.040 17.560 14.360 ;
RECT 17.640 14.040 17.960 14.360 ;
RECT 18.040 14.040 18.360 14.360 ;
RECT 18.440 14.040 18.760 14.360 ;
RECT 18.840 14.040 19.160 14.360 ;
RECT 19.240 14.040 19.560 14.360 ;
RECT 19.640 14.040 19.960 14.360 ;
RECT 95.560 14.040 95.880 14.360 ;
RECT 95.960 14.040 96.280 14.360 ;
RECT 96.360 14.040 96.680 14.360 ;
RECT 96.760 14.040 97.080 14.360 ;
RECT 145.560 14.040 145.880 14.360 ;
RECT 145.960 14.040 146.280 14.360 ;
RECT 146.360 14.040 146.680 14.360 ;
RECT 146.760 14.040 147.080 14.360 ;
RECT 206.880 14.040 207.200 14.360 ;
RECT 207.280 14.040 207.600 14.360 ;
RECT 207.680 14.040 208.000 14.360 ;
RECT 208.080 14.040 208.400 14.360 ;
RECT 208.480 14.040 208.800 14.360 ;
RECT 208.880 14.040 209.200 14.360 ;
RECT 209.280 14.040 209.600 14.360 ;
RECT 209.680 14.040 210.000 14.360 ;
RECT 210.080 14.040 210.400 14.360 ;
RECT 210.480 14.040 210.800 14.360 ;
RECT 210.880 14.040 211.200 14.360 ;
RECT 211.280 14.040 211.600 14.360 ;
RECT 211.680 14.040 212.000 14.360 ;
RECT 212.080 14.040 212.400 14.360 ;
RECT 212.480 14.040 212.800 14.360 ;
RECT 212.880 14.040 213.200 14.360 ;
RECT 213.280 14.040 213.600 14.360 ;
RECT 213.680 14.040 214.000 14.360 ;
RECT 214.080 14.040 214.400 14.360 ;
RECT 214.480 14.040 214.800 14.360 ;
RECT 214.880 14.040 215.200 14.360 ;
RECT 215.280 14.040 215.600 14.360 ;
RECT 215.680 14.040 216.000 14.360 ;
RECT 216.080 14.040 216.400 14.360 ;
RECT 216.480 14.040 216.800 14.360 ;
RECT 216.880 14.040 217.200 14.360 ;
RECT 217.280 14.040 217.600 14.360 ;
RECT 217.680 14.040 218.000 14.360 ;
RECT 218.080 14.040 218.400 14.360 ;
RECT 218.480 14.040 218.800 14.360 ;
RECT 218.880 14.040 219.200 14.360 ;
RECT 219.280 14.040 219.600 14.360 ;
RECT 219.680 14.040 220.000 14.360 ;
RECT 220.080 14.040 220.400 14.360 ;
RECT 220.480 14.040 220.800 14.360 ;
RECT 220.880 14.040 221.200 14.360 ;
RECT 221.280 14.040 221.600 14.360 ;
RECT 221.680 14.040 222.000 14.360 ;
RECT 222.080 14.040 222.400 14.360 ;
RECT 222.480 14.040 222.800 14.360 ;
RECT 222.880 14.040 223.200 14.360 ;
RECT 223.280 14.040 223.600 14.360 ;
RECT 223.680 14.040 224.000 14.360 ;
RECT 224.080 14.040 224.400 14.360 ;
RECT 224.480 14.040 224.800 14.360 ;
RECT 224.880 14.040 225.200 14.360 ;
RECT 225.280 14.040 225.600 14.360 ;
RECT 225.680 14.040 226.000 14.360 ;
RECT 226.080 14.040 226.400 14.360 ;
RECT 226.480 14.040 226.800 14.360 ;
RECT 0.040 13.640 0.360 13.960 ;
RECT 0.440 13.640 0.760 13.960 ;
RECT 0.840 13.640 1.160 13.960 ;
RECT 1.240 13.640 1.560 13.960 ;
RECT 1.640 13.640 1.960 13.960 ;
RECT 2.040 13.640 2.360 13.960 ;
RECT 2.440 13.640 2.760 13.960 ;
RECT 2.840 13.640 3.160 13.960 ;
RECT 3.240 13.640 3.560 13.960 ;
RECT 3.640 13.640 3.960 13.960 ;
RECT 4.040 13.640 4.360 13.960 ;
RECT 4.440 13.640 4.760 13.960 ;
RECT 4.840 13.640 5.160 13.960 ;
RECT 5.240 13.640 5.560 13.960 ;
RECT 5.640 13.640 5.960 13.960 ;
RECT 6.040 13.640 6.360 13.960 ;
RECT 6.440 13.640 6.760 13.960 ;
RECT 6.840 13.640 7.160 13.960 ;
RECT 7.240 13.640 7.560 13.960 ;
RECT 7.640 13.640 7.960 13.960 ;
RECT 8.040 13.640 8.360 13.960 ;
RECT 8.440 13.640 8.760 13.960 ;
RECT 8.840 13.640 9.160 13.960 ;
RECT 9.240 13.640 9.560 13.960 ;
RECT 9.640 13.640 9.960 13.960 ;
RECT 10.040 13.640 10.360 13.960 ;
RECT 10.440 13.640 10.760 13.960 ;
RECT 10.840 13.640 11.160 13.960 ;
RECT 11.240 13.640 11.560 13.960 ;
RECT 11.640 13.640 11.960 13.960 ;
RECT 12.040 13.640 12.360 13.960 ;
RECT 12.440 13.640 12.760 13.960 ;
RECT 12.840 13.640 13.160 13.960 ;
RECT 13.240 13.640 13.560 13.960 ;
RECT 13.640 13.640 13.960 13.960 ;
RECT 14.040 13.640 14.360 13.960 ;
RECT 14.440 13.640 14.760 13.960 ;
RECT 14.840 13.640 15.160 13.960 ;
RECT 15.240 13.640 15.560 13.960 ;
RECT 15.640 13.640 15.960 13.960 ;
RECT 16.040 13.640 16.360 13.960 ;
RECT 16.440 13.640 16.760 13.960 ;
RECT 16.840 13.640 17.160 13.960 ;
RECT 17.240 13.640 17.560 13.960 ;
RECT 17.640 13.640 17.960 13.960 ;
RECT 18.040 13.640 18.360 13.960 ;
RECT 18.440 13.640 18.760 13.960 ;
RECT 18.840 13.640 19.160 13.960 ;
RECT 19.240 13.640 19.560 13.960 ;
RECT 19.640 13.640 19.960 13.960 ;
RECT 95.560 13.640 95.880 13.960 ;
RECT 95.960 13.640 96.280 13.960 ;
RECT 96.360 13.640 96.680 13.960 ;
RECT 96.760 13.640 97.080 13.960 ;
RECT 145.560 13.640 145.880 13.960 ;
RECT 145.960 13.640 146.280 13.960 ;
RECT 146.360 13.640 146.680 13.960 ;
RECT 146.760 13.640 147.080 13.960 ;
RECT 206.880 13.640 207.200 13.960 ;
RECT 207.280 13.640 207.600 13.960 ;
RECT 207.680 13.640 208.000 13.960 ;
RECT 208.080 13.640 208.400 13.960 ;
RECT 208.480 13.640 208.800 13.960 ;
RECT 208.880 13.640 209.200 13.960 ;
RECT 209.280 13.640 209.600 13.960 ;
RECT 209.680 13.640 210.000 13.960 ;
RECT 210.080 13.640 210.400 13.960 ;
RECT 210.480 13.640 210.800 13.960 ;
RECT 210.880 13.640 211.200 13.960 ;
RECT 211.280 13.640 211.600 13.960 ;
RECT 211.680 13.640 212.000 13.960 ;
RECT 212.080 13.640 212.400 13.960 ;
RECT 212.480 13.640 212.800 13.960 ;
RECT 212.880 13.640 213.200 13.960 ;
RECT 213.280 13.640 213.600 13.960 ;
RECT 213.680 13.640 214.000 13.960 ;
RECT 214.080 13.640 214.400 13.960 ;
RECT 214.480 13.640 214.800 13.960 ;
RECT 214.880 13.640 215.200 13.960 ;
RECT 215.280 13.640 215.600 13.960 ;
RECT 215.680 13.640 216.000 13.960 ;
RECT 216.080 13.640 216.400 13.960 ;
RECT 216.480 13.640 216.800 13.960 ;
RECT 216.880 13.640 217.200 13.960 ;
RECT 217.280 13.640 217.600 13.960 ;
RECT 217.680 13.640 218.000 13.960 ;
RECT 218.080 13.640 218.400 13.960 ;
RECT 218.480 13.640 218.800 13.960 ;
RECT 218.880 13.640 219.200 13.960 ;
RECT 219.280 13.640 219.600 13.960 ;
RECT 219.680 13.640 220.000 13.960 ;
RECT 220.080 13.640 220.400 13.960 ;
RECT 220.480 13.640 220.800 13.960 ;
RECT 220.880 13.640 221.200 13.960 ;
RECT 221.280 13.640 221.600 13.960 ;
RECT 221.680 13.640 222.000 13.960 ;
RECT 222.080 13.640 222.400 13.960 ;
RECT 222.480 13.640 222.800 13.960 ;
RECT 222.880 13.640 223.200 13.960 ;
RECT 223.280 13.640 223.600 13.960 ;
RECT 223.680 13.640 224.000 13.960 ;
RECT 224.080 13.640 224.400 13.960 ;
RECT 224.480 13.640 224.800 13.960 ;
RECT 224.880 13.640 225.200 13.960 ;
RECT 225.280 13.640 225.600 13.960 ;
RECT 225.680 13.640 226.000 13.960 ;
RECT 226.080 13.640 226.400 13.960 ;
RECT 226.480 13.640 226.800 13.960 ;
RECT 0.040 13.240 0.360 13.560 ;
RECT 0.440 13.240 0.760 13.560 ;
RECT 0.840 13.240 1.160 13.560 ;
RECT 1.240 13.240 1.560 13.560 ;
RECT 1.640 13.240 1.960 13.560 ;
RECT 2.040 13.240 2.360 13.560 ;
RECT 2.440 13.240 2.760 13.560 ;
RECT 2.840 13.240 3.160 13.560 ;
RECT 3.240 13.240 3.560 13.560 ;
RECT 3.640 13.240 3.960 13.560 ;
RECT 4.040 13.240 4.360 13.560 ;
RECT 4.440 13.240 4.760 13.560 ;
RECT 4.840 13.240 5.160 13.560 ;
RECT 5.240 13.240 5.560 13.560 ;
RECT 5.640 13.240 5.960 13.560 ;
RECT 6.040 13.240 6.360 13.560 ;
RECT 6.440 13.240 6.760 13.560 ;
RECT 6.840 13.240 7.160 13.560 ;
RECT 7.240 13.240 7.560 13.560 ;
RECT 7.640 13.240 7.960 13.560 ;
RECT 8.040 13.240 8.360 13.560 ;
RECT 8.440 13.240 8.760 13.560 ;
RECT 8.840 13.240 9.160 13.560 ;
RECT 9.240 13.240 9.560 13.560 ;
RECT 9.640 13.240 9.960 13.560 ;
RECT 10.040 13.240 10.360 13.560 ;
RECT 10.440 13.240 10.760 13.560 ;
RECT 10.840 13.240 11.160 13.560 ;
RECT 11.240 13.240 11.560 13.560 ;
RECT 11.640 13.240 11.960 13.560 ;
RECT 12.040 13.240 12.360 13.560 ;
RECT 12.440 13.240 12.760 13.560 ;
RECT 12.840 13.240 13.160 13.560 ;
RECT 13.240 13.240 13.560 13.560 ;
RECT 13.640 13.240 13.960 13.560 ;
RECT 14.040 13.240 14.360 13.560 ;
RECT 14.440 13.240 14.760 13.560 ;
RECT 14.840 13.240 15.160 13.560 ;
RECT 15.240 13.240 15.560 13.560 ;
RECT 15.640 13.240 15.960 13.560 ;
RECT 16.040 13.240 16.360 13.560 ;
RECT 16.440 13.240 16.760 13.560 ;
RECT 16.840 13.240 17.160 13.560 ;
RECT 17.240 13.240 17.560 13.560 ;
RECT 17.640 13.240 17.960 13.560 ;
RECT 18.040 13.240 18.360 13.560 ;
RECT 18.440 13.240 18.760 13.560 ;
RECT 18.840 13.240 19.160 13.560 ;
RECT 19.240 13.240 19.560 13.560 ;
RECT 19.640 13.240 19.960 13.560 ;
RECT 95.560 13.240 95.880 13.560 ;
RECT 95.960 13.240 96.280 13.560 ;
RECT 96.360 13.240 96.680 13.560 ;
RECT 96.760 13.240 97.080 13.560 ;
RECT 145.560 13.240 145.880 13.560 ;
RECT 145.960 13.240 146.280 13.560 ;
RECT 146.360 13.240 146.680 13.560 ;
RECT 146.760 13.240 147.080 13.560 ;
RECT 206.880 13.240 207.200 13.560 ;
RECT 207.280 13.240 207.600 13.560 ;
RECT 207.680 13.240 208.000 13.560 ;
RECT 208.080 13.240 208.400 13.560 ;
RECT 208.480 13.240 208.800 13.560 ;
RECT 208.880 13.240 209.200 13.560 ;
RECT 209.280 13.240 209.600 13.560 ;
RECT 209.680 13.240 210.000 13.560 ;
RECT 210.080 13.240 210.400 13.560 ;
RECT 210.480 13.240 210.800 13.560 ;
RECT 210.880 13.240 211.200 13.560 ;
RECT 211.280 13.240 211.600 13.560 ;
RECT 211.680 13.240 212.000 13.560 ;
RECT 212.080 13.240 212.400 13.560 ;
RECT 212.480 13.240 212.800 13.560 ;
RECT 212.880 13.240 213.200 13.560 ;
RECT 213.280 13.240 213.600 13.560 ;
RECT 213.680 13.240 214.000 13.560 ;
RECT 214.080 13.240 214.400 13.560 ;
RECT 214.480 13.240 214.800 13.560 ;
RECT 214.880 13.240 215.200 13.560 ;
RECT 215.280 13.240 215.600 13.560 ;
RECT 215.680 13.240 216.000 13.560 ;
RECT 216.080 13.240 216.400 13.560 ;
RECT 216.480 13.240 216.800 13.560 ;
RECT 216.880 13.240 217.200 13.560 ;
RECT 217.280 13.240 217.600 13.560 ;
RECT 217.680 13.240 218.000 13.560 ;
RECT 218.080 13.240 218.400 13.560 ;
RECT 218.480 13.240 218.800 13.560 ;
RECT 218.880 13.240 219.200 13.560 ;
RECT 219.280 13.240 219.600 13.560 ;
RECT 219.680 13.240 220.000 13.560 ;
RECT 220.080 13.240 220.400 13.560 ;
RECT 220.480 13.240 220.800 13.560 ;
RECT 220.880 13.240 221.200 13.560 ;
RECT 221.280 13.240 221.600 13.560 ;
RECT 221.680 13.240 222.000 13.560 ;
RECT 222.080 13.240 222.400 13.560 ;
RECT 222.480 13.240 222.800 13.560 ;
RECT 222.880 13.240 223.200 13.560 ;
RECT 223.280 13.240 223.600 13.560 ;
RECT 223.680 13.240 224.000 13.560 ;
RECT 224.080 13.240 224.400 13.560 ;
RECT 224.480 13.240 224.800 13.560 ;
RECT 224.880 13.240 225.200 13.560 ;
RECT 225.280 13.240 225.600 13.560 ;
RECT 225.680 13.240 226.000 13.560 ;
RECT 226.080 13.240 226.400 13.560 ;
RECT 226.480 13.240 226.800 13.560 ;
RECT 0.040 12.840 0.360 13.160 ;
RECT 0.440 12.840 0.760 13.160 ;
RECT 0.840 12.840 1.160 13.160 ;
RECT 1.240 12.840 1.560 13.160 ;
RECT 1.640 12.840 1.960 13.160 ;
RECT 2.040 12.840 2.360 13.160 ;
RECT 2.440 12.840 2.760 13.160 ;
RECT 2.840 12.840 3.160 13.160 ;
RECT 3.240 12.840 3.560 13.160 ;
RECT 3.640 12.840 3.960 13.160 ;
RECT 4.040 12.840 4.360 13.160 ;
RECT 4.440 12.840 4.760 13.160 ;
RECT 4.840 12.840 5.160 13.160 ;
RECT 5.240 12.840 5.560 13.160 ;
RECT 5.640 12.840 5.960 13.160 ;
RECT 6.040 12.840 6.360 13.160 ;
RECT 6.440 12.840 6.760 13.160 ;
RECT 6.840 12.840 7.160 13.160 ;
RECT 7.240 12.840 7.560 13.160 ;
RECT 7.640 12.840 7.960 13.160 ;
RECT 8.040 12.840 8.360 13.160 ;
RECT 8.440 12.840 8.760 13.160 ;
RECT 8.840 12.840 9.160 13.160 ;
RECT 9.240 12.840 9.560 13.160 ;
RECT 9.640 12.840 9.960 13.160 ;
RECT 10.040 12.840 10.360 13.160 ;
RECT 10.440 12.840 10.760 13.160 ;
RECT 10.840 12.840 11.160 13.160 ;
RECT 11.240 12.840 11.560 13.160 ;
RECT 11.640 12.840 11.960 13.160 ;
RECT 12.040 12.840 12.360 13.160 ;
RECT 12.440 12.840 12.760 13.160 ;
RECT 12.840 12.840 13.160 13.160 ;
RECT 13.240 12.840 13.560 13.160 ;
RECT 13.640 12.840 13.960 13.160 ;
RECT 14.040 12.840 14.360 13.160 ;
RECT 14.440 12.840 14.760 13.160 ;
RECT 14.840 12.840 15.160 13.160 ;
RECT 15.240 12.840 15.560 13.160 ;
RECT 15.640 12.840 15.960 13.160 ;
RECT 16.040 12.840 16.360 13.160 ;
RECT 16.440 12.840 16.760 13.160 ;
RECT 16.840 12.840 17.160 13.160 ;
RECT 17.240 12.840 17.560 13.160 ;
RECT 17.640 12.840 17.960 13.160 ;
RECT 18.040 12.840 18.360 13.160 ;
RECT 18.440 12.840 18.760 13.160 ;
RECT 18.840 12.840 19.160 13.160 ;
RECT 19.240 12.840 19.560 13.160 ;
RECT 19.640 12.840 19.960 13.160 ;
RECT 95.560 12.840 95.880 13.160 ;
RECT 95.960 12.840 96.280 13.160 ;
RECT 96.360 12.840 96.680 13.160 ;
RECT 96.760 12.840 97.080 13.160 ;
RECT 145.560 12.840 145.880 13.160 ;
RECT 145.960 12.840 146.280 13.160 ;
RECT 146.360 12.840 146.680 13.160 ;
RECT 146.760 12.840 147.080 13.160 ;
RECT 206.880 12.840 207.200 13.160 ;
RECT 207.280 12.840 207.600 13.160 ;
RECT 207.680 12.840 208.000 13.160 ;
RECT 208.080 12.840 208.400 13.160 ;
RECT 208.480 12.840 208.800 13.160 ;
RECT 208.880 12.840 209.200 13.160 ;
RECT 209.280 12.840 209.600 13.160 ;
RECT 209.680 12.840 210.000 13.160 ;
RECT 210.080 12.840 210.400 13.160 ;
RECT 210.480 12.840 210.800 13.160 ;
RECT 210.880 12.840 211.200 13.160 ;
RECT 211.280 12.840 211.600 13.160 ;
RECT 211.680 12.840 212.000 13.160 ;
RECT 212.080 12.840 212.400 13.160 ;
RECT 212.480 12.840 212.800 13.160 ;
RECT 212.880 12.840 213.200 13.160 ;
RECT 213.280 12.840 213.600 13.160 ;
RECT 213.680 12.840 214.000 13.160 ;
RECT 214.080 12.840 214.400 13.160 ;
RECT 214.480 12.840 214.800 13.160 ;
RECT 214.880 12.840 215.200 13.160 ;
RECT 215.280 12.840 215.600 13.160 ;
RECT 215.680 12.840 216.000 13.160 ;
RECT 216.080 12.840 216.400 13.160 ;
RECT 216.480 12.840 216.800 13.160 ;
RECT 216.880 12.840 217.200 13.160 ;
RECT 217.280 12.840 217.600 13.160 ;
RECT 217.680 12.840 218.000 13.160 ;
RECT 218.080 12.840 218.400 13.160 ;
RECT 218.480 12.840 218.800 13.160 ;
RECT 218.880 12.840 219.200 13.160 ;
RECT 219.280 12.840 219.600 13.160 ;
RECT 219.680 12.840 220.000 13.160 ;
RECT 220.080 12.840 220.400 13.160 ;
RECT 220.480 12.840 220.800 13.160 ;
RECT 220.880 12.840 221.200 13.160 ;
RECT 221.280 12.840 221.600 13.160 ;
RECT 221.680 12.840 222.000 13.160 ;
RECT 222.080 12.840 222.400 13.160 ;
RECT 222.480 12.840 222.800 13.160 ;
RECT 222.880 12.840 223.200 13.160 ;
RECT 223.280 12.840 223.600 13.160 ;
RECT 223.680 12.840 224.000 13.160 ;
RECT 224.080 12.840 224.400 13.160 ;
RECT 224.480 12.840 224.800 13.160 ;
RECT 224.880 12.840 225.200 13.160 ;
RECT 225.280 12.840 225.600 13.160 ;
RECT 225.680 12.840 226.000 13.160 ;
RECT 226.080 12.840 226.400 13.160 ;
RECT 226.480 12.840 226.800 13.160 ;
RECT 0.040 12.440 0.360 12.760 ;
RECT 0.440 12.440 0.760 12.760 ;
RECT 0.840 12.440 1.160 12.760 ;
RECT 1.240 12.440 1.560 12.760 ;
RECT 1.640 12.440 1.960 12.760 ;
RECT 2.040 12.440 2.360 12.760 ;
RECT 2.440 12.440 2.760 12.760 ;
RECT 2.840 12.440 3.160 12.760 ;
RECT 3.240 12.440 3.560 12.760 ;
RECT 3.640 12.440 3.960 12.760 ;
RECT 4.040 12.440 4.360 12.760 ;
RECT 4.440 12.440 4.760 12.760 ;
RECT 4.840 12.440 5.160 12.760 ;
RECT 5.240 12.440 5.560 12.760 ;
RECT 5.640 12.440 5.960 12.760 ;
RECT 6.040 12.440 6.360 12.760 ;
RECT 6.440 12.440 6.760 12.760 ;
RECT 6.840 12.440 7.160 12.760 ;
RECT 7.240 12.440 7.560 12.760 ;
RECT 7.640 12.440 7.960 12.760 ;
RECT 8.040 12.440 8.360 12.760 ;
RECT 8.440 12.440 8.760 12.760 ;
RECT 8.840 12.440 9.160 12.760 ;
RECT 9.240 12.440 9.560 12.760 ;
RECT 9.640 12.440 9.960 12.760 ;
RECT 10.040 12.440 10.360 12.760 ;
RECT 10.440 12.440 10.760 12.760 ;
RECT 10.840 12.440 11.160 12.760 ;
RECT 11.240 12.440 11.560 12.760 ;
RECT 11.640 12.440 11.960 12.760 ;
RECT 12.040 12.440 12.360 12.760 ;
RECT 12.440 12.440 12.760 12.760 ;
RECT 12.840 12.440 13.160 12.760 ;
RECT 13.240 12.440 13.560 12.760 ;
RECT 13.640 12.440 13.960 12.760 ;
RECT 14.040 12.440 14.360 12.760 ;
RECT 14.440 12.440 14.760 12.760 ;
RECT 14.840 12.440 15.160 12.760 ;
RECT 15.240 12.440 15.560 12.760 ;
RECT 15.640 12.440 15.960 12.760 ;
RECT 16.040 12.440 16.360 12.760 ;
RECT 16.440 12.440 16.760 12.760 ;
RECT 16.840 12.440 17.160 12.760 ;
RECT 17.240 12.440 17.560 12.760 ;
RECT 17.640 12.440 17.960 12.760 ;
RECT 18.040 12.440 18.360 12.760 ;
RECT 18.440 12.440 18.760 12.760 ;
RECT 18.840 12.440 19.160 12.760 ;
RECT 19.240 12.440 19.560 12.760 ;
RECT 19.640 12.440 19.960 12.760 ;
RECT 95.560 12.440 95.880 12.760 ;
RECT 95.960 12.440 96.280 12.760 ;
RECT 96.360 12.440 96.680 12.760 ;
RECT 96.760 12.440 97.080 12.760 ;
RECT 145.560 12.440 145.880 12.760 ;
RECT 145.960 12.440 146.280 12.760 ;
RECT 146.360 12.440 146.680 12.760 ;
RECT 146.760 12.440 147.080 12.760 ;
RECT 206.880 12.440 207.200 12.760 ;
RECT 207.280 12.440 207.600 12.760 ;
RECT 207.680 12.440 208.000 12.760 ;
RECT 208.080 12.440 208.400 12.760 ;
RECT 208.480 12.440 208.800 12.760 ;
RECT 208.880 12.440 209.200 12.760 ;
RECT 209.280 12.440 209.600 12.760 ;
RECT 209.680 12.440 210.000 12.760 ;
RECT 210.080 12.440 210.400 12.760 ;
RECT 210.480 12.440 210.800 12.760 ;
RECT 210.880 12.440 211.200 12.760 ;
RECT 211.280 12.440 211.600 12.760 ;
RECT 211.680 12.440 212.000 12.760 ;
RECT 212.080 12.440 212.400 12.760 ;
RECT 212.480 12.440 212.800 12.760 ;
RECT 212.880 12.440 213.200 12.760 ;
RECT 213.280 12.440 213.600 12.760 ;
RECT 213.680 12.440 214.000 12.760 ;
RECT 214.080 12.440 214.400 12.760 ;
RECT 214.480 12.440 214.800 12.760 ;
RECT 214.880 12.440 215.200 12.760 ;
RECT 215.280 12.440 215.600 12.760 ;
RECT 215.680 12.440 216.000 12.760 ;
RECT 216.080 12.440 216.400 12.760 ;
RECT 216.480 12.440 216.800 12.760 ;
RECT 216.880 12.440 217.200 12.760 ;
RECT 217.280 12.440 217.600 12.760 ;
RECT 217.680 12.440 218.000 12.760 ;
RECT 218.080 12.440 218.400 12.760 ;
RECT 218.480 12.440 218.800 12.760 ;
RECT 218.880 12.440 219.200 12.760 ;
RECT 219.280 12.440 219.600 12.760 ;
RECT 219.680 12.440 220.000 12.760 ;
RECT 220.080 12.440 220.400 12.760 ;
RECT 220.480 12.440 220.800 12.760 ;
RECT 220.880 12.440 221.200 12.760 ;
RECT 221.280 12.440 221.600 12.760 ;
RECT 221.680 12.440 222.000 12.760 ;
RECT 222.080 12.440 222.400 12.760 ;
RECT 222.480 12.440 222.800 12.760 ;
RECT 222.880 12.440 223.200 12.760 ;
RECT 223.280 12.440 223.600 12.760 ;
RECT 223.680 12.440 224.000 12.760 ;
RECT 224.080 12.440 224.400 12.760 ;
RECT 224.480 12.440 224.800 12.760 ;
RECT 224.880 12.440 225.200 12.760 ;
RECT 225.280 12.440 225.600 12.760 ;
RECT 225.680 12.440 226.000 12.760 ;
RECT 226.080 12.440 226.400 12.760 ;
RECT 226.480 12.440 226.800 12.760 ;
RECT 0.040 12.040 0.360 12.360 ;
RECT 0.440 12.040 0.760 12.360 ;
RECT 0.840 12.040 1.160 12.360 ;
RECT 1.240 12.040 1.560 12.360 ;
RECT 1.640 12.040 1.960 12.360 ;
RECT 2.040 12.040 2.360 12.360 ;
RECT 2.440 12.040 2.760 12.360 ;
RECT 2.840 12.040 3.160 12.360 ;
RECT 3.240 12.040 3.560 12.360 ;
RECT 3.640 12.040 3.960 12.360 ;
RECT 4.040 12.040 4.360 12.360 ;
RECT 4.440 12.040 4.760 12.360 ;
RECT 4.840 12.040 5.160 12.360 ;
RECT 5.240 12.040 5.560 12.360 ;
RECT 5.640 12.040 5.960 12.360 ;
RECT 6.040 12.040 6.360 12.360 ;
RECT 6.440 12.040 6.760 12.360 ;
RECT 6.840 12.040 7.160 12.360 ;
RECT 7.240 12.040 7.560 12.360 ;
RECT 7.640 12.040 7.960 12.360 ;
RECT 8.040 12.040 8.360 12.360 ;
RECT 8.440 12.040 8.760 12.360 ;
RECT 8.840 12.040 9.160 12.360 ;
RECT 9.240 12.040 9.560 12.360 ;
RECT 9.640 12.040 9.960 12.360 ;
RECT 10.040 12.040 10.360 12.360 ;
RECT 10.440 12.040 10.760 12.360 ;
RECT 10.840 12.040 11.160 12.360 ;
RECT 11.240 12.040 11.560 12.360 ;
RECT 11.640 12.040 11.960 12.360 ;
RECT 12.040 12.040 12.360 12.360 ;
RECT 12.440 12.040 12.760 12.360 ;
RECT 12.840 12.040 13.160 12.360 ;
RECT 13.240 12.040 13.560 12.360 ;
RECT 13.640 12.040 13.960 12.360 ;
RECT 14.040 12.040 14.360 12.360 ;
RECT 14.440 12.040 14.760 12.360 ;
RECT 14.840 12.040 15.160 12.360 ;
RECT 15.240 12.040 15.560 12.360 ;
RECT 15.640 12.040 15.960 12.360 ;
RECT 16.040 12.040 16.360 12.360 ;
RECT 16.440 12.040 16.760 12.360 ;
RECT 16.840 12.040 17.160 12.360 ;
RECT 17.240 12.040 17.560 12.360 ;
RECT 17.640 12.040 17.960 12.360 ;
RECT 18.040 12.040 18.360 12.360 ;
RECT 18.440 12.040 18.760 12.360 ;
RECT 18.840 12.040 19.160 12.360 ;
RECT 19.240 12.040 19.560 12.360 ;
RECT 19.640 12.040 19.960 12.360 ;
RECT 95.560 12.040 95.880 12.360 ;
RECT 95.960 12.040 96.280 12.360 ;
RECT 96.360 12.040 96.680 12.360 ;
RECT 96.760 12.040 97.080 12.360 ;
RECT 145.560 12.040 145.880 12.360 ;
RECT 145.960 12.040 146.280 12.360 ;
RECT 146.360 12.040 146.680 12.360 ;
RECT 146.760 12.040 147.080 12.360 ;
RECT 206.880 12.040 207.200 12.360 ;
RECT 207.280 12.040 207.600 12.360 ;
RECT 207.680 12.040 208.000 12.360 ;
RECT 208.080 12.040 208.400 12.360 ;
RECT 208.480 12.040 208.800 12.360 ;
RECT 208.880 12.040 209.200 12.360 ;
RECT 209.280 12.040 209.600 12.360 ;
RECT 209.680 12.040 210.000 12.360 ;
RECT 210.080 12.040 210.400 12.360 ;
RECT 210.480 12.040 210.800 12.360 ;
RECT 210.880 12.040 211.200 12.360 ;
RECT 211.280 12.040 211.600 12.360 ;
RECT 211.680 12.040 212.000 12.360 ;
RECT 212.080 12.040 212.400 12.360 ;
RECT 212.480 12.040 212.800 12.360 ;
RECT 212.880 12.040 213.200 12.360 ;
RECT 213.280 12.040 213.600 12.360 ;
RECT 213.680 12.040 214.000 12.360 ;
RECT 214.080 12.040 214.400 12.360 ;
RECT 214.480 12.040 214.800 12.360 ;
RECT 214.880 12.040 215.200 12.360 ;
RECT 215.280 12.040 215.600 12.360 ;
RECT 215.680 12.040 216.000 12.360 ;
RECT 216.080 12.040 216.400 12.360 ;
RECT 216.480 12.040 216.800 12.360 ;
RECT 216.880 12.040 217.200 12.360 ;
RECT 217.280 12.040 217.600 12.360 ;
RECT 217.680 12.040 218.000 12.360 ;
RECT 218.080 12.040 218.400 12.360 ;
RECT 218.480 12.040 218.800 12.360 ;
RECT 218.880 12.040 219.200 12.360 ;
RECT 219.280 12.040 219.600 12.360 ;
RECT 219.680 12.040 220.000 12.360 ;
RECT 220.080 12.040 220.400 12.360 ;
RECT 220.480 12.040 220.800 12.360 ;
RECT 220.880 12.040 221.200 12.360 ;
RECT 221.280 12.040 221.600 12.360 ;
RECT 221.680 12.040 222.000 12.360 ;
RECT 222.080 12.040 222.400 12.360 ;
RECT 222.480 12.040 222.800 12.360 ;
RECT 222.880 12.040 223.200 12.360 ;
RECT 223.280 12.040 223.600 12.360 ;
RECT 223.680 12.040 224.000 12.360 ;
RECT 224.080 12.040 224.400 12.360 ;
RECT 224.480 12.040 224.800 12.360 ;
RECT 224.880 12.040 225.200 12.360 ;
RECT 225.280 12.040 225.600 12.360 ;
RECT 225.680 12.040 226.000 12.360 ;
RECT 226.080 12.040 226.400 12.360 ;
RECT 226.480 12.040 226.800 12.360 ;
RECT 0.040 11.640 0.360 11.960 ;
RECT 0.440 11.640 0.760 11.960 ;
RECT 0.840 11.640 1.160 11.960 ;
RECT 1.240 11.640 1.560 11.960 ;
RECT 1.640 11.640 1.960 11.960 ;
RECT 2.040 11.640 2.360 11.960 ;
RECT 2.440 11.640 2.760 11.960 ;
RECT 2.840 11.640 3.160 11.960 ;
RECT 3.240 11.640 3.560 11.960 ;
RECT 3.640 11.640 3.960 11.960 ;
RECT 4.040 11.640 4.360 11.960 ;
RECT 4.440 11.640 4.760 11.960 ;
RECT 4.840 11.640 5.160 11.960 ;
RECT 5.240 11.640 5.560 11.960 ;
RECT 5.640 11.640 5.960 11.960 ;
RECT 6.040 11.640 6.360 11.960 ;
RECT 6.440 11.640 6.760 11.960 ;
RECT 6.840 11.640 7.160 11.960 ;
RECT 7.240 11.640 7.560 11.960 ;
RECT 7.640 11.640 7.960 11.960 ;
RECT 8.040 11.640 8.360 11.960 ;
RECT 8.440 11.640 8.760 11.960 ;
RECT 8.840 11.640 9.160 11.960 ;
RECT 9.240 11.640 9.560 11.960 ;
RECT 9.640 11.640 9.960 11.960 ;
RECT 10.040 11.640 10.360 11.960 ;
RECT 10.440 11.640 10.760 11.960 ;
RECT 10.840 11.640 11.160 11.960 ;
RECT 11.240 11.640 11.560 11.960 ;
RECT 11.640 11.640 11.960 11.960 ;
RECT 12.040 11.640 12.360 11.960 ;
RECT 12.440 11.640 12.760 11.960 ;
RECT 12.840 11.640 13.160 11.960 ;
RECT 13.240 11.640 13.560 11.960 ;
RECT 13.640 11.640 13.960 11.960 ;
RECT 14.040 11.640 14.360 11.960 ;
RECT 14.440 11.640 14.760 11.960 ;
RECT 14.840 11.640 15.160 11.960 ;
RECT 15.240 11.640 15.560 11.960 ;
RECT 15.640 11.640 15.960 11.960 ;
RECT 16.040 11.640 16.360 11.960 ;
RECT 16.440 11.640 16.760 11.960 ;
RECT 16.840 11.640 17.160 11.960 ;
RECT 17.240 11.640 17.560 11.960 ;
RECT 17.640 11.640 17.960 11.960 ;
RECT 18.040 11.640 18.360 11.960 ;
RECT 18.440 11.640 18.760 11.960 ;
RECT 18.840 11.640 19.160 11.960 ;
RECT 19.240 11.640 19.560 11.960 ;
RECT 19.640 11.640 19.960 11.960 ;
RECT 95.560 11.640 95.880 11.960 ;
RECT 95.960 11.640 96.280 11.960 ;
RECT 96.360 11.640 96.680 11.960 ;
RECT 96.760 11.640 97.080 11.960 ;
RECT 145.560 11.640 145.880 11.960 ;
RECT 145.960 11.640 146.280 11.960 ;
RECT 146.360 11.640 146.680 11.960 ;
RECT 146.760 11.640 147.080 11.960 ;
RECT 206.880 11.640 207.200 11.960 ;
RECT 207.280 11.640 207.600 11.960 ;
RECT 207.680 11.640 208.000 11.960 ;
RECT 208.080 11.640 208.400 11.960 ;
RECT 208.480 11.640 208.800 11.960 ;
RECT 208.880 11.640 209.200 11.960 ;
RECT 209.280 11.640 209.600 11.960 ;
RECT 209.680 11.640 210.000 11.960 ;
RECT 210.080 11.640 210.400 11.960 ;
RECT 210.480 11.640 210.800 11.960 ;
RECT 210.880 11.640 211.200 11.960 ;
RECT 211.280 11.640 211.600 11.960 ;
RECT 211.680 11.640 212.000 11.960 ;
RECT 212.080 11.640 212.400 11.960 ;
RECT 212.480 11.640 212.800 11.960 ;
RECT 212.880 11.640 213.200 11.960 ;
RECT 213.280 11.640 213.600 11.960 ;
RECT 213.680 11.640 214.000 11.960 ;
RECT 214.080 11.640 214.400 11.960 ;
RECT 214.480 11.640 214.800 11.960 ;
RECT 214.880 11.640 215.200 11.960 ;
RECT 215.280 11.640 215.600 11.960 ;
RECT 215.680 11.640 216.000 11.960 ;
RECT 216.080 11.640 216.400 11.960 ;
RECT 216.480 11.640 216.800 11.960 ;
RECT 216.880 11.640 217.200 11.960 ;
RECT 217.280 11.640 217.600 11.960 ;
RECT 217.680 11.640 218.000 11.960 ;
RECT 218.080 11.640 218.400 11.960 ;
RECT 218.480 11.640 218.800 11.960 ;
RECT 218.880 11.640 219.200 11.960 ;
RECT 219.280 11.640 219.600 11.960 ;
RECT 219.680 11.640 220.000 11.960 ;
RECT 220.080 11.640 220.400 11.960 ;
RECT 220.480 11.640 220.800 11.960 ;
RECT 220.880 11.640 221.200 11.960 ;
RECT 221.280 11.640 221.600 11.960 ;
RECT 221.680 11.640 222.000 11.960 ;
RECT 222.080 11.640 222.400 11.960 ;
RECT 222.480 11.640 222.800 11.960 ;
RECT 222.880 11.640 223.200 11.960 ;
RECT 223.280 11.640 223.600 11.960 ;
RECT 223.680 11.640 224.000 11.960 ;
RECT 224.080 11.640 224.400 11.960 ;
RECT 224.480 11.640 224.800 11.960 ;
RECT 224.880 11.640 225.200 11.960 ;
RECT 225.280 11.640 225.600 11.960 ;
RECT 225.680 11.640 226.000 11.960 ;
RECT 226.080 11.640 226.400 11.960 ;
RECT 226.480 11.640 226.800 11.960 ;
RECT 0.040 11.240 0.360 11.560 ;
RECT 0.440 11.240 0.760 11.560 ;
RECT 0.840 11.240 1.160 11.560 ;
RECT 1.240 11.240 1.560 11.560 ;
RECT 1.640 11.240 1.960 11.560 ;
RECT 2.040 11.240 2.360 11.560 ;
RECT 2.440 11.240 2.760 11.560 ;
RECT 2.840 11.240 3.160 11.560 ;
RECT 3.240 11.240 3.560 11.560 ;
RECT 3.640 11.240 3.960 11.560 ;
RECT 4.040 11.240 4.360 11.560 ;
RECT 4.440 11.240 4.760 11.560 ;
RECT 4.840 11.240 5.160 11.560 ;
RECT 5.240 11.240 5.560 11.560 ;
RECT 5.640 11.240 5.960 11.560 ;
RECT 6.040 11.240 6.360 11.560 ;
RECT 6.440 11.240 6.760 11.560 ;
RECT 6.840 11.240 7.160 11.560 ;
RECT 7.240 11.240 7.560 11.560 ;
RECT 7.640 11.240 7.960 11.560 ;
RECT 8.040 11.240 8.360 11.560 ;
RECT 8.440 11.240 8.760 11.560 ;
RECT 8.840 11.240 9.160 11.560 ;
RECT 9.240 11.240 9.560 11.560 ;
RECT 9.640 11.240 9.960 11.560 ;
RECT 10.040 11.240 10.360 11.560 ;
RECT 10.440 11.240 10.760 11.560 ;
RECT 10.840 11.240 11.160 11.560 ;
RECT 11.240 11.240 11.560 11.560 ;
RECT 11.640 11.240 11.960 11.560 ;
RECT 12.040 11.240 12.360 11.560 ;
RECT 12.440 11.240 12.760 11.560 ;
RECT 12.840 11.240 13.160 11.560 ;
RECT 13.240 11.240 13.560 11.560 ;
RECT 13.640 11.240 13.960 11.560 ;
RECT 14.040 11.240 14.360 11.560 ;
RECT 14.440 11.240 14.760 11.560 ;
RECT 14.840 11.240 15.160 11.560 ;
RECT 15.240 11.240 15.560 11.560 ;
RECT 15.640 11.240 15.960 11.560 ;
RECT 16.040 11.240 16.360 11.560 ;
RECT 16.440 11.240 16.760 11.560 ;
RECT 16.840 11.240 17.160 11.560 ;
RECT 17.240 11.240 17.560 11.560 ;
RECT 17.640 11.240 17.960 11.560 ;
RECT 18.040 11.240 18.360 11.560 ;
RECT 18.440 11.240 18.760 11.560 ;
RECT 18.840 11.240 19.160 11.560 ;
RECT 19.240 11.240 19.560 11.560 ;
RECT 19.640 11.240 19.960 11.560 ;
RECT 95.560 11.240 95.880 11.560 ;
RECT 95.960 11.240 96.280 11.560 ;
RECT 96.360 11.240 96.680 11.560 ;
RECT 96.760 11.240 97.080 11.560 ;
RECT 145.560 11.240 145.880 11.560 ;
RECT 145.960 11.240 146.280 11.560 ;
RECT 146.360 11.240 146.680 11.560 ;
RECT 146.760 11.240 147.080 11.560 ;
RECT 206.880 11.240 207.200 11.560 ;
RECT 207.280 11.240 207.600 11.560 ;
RECT 207.680 11.240 208.000 11.560 ;
RECT 208.080 11.240 208.400 11.560 ;
RECT 208.480 11.240 208.800 11.560 ;
RECT 208.880 11.240 209.200 11.560 ;
RECT 209.280 11.240 209.600 11.560 ;
RECT 209.680 11.240 210.000 11.560 ;
RECT 210.080 11.240 210.400 11.560 ;
RECT 210.480 11.240 210.800 11.560 ;
RECT 210.880 11.240 211.200 11.560 ;
RECT 211.280 11.240 211.600 11.560 ;
RECT 211.680 11.240 212.000 11.560 ;
RECT 212.080 11.240 212.400 11.560 ;
RECT 212.480 11.240 212.800 11.560 ;
RECT 212.880 11.240 213.200 11.560 ;
RECT 213.280 11.240 213.600 11.560 ;
RECT 213.680 11.240 214.000 11.560 ;
RECT 214.080 11.240 214.400 11.560 ;
RECT 214.480 11.240 214.800 11.560 ;
RECT 214.880 11.240 215.200 11.560 ;
RECT 215.280 11.240 215.600 11.560 ;
RECT 215.680 11.240 216.000 11.560 ;
RECT 216.080 11.240 216.400 11.560 ;
RECT 216.480 11.240 216.800 11.560 ;
RECT 216.880 11.240 217.200 11.560 ;
RECT 217.280 11.240 217.600 11.560 ;
RECT 217.680 11.240 218.000 11.560 ;
RECT 218.080 11.240 218.400 11.560 ;
RECT 218.480 11.240 218.800 11.560 ;
RECT 218.880 11.240 219.200 11.560 ;
RECT 219.280 11.240 219.600 11.560 ;
RECT 219.680 11.240 220.000 11.560 ;
RECT 220.080 11.240 220.400 11.560 ;
RECT 220.480 11.240 220.800 11.560 ;
RECT 220.880 11.240 221.200 11.560 ;
RECT 221.280 11.240 221.600 11.560 ;
RECT 221.680 11.240 222.000 11.560 ;
RECT 222.080 11.240 222.400 11.560 ;
RECT 222.480 11.240 222.800 11.560 ;
RECT 222.880 11.240 223.200 11.560 ;
RECT 223.280 11.240 223.600 11.560 ;
RECT 223.680 11.240 224.000 11.560 ;
RECT 224.080 11.240 224.400 11.560 ;
RECT 224.480 11.240 224.800 11.560 ;
RECT 224.880 11.240 225.200 11.560 ;
RECT 225.280 11.240 225.600 11.560 ;
RECT 225.680 11.240 226.000 11.560 ;
RECT 226.080 11.240 226.400 11.560 ;
RECT 226.480 11.240 226.800 11.560 ;
RECT 0.040 10.840 0.360 11.160 ;
RECT 0.440 10.840 0.760 11.160 ;
RECT 0.840 10.840 1.160 11.160 ;
RECT 1.240 10.840 1.560 11.160 ;
RECT 1.640 10.840 1.960 11.160 ;
RECT 2.040 10.840 2.360 11.160 ;
RECT 2.440 10.840 2.760 11.160 ;
RECT 2.840 10.840 3.160 11.160 ;
RECT 3.240 10.840 3.560 11.160 ;
RECT 3.640 10.840 3.960 11.160 ;
RECT 4.040 10.840 4.360 11.160 ;
RECT 4.440 10.840 4.760 11.160 ;
RECT 4.840 10.840 5.160 11.160 ;
RECT 5.240 10.840 5.560 11.160 ;
RECT 5.640 10.840 5.960 11.160 ;
RECT 6.040 10.840 6.360 11.160 ;
RECT 6.440 10.840 6.760 11.160 ;
RECT 6.840 10.840 7.160 11.160 ;
RECT 7.240 10.840 7.560 11.160 ;
RECT 7.640 10.840 7.960 11.160 ;
RECT 8.040 10.840 8.360 11.160 ;
RECT 8.440 10.840 8.760 11.160 ;
RECT 8.840 10.840 9.160 11.160 ;
RECT 9.240 10.840 9.560 11.160 ;
RECT 9.640 10.840 9.960 11.160 ;
RECT 10.040 10.840 10.360 11.160 ;
RECT 10.440 10.840 10.760 11.160 ;
RECT 10.840 10.840 11.160 11.160 ;
RECT 11.240 10.840 11.560 11.160 ;
RECT 11.640 10.840 11.960 11.160 ;
RECT 12.040 10.840 12.360 11.160 ;
RECT 12.440 10.840 12.760 11.160 ;
RECT 12.840 10.840 13.160 11.160 ;
RECT 13.240 10.840 13.560 11.160 ;
RECT 13.640 10.840 13.960 11.160 ;
RECT 14.040 10.840 14.360 11.160 ;
RECT 14.440 10.840 14.760 11.160 ;
RECT 14.840 10.840 15.160 11.160 ;
RECT 15.240 10.840 15.560 11.160 ;
RECT 15.640 10.840 15.960 11.160 ;
RECT 16.040 10.840 16.360 11.160 ;
RECT 16.440 10.840 16.760 11.160 ;
RECT 16.840 10.840 17.160 11.160 ;
RECT 17.240 10.840 17.560 11.160 ;
RECT 17.640 10.840 17.960 11.160 ;
RECT 18.040 10.840 18.360 11.160 ;
RECT 18.440 10.840 18.760 11.160 ;
RECT 18.840 10.840 19.160 11.160 ;
RECT 19.240 10.840 19.560 11.160 ;
RECT 19.640 10.840 19.960 11.160 ;
RECT 95.560 10.840 95.880 11.160 ;
RECT 95.960 10.840 96.280 11.160 ;
RECT 96.360 10.840 96.680 11.160 ;
RECT 96.760 10.840 97.080 11.160 ;
RECT 145.560 10.840 145.880 11.160 ;
RECT 145.960 10.840 146.280 11.160 ;
RECT 146.360 10.840 146.680 11.160 ;
RECT 146.760 10.840 147.080 11.160 ;
RECT 206.880 10.840 207.200 11.160 ;
RECT 207.280 10.840 207.600 11.160 ;
RECT 207.680 10.840 208.000 11.160 ;
RECT 208.080 10.840 208.400 11.160 ;
RECT 208.480 10.840 208.800 11.160 ;
RECT 208.880 10.840 209.200 11.160 ;
RECT 209.280 10.840 209.600 11.160 ;
RECT 209.680 10.840 210.000 11.160 ;
RECT 210.080 10.840 210.400 11.160 ;
RECT 210.480 10.840 210.800 11.160 ;
RECT 210.880 10.840 211.200 11.160 ;
RECT 211.280 10.840 211.600 11.160 ;
RECT 211.680 10.840 212.000 11.160 ;
RECT 212.080 10.840 212.400 11.160 ;
RECT 212.480 10.840 212.800 11.160 ;
RECT 212.880 10.840 213.200 11.160 ;
RECT 213.280 10.840 213.600 11.160 ;
RECT 213.680 10.840 214.000 11.160 ;
RECT 214.080 10.840 214.400 11.160 ;
RECT 214.480 10.840 214.800 11.160 ;
RECT 214.880 10.840 215.200 11.160 ;
RECT 215.280 10.840 215.600 11.160 ;
RECT 215.680 10.840 216.000 11.160 ;
RECT 216.080 10.840 216.400 11.160 ;
RECT 216.480 10.840 216.800 11.160 ;
RECT 216.880 10.840 217.200 11.160 ;
RECT 217.280 10.840 217.600 11.160 ;
RECT 217.680 10.840 218.000 11.160 ;
RECT 218.080 10.840 218.400 11.160 ;
RECT 218.480 10.840 218.800 11.160 ;
RECT 218.880 10.840 219.200 11.160 ;
RECT 219.280 10.840 219.600 11.160 ;
RECT 219.680 10.840 220.000 11.160 ;
RECT 220.080 10.840 220.400 11.160 ;
RECT 220.480 10.840 220.800 11.160 ;
RECT 220.880 10.840 221.200 11.160 ;
RECT 221.280 10.840 221.600 11.160 ;
RECT 221.680 10.840 222.000 11.160 ;
RECT 222.080 10.840 222.400 11.160 ;
RECT 222.480 10.840 222.800 11.160 ;
RECT 222.880 10.840 223.200 11.160 ;
RECT 223.280 10.840 223.600 11.160 ;
RECT 223.680 10.840 224.000 11.160 ;
RECT 224.080 10.840 224.400 11.160 ;
RECT 224.480 10.840 224.800 11.160 ;
RECT 224.880 10.840 225.200 11.160 ;
RECT 225.280 10.840 225.600 11.160 ;
RECT 225.680 10.840 226.000 11.160 ;
RECT 226.080 10.840 226.400 11.160 ;
RECT 226.480 10.840 226.800 11.160 ;
RECT 0.040 10.440 0.360 10.760 ;
RECT 0.440 10.440 0.760 10.760 ;
RECT 0.840 10.440 1.160 10.760 ;
RECT 1.240 10.440 1.560 10.760 ;
RECT 1.640 10.440 1.960 10.760 ;
RECT 2.040 10.440 2.360 10.760 ;
RECT 2.440 10.440 2.760 10.760 ;
RECT 2.840 10.440 3.160 10.760 ;
RECT 3.240 10.440 3.560 10.760 ;
RECT 3.640 10.440 3.960 10.760 ;
RECT 4.040 10.440 4.360 10.760 ;
RECT 4.440 10.440 4.760 10.760 ;
RECT 4.840 10.440 5.160 10.760 ;
RECT 5.240 10.440 5.560 10.760 ;
RECT 5.640 10.440 5.960 10.760 ;
RECT 6.040 10.440 6.360 10.760 ;
RECT 6.440 10.440 6.760 10.760 ;
RECT 6.840 10.440 7.160 10.760 ;
RECT 7.240 10.440 7.560 10.760 ;
RECT 7.640 10.440 7.960 10.760 ;
RECT 8.040 10.440 8.360 10.760 ;
RECT 8.440 10.440 8.760 10.760 ;
RECT 8.840 10.440 9.160 10.760 ;
RECT 9.240 10.440 9.560 10.760 ;
RECT 9.640 10.440 9.960 10.760 ;
RECT 10.040 10.440 10.360 10.760 ;
RECT 10.440 10.440 10.760 10.760 ;
RECT 10.840 10.440 11.160 10.760 ;
RECT 11.240 10.440 11.560 10.760 ;
RECT 11.640 10.440 11.960 10.760 ;
RECT 12.040 10.440 12.360 10.760 ;
RECT 12.440 10.440 12.760 10.760 ;
RECT 12.840 10.440 13.160 10.760 ;
RECT 13.240 10.440 13.560 10.760 ;
RECT 13.640 10.440 13.960 10.760 ;
RECT 14.040 10.440 14.360 10.760 ;
RECT 14.440 10.440 14.760 10.760 ;
RECT 14.840 10.440 15.160 10.760 ;
RECT 15.240 10.440 15.560 10.760 ;
RECT 15.640 10.440 15.960 10.760 ;
RECT 16.040 10.440 16.360 10.760 ;
RECT 16.440 10.440 16.760 10.760 ;
RECT 16.840 10.440 17.160 10.760 ;
RECT 17.240 10.440 17.560 10.760 ;
RECT 17.640 10.440 17.960 10.760 ;
RECT 18.040 10.440 18.360 10.760 ;
RECT 18.440 10.440 18.760 10.760 ;
RECT 18.840 10.440 19.160 10.760 ;
RECT 19.240 10.440 19.560 10.760 ;
RECT 19.640 10.440 19.960 10.760 ;
RECT 95.560 10.440 95.880 10.760 ;
RECT 95.960 10.440 96.280 10.760 ;
RECT 96.360 10.440 96.680 10.760 ;
RECT 96.760 10.440 97.080 10.760 ;
RECT 145.560 10.440 145.880 10.760 ;
RECT 145.960 10.440 146.280 10.760 ;
RECT 146.360 10.440 146.680 10.760 ;
RECT 146.760 10.440 147.080 10.760 ;
RECT 206.880 10.440 207.200 10.760 ;
RECT 207.280 10.440 207.600 10.760 ;
RECT 207.680 10.440 208.000 10.760 ;
RECT 208.080 10.440 208.400 10.760 ;
RECT 208.480 10.440 208.800 10.760 ;
RECT 208.880 10.440 209.200 10.760 ;
RECT 209.280 10.440 209.600 10.760 ;
RECT 209.680 10.440 210.000 10.760 ;
RECT 210.080 10.440 210.400 10.760 ;
RECT 210.480 10.440 210.800 10.760 ;
RECT 210.880 10.440 211.200 10.760 ;
RECT 211.280 10.440 211.600 10.760 ;
RECT 211.680 10.440 212.000 10.760 ;
RECT 212.080 10.440 212.400 10.760 ;
RECT 212.480 10.440 212.800 10.760 ;
RECT 212.880 10.440 213.200 10.760 ;
RECT 213.280 10.440 213.600 10.760 ;
RECT 213.680 10.440 214.000 10.760 ;
RECT 214.080 10.440 214.400 10.760 ;
RECT 214.480 10.440 214.800 10.760 ;
RECT 214.880 10.440 215.200 10.760 ;
RECT 215.280 10.440 215.600 10.760 ;
RECT 215.680 10.440 216.000 10.760 ;
RECT 216.080 10.440 216.400 10.760 ;
RECT 216.480 10.440 216.800 10.760 ;
RECT 216.880 10.440 217.200 10.760 ;
RECT 217.280 10.440 217.600 10.760 ;
RECT 217.680 10.440 218.000 10.760 ;
RECT 218.080 10.440 218.400 10.760 ;
RECT 218.480 10.440 218.800 10.760 ;
RECT 218.880 10.440 219.200 10.760 ;
RECT 219.280 10.440 219.600 10.760 ;
RECT 219.680 10.440 220.000 10.760 ;
RECT 220.080 10.440 220.400 10.760 ;
RECT 220.480 10.440 220.800 10.760 ;
RECT 220.880 10.440 221.200 10.760 ;
RECT 221.280 10.440 221.600 10.760 ;
RECT 221.680 10.440 222.000 10.760 ;
RECT 222.080 10.440 222.400 10.760 ;
RECT 222.480 10.440 222.800 10.760 ;
RECT 222.880 10.440 223.200 10.760 ;
RECT 223.280 10.440 223.600 10.760 ;
RECT 223.680 10.440 224.000 10.760 ;
RECT 224.080 10.440 224.400 10.760 ;
RECT 224.480 10.440 224.800 10.760 ;
RECT 224.880 10.440 225.200 10.760 ;
RECT 225.280 10.440 225.600 10.760 ;
RECT 225.680 10.440 226.000 10.760 ;
RECT 226.080 10.440 226.400 10.760 ;
RECT 226.480 10.440 226.800 10.760 ;
RECT 0.040 10.040 0.360 10.360 ;
RECT 0.440 10.040 0.760 10.360 ;
RECT 0.840 10.040 1.160 10.360 ;
RECT 1.240 10.040 1.560 10.360 ;
RECT 1.640 10.040 1.960 10.360 ;
RECT 2.040 10.040 2.360 10.360 ;
RECT 2.440 10.040 2.760 10.360 ;
RECT 2.840 10.040 3.160 10.360 ;
RECT 3.240 10.040 3.560 10.360 ;
RECT 3.640 10.040 3.960 10.360 ;
RECT 4.040 10.040 4.360 10.360 ;
RECT 4.440 10.040 4.760 10.360 ;
RECT 4.840 10.040 5.160 10.360 ;
RECT 5.240 10.040 5.560 10.360 ;
RECT 5.640 10.040 5.960 10.360 ;
RECT 6.040 10.040 6.360 10.360 ;
RECT 6.440 10.040 6.760 10.360 ;
RECT 6.840 10.040 7.160 10.360 ;
RECT 7.240 10.040 7.560 10.360 ;
RECT 7.640 10.040 7.960 10.360 ;
RECT 8.040 10.040 8.360 10.360 ;
RECT 8.440 10.040 8.760 10.360 ;
RECT 8.840 10.040 9.160 10.360 ;
RECT 9.240 10.040 9.560 10.360 ;
RECT 9.640 10.040 9.960 10.360 ;
RECT 10.040 10.040 10.360 10.360 ;
RECT 10.440 10.040 10.760 10.360 ;
RECT 10.840 10.040 11.160 10.360 ;
RECT 11.240 10.040 11.560 10.360 ;
RECT 11.640 10.040 11.960 10.360 ;
RECT 12.040 10.040 12.360 10.360 ;
RECT 12.440 10.040 12.760 10.360 ;
RECT 12.840 10.040 13.160 10.360 ;
RECT 13.240 10.040 13.560 10.360 ;
RECT 13.640 10.040 13.960 10.360 ;
RECT 14.040 10.040 14.360 10.360 ;
RECT 14.440 10.040 14.760 10.360 ;
RECT 14.840 10.040 15.160 10.360 ;
RECT 15.240 10.040 15.560 10.360 ;
RECT 15.640 10.040 15.960 10.360 ;
RECT 16.040 10.040 16.360 10.360 ;
RECT 16.440 10.040 16.760 10.360 ;
RECT 16.840 10.040 17.160 10.360 ;
RECT 17.240 10.040 17.560 10.360 ;
RECT 17.640 10.040 17.960 10.360 ;
RECT 18.040 10.040 18.360 10.360 ;
RECT 18.440 10.040 18.760 10.360 ;
RECT 18.840 10.040 19.160 10.360 ;
RECT 19.240 10.040 19.560 10.360 ;
RECT 19.640 10.040 19.960 10.360 ;
RECT 95.560 10.040 95.880 10.360 ;
RECT 95.960 10.040 96.280 10.360 ;
RECT 96.360 10.040 96.680 10.360 ;
RECT 96.760 10.040 97.080 10.360 ;
RECT 145.560 10.040 145.880 10.360 ;
RECT 145.960 10.040 146.280 10.360 ;
RECT 146.360 10.040 146.680 10.360 ;
RECT 146.760 10.040 147.080 10.360 ;
RECT 206.880 10.040 207.200 10.360 ;
RECT 207.280 10.040 207.600 10.360 ;
RECT 207.680 10.040 208.000 10.360 ;
RECT 208.080 10.040 208.400 10.360 ;
RECT 208.480 10.040 208.800 10.360 ;
RECT 208.880 10.040 209.200 10.360 ;
RECT 209.280 10.040 209.600 10.360 ;
RECT 209.680 10.040 210.000 10.360 ;
RECT 210.080 10.040 210.400 10.360 ;
RECT 210.480 10.040 210.800 10.360 ;
RECT 210.880 10.040 211.200 10.360 ;
RECT 211.280 10.040 211.600 10.360 ;
RECT 211.680 10.040 212.000 10.360 ;
RECT 212.080 10.040 212.400 10.360 ;
RECT 212.480 10.040 212.800 10.360 ;
RECT 212.880 10.040 213.200 10.360 ;
RECT 213.280 10.040 213.600 10.360 ;
RECT 213.680 10.040 214.000 10.360 ;
RECT 214.080 10.040 214.400 10.360 ;
RECT 214.480 10.040 214.800 10.360 ;
RECT 214.880 10.040 215.200 10.360 ;
RECT 215.280 10.040 215.600 10.360 ;
RECT 215.680 10.040 216.000 10.360 ;
RECT 216.080 10.040 216.400 10.360 ;
RECT 216.480 10.040 216.800 10.360 ;
RECT 216.880 10.040 217.200 10.360 ;
RECT 217.280 10.040 217.600 10.360 ;
RECT 217.680 10.040 218.000 10.360 ;
RECT 218.080 10.040 218.400 10.360 ;
RECT 218.480 10.040 218.800 10.360 ;
RECT 218.880 10.040 219.200 10.360 ;
RECT 219.280 10.040 219.600 10.360 ;
RECT 219.680 10.040 220.000 10.360 ;
RECT 220.080 10.040 220.400 10.360 ;
RECT 220.480 10.040 220.800 10.360 ;
RECT 220.880 10.040 221.200 10.360 ;
RECT 221.280 10.040 221.600 10.360 ;
RECT 221.680 10.040 222.000 10.360 ;
RECT 222.080 10.040 222.400 10.360 ;
RECT 222.480 10.040 222.800 10.360 ;
RECT 222.880 10.040 223.200 10.360 ;
RECT 223.280 10.040 223.600 10.360 ;
RECT 223.680 10.040 224.000 10.360 ;
RECT 224.080 10.040 224.400 10.360 ;
RECT 224.480 10.040 224.800 10.360 ;
RECT 224.880 10.040 225.200 10.360 ;
RECT 225.280 10.040 225.600 10.360 ;
RECT 225.680 10.040 226.000 10.360 ;
RECT 226.080 10.040 226.400 10.360 ;
RECT 226.480 10.040 226.800 10.360 ;
RECT 0.040 9.640 0.360 9.960 ;
RECT 0.440 9.640 0.760 9.960 ;
RECT 0.840 9.640 1.160 9.960 ;
RECT 1.240 9.640 1.560 9.960 ;
RECT 1.640 9.640 1.960 9.960 ;
RECT 2.040 9.640 2.360 9.960 ;
RECT 2.440 9.640 2.760 9.960 ;
RECT 2.840 9.640 3.160 9.960 ;
RECT 3.240 9.640 3.560 9.960 ;
RECT 3.640 9.640 3.960 9.960 ;
RECT 4.040 9.640 4.360 9.960 ;
RECT 4.440 9.640 4.760 9.960 ;
RECT 4.840 9.640 5.160 9.960 ;
RECT 5.240 9.640 5.560 9.960 ;
RECT 5.640 9.640 5.960 9.960 ;
RECT 6.040 9.640 6.360 9.960 ;
RECT 6.440 9.640 6.760 9.960 ;
RECT 6.840 9.640 7.160 9.960 ;
RECT 7.240 9.640 7.560 9.960 ;
RECT 7.640 9.640 7.960 9.960 ;
RECT 8.040 9.640 8.360 9.960 ;
RECT 8.440 9.640 8.760 9.960 ;
RECT 8.840 9.640 9.160 9.960 ;
RECT 9.240 9.640 9.560 9.960 ;
RECT 9.640 9.640 9.960 9.960 ;
RECT 10.040 9.640 10.360 9.960 ;
RECT 10.440 9.640 10.760 9.960 ;
RECT 10.840 9.640 11.160 9.960 ;
RECT 11.240 9.640 11.560 9.960 ;
RECT 11.640 9.640 11.960 9.960 ;
RECT 12.040 9.640 12.360 9.960 ;
RECT 12.440 9.640 12.760 9.960 ;
RECT 12.840 9.640 13.160 9.960 ;
RECT 13.240 9.640 13.560 9.960 ;
RECT 13.640 9.640 13.960 9.960 ;
RECT 14.040 9.640 14.360 9.960 ;
RECT 14.440 9.640 14.760 9.960 ;
RECT 14.840 9.640 15.160 9.960 ;
RECT 15.240 9.640 15.560 9.960 ;
RECT 15.640 9.640 15.960 9.960 ;
RECT 16.040 9.640 16.360 9.960 ;
RECT 16.440 9.640 16.760 9.960 ;
RECT 16.840 9.640 17.160 9.960 ;
RECT 17.240 9.640 17.560 9.960 ;
RECT 17.640 9.640 17.960 9.960 ;
RECT 18.040 9.640 18.360 9.960 ;
RECT 18.440 9.640 18.760 9.960 ;
RECT 18.840 9.640 19.160 9.960 ;
RECT 19.240 9.640 19.560 9.960 ;
RECT 19.640 9.640 19.960 9.960 ;
RECT 95.560 9.640 95.880 9.960 ;
RECT 95.960 9.640 96.280 9.960 ;
RECT 96.360 9.640 96.680 9.960 ;
RECT 96.760 9.640 97.080 9.960 ;
RECT 145.560 9.640 145.880 9.960 ;
RECT 145.960 9.640 146.280 9.960 ;
RECT 146.360 9.640 146.680 9.960 ;
RECT 146.760 9.640 147.080 9.960 ;
RECT 206.880 9.640 207.200 9.960 ;
RECT 207.280 9.640 207.600 9.960 ;
RECT 207.680 9.640 208.000 9.960 ;
RECT 208.080 9.640 208.400 9.960 ;
RECT 208.480 9.640 208.800 9.960 ;
RECT 208.880 9.640 209.200 9.960 ;
RECT 209.280 9.640 209.600 9.960 ;
RECT 209.680 9.640 210.000 9.960 ;
RECT 210.080 9.640 210.400 9.960 ;
RECT 210.480 9.640 210.800 9.960 ;
RECT 210.880 9.640 211.200 9.960 ;
RECT 211.280 9.640 211.600 9.960 ;
RECT 211.680 9.640 212.000 9.960 ;
RECT 212.080 9.640 212.400 9.960 ;
RECT 212.480 9.640 212.800 9.960 ;
RECT 212.880 9.640 213.200 9.960 ;
RECT 213.280 9.640 213.600 9.960 ;
RECT 213.680 9.640 214.000 9.960 ;
RECT 214.080 9.640 214.400 9.960 ;
RECT 214.480 9.640 214.800 9.960 ;
RECT 214.880 9.640 215.200 9.960 ;
RECT 215.280 9.640 215.600 9.960 ;
RECT 215.680 9.640 216.000 9.960 ;
RECT 216.080 9.640 216.400 9.960 ;
RECT 216.480 9.640 216.800 9.960 ;
RECT 216.880 9.640 217.200 9.960 ;
RECT 217.280 9.640 217.600 9.960 ;
RECT 217.680 9.640 218.000 9.960 ;
RECT 218.080 9.640 218.400 9.960 ;
RECT 218.480 9.640 218.800 9.960 ;
RECT 218.880 9.640 219.200 9.960 ;
RECT 219.280 9.640 219.600 9.960 ;
RECT 219.680 9.640 220.000 9.960 ;
RECT 220.080 9.640 220.400 9.960 ;
RECT 220.480 9.640 220.800 9.960 ;
RECT 220.880 9.640 221.200 9.960 ;
RECT 221.280 9.640 221.600 9.960 ;
RECT 221.680 9.640 222.000 9.960 ;
RECT 222.080 9.640 222.400 9.960 ;
RECT 222.480 9.640 222.800 9.960 ;
RECT 222.880 9.640 223.200 9.960 ;
RECT 223.280 9.640 223.600 9.960 ;
RECT 223.680 9.640 224.000 9.960 ;
RECT 224.080 9.640 224.400 9.960 ;
RECT 224.480 9.640 224.800 9.960 ;
RECT 224.880 9.640 225.200 9.960 ;
RECT 225.280 9.640 225.600 9.960 ;
RECT 225.680 9.640 226.000 9.960 ;
RECT 226.080 9.640 226.400 9.960 ;
RECT 226.480 9.640 226.800 9.960 ;
RECT 0.040 9.240 0.360 9.560 ;
RECT 0.440 9.240 0.760 9.560 ;
RECT 0.840 9.240 1.160 9.560 ;
RECT 1.240 9.240 1.560 9.560 ;
RECT 1.640 9.240 1.960 9.560 ;
RECT 2.040 9.240 2.360 9.560 ;
RECT 2.440 9.240 2.760 9.560 ;
RECT 2.840 9.240 3.160 9.560 ;
RECT 3.240 9.240 3.560 9.560 ;
RECT 3.640 9.240 3.960 9.560 ;
RECT 4.040 9.240 4.360 9.560 ;
RECT 4.440 9.240 4.760 9.560 ;
RECT 4.840 9.240 5.160 9.560 ;
RECT 5.240 9.240 5.560 9.560 ;
RECT 5.640 9.240 5.960 9.560 ;
RECT 6.040 9.240 6.360 9.560 ;
RECT 6.440 9.240 6.760 9.560 ;
RECT 6.840 9.240 7.160 9.560 ;
RECT 7.240 9.240 7.560 9.560 ;
RECT 7.640 9.240 7.960 9.560 ;
RECT 8.040 9.240 8.360 9.560 ;
RECT 8.440 9.240 8.760 9.560 ;
RECT 8.840 9.240 9.160 9.560 ;
RECT 9.240 9.240 9.560 9.560 ;
RECT 9.640 9.240 9.960 9.560 ;
RECT 10.040 9.240 10.360 9.560 ;
RECT 10.440 9.240 10.760 9.560 ;
RECT 10.840 9.240 11.160 9.560 ;
RECT 11.240 9.240 11.560 9.560 ;
RECT 11.640 9.240 11.960 9.560 ;
RECT 12.040 9.240 12.360 9.560 ;
RECT 12.440 9.240 12.760 9.560 ;
RECT 12.840 9.240 13.160 9.560 ;
RECT 13.240 9.240 13.560 9.560 ;
RECT 13.640 9.240 13.960 9.560 ;
RECT 14.040 9.240 14.360 9.560 ;
RECT 14.440 9.240 14.760 9.560 ;
RECT 14.840 9.240 15.160 9.560 ;
RECT 15.240 9.240 15.560 9.560 ;
RECT 15.640 9.240 15.960 9.560 ;
RECT 16.040 9.240 16.360 9.560 ;
RECT 16.440 9.240 16.760 9.560 ;
RECT 16.840 9.240 17.160 9.560 ;
RECT 17.240 9.240 17.560 9.560 ;
RECT 17.640 9.240 17.960 9.560 ;
RECT 18.040 9.240 18.360 9.560 ;
RECT 18.440 9.240 18.760 9.560 ;
RECT 18.840 9.240 19.160 9.560 ;
RECT 19.240 9.240 19.560 9.560 ;
RECT 19.640 9.240 19.960 9.560 ;
RECT 95.560 9.240 95.880 9.560 ;
RECT 95.960 9.240 96.280 9.560 ;
RECT 96.360 9.240 96.680 9.560 ;
RECT 96.760 9.240 97.080 9.560 ;
RECT 145.560 9.240 145.880 9.560 ;
RECT 145.960 9.240 146.280 9.560 ;
RECT 146.360 9.240 146.680 9.560 ;
RECT 146.760 9.240 147.080 9.560 ;
RECT 206.880 9.240 207.200 9.560 ;
RECT 207.280 9.240 207.600 9.560 ;
RECT 207.680 9.240 208.000 9.560 ;
RECT 208.080 9.240 208.400 9.560 ;
RECT 208.480 9.240 208.800 9.560 ;
RECT 208.880 9.240 209.200 9.560 ;
RECT 209.280 9.240 209.600 9.560 ;
RECT 209.680 9.240 210.000 9.560 ;
RECT 210.080 9.240 210.400 9.560 ;
RECT 210.480 9.240 210.800 9.560 ;
RECT 210.880 9.240 211.200 9.560 ;
RECT 211.280 9.240 211.600 9.560 ;
RECT 211.680 9.240 212.000 9.560 ;
RECT 212.080 9.240 212.400 9.560 ;
RECT 212.480 9.240 212.800 9.560 ;
RECT 212.880 9.240 213.200 9.560 ;
RECT 213.280 9.240 213.600 9.560 ;
RECT 213.680 9.240 214.000 9.560 ;
RECT 214.080 9.240 214.400 9.560 ;
RECT 214.480 9.240 214.800 9.560 ;
RECT 214.880 9.240 215.200 9.560 ;
RECT 215.280 9.240 215.600 9.560 ;
RECT 215.680 9.240 216.000 9.560 ;
RECT 216.080 9.240 216.400 9.560 ;
RECT 216.480 9.240 216.800 9.560 ;
RECT 216.880 9.240 217.200 9.560 ;
RECT 217.280 9.240 217.600 9.560 ;
RECT 217.680 9.240 218.000 9.560 ;
RECT 218.080 9.240 218.400 9.560 ;
RECT 218.480 9.240 218.800 9.560 ;
RECT 218.880 9.240 219.200 9.560 ;
RECT 219.280 9.240 219.600 9.560 ;
RECT 219.680 9.240 220.000 9.560 ;
RECT 220.080 9.240 220.400 9.560 ;
RECT 220.480 9.240 220.800 9.560 ;
RECT 220.880 9.240 221.200 9.560 ;
RECT 221.280 9.240 221.600 9.560 ;
RECT 221.680 9.240 222.000 9.560 ;
RECT 222.080 9.240 222.400 9.560 ;
RECT 222.480 9.240 222.800 9.560 ;
RECT 222.880 9.240 223.200 9.560 ;
RECT 223.280 9.240 223.600 9.560 ;
RECT 223.680 9.240 224.000 9.560 ;
RECT 224.080 9.240 224.400 9.560 ;
RECT 224.480 9.240 224.800 9.560 ;
RECT 224.880 9.240 225.200 9.560 ;
RECT 225.280 9.240 225.600 9.560 ;
RECT 225.680 9.240 226.000 9.560 ;
RECT 226.080 9.240 226.400 9.560 ;
RECT 226.480 9.240 226.800 9.560 ;
RECT 0.040 8.840 0.360 9.160 ;
RECT 0.440 8.840 0.760 9.160 ;
RECT 0.840 8.840 1.160 9.160 ;
RECT 1.240 8.840 1.560 9.160 ;
RECT 1.640 8.840 1.960 9.160 ;
RECT 2.040 8.840 2.360 9.160 ;
RECT 2.440 8.840 2.760 9.160 ;
RECT 2.840 8.840 3.160 9.160 ;
RECT 3.240 8.840 3.560 9.160 ;
RECT 3.640 8.840 3.960 9.160 ;
RECT 4.040 8.840 4.360 9.160 ;
RECT 4.440 8.840 4.760 9.160 ;
RECT 4.840 8.840 5.160 9.160 ;
RECT 5.240 8.840 5.560 9.160 ;
RECT 5.640 8.840 5.960 9.160 ;
RECT 6.040 8.840 6.360 9.160 ;
RECT 6.440 8.840 6.760 9.160 ;
RECT 6.840 8.840 7.160 9.160 ;
RECT 7.240 8.840 7.560 9.160 ;
RECT 7.640 8.840 7.960 9.160 ;
RECT 8.040 8.840 8.360 9.160 ;
RECT 8.440 8.840 8.760 9.160 ;
RECT 8.840 8.840 9.160 9.160 ;
RECT 9.240 8.840 9.560 9.160 ;
RECT 9.640 8.840 9.960 9.160 ;
RECT 10.040 8.840 10.360 9.160 ;
RECT 10.440 8.840 10.760 9.160 ;
RECT 10.840 8.840 11.160 9.160 ;
RECT 11.240 8.840 11.560 9.160 ;
RECT 11.640 8.840 11.960 9.160 ;
RECT 12.040 8.840 12.360 9.160 ;
RECT 12.440 8.840 12.760 9.160 ;
RECT 12.840 8.840 13.160 9.160 ;
RECT 13.240 8.840 13.560 9.160 ;
RECT 13.640 8.840 13.960 9.160 ;
RECT 14.040 8.840 14.360 9.160 ;
RECT 14.440 8.840 14.760 9.160 ;
RECT 14.840 8.840 15.160 9.160 ;
RECT 15.240 8.840 15.560 9.160 ;
RECT 15.640 8.840 15.960 9.160 ;
RECT 16.040 8.840 16.360 9.160 ;
RECT 16.440 8.840 16.760 9.160 ;
RECT 16.840 8.840 17.160 9.160 ;
RECT 17.240 8.840 17.560 9.160 ;
RECT 17.640 8.840 17.960 9.160 ;
RECT 18.040 8.840 18.360 9.160 ;
RECT 18.440 8.840 18.760 9.160 ;
RECT 18.840 8.840 19.160 9.160 ;
RECT 19.240 8.840 19.560 9.160 ;
RECT 19.640 8.840 19.960 9.160 ;
RECT 95.560 8.840 95.880 9.160 ;
RECT 95.960 8.840 96.280 9.160 ;
RECT 96.360 8.840 96.680 9.160 ;
RECT 96.760 8.840 97.080 9.160 ;
RECT 145.560 8.840 145.880 9.160 ;
RECT 145.960 8.840 146.280 9.160 ;
RECT 146.360 8.840 146.680 9.160 ;
RECT 146.760 8.840 147.080 9.160 ;
RECT 206.880 8.840 207.200 9.160 ;
RECT 207.280 8.840 207.600 9.160 ;
RECT 207.680 8.840 208.000 9.160 ;
RECT 208.080 8.840 208.400 9.160 ;
RECT 208.480 8.840 208.800 9.160 ;
RECT 208.880 8.840 209.200 9.160 ;
RECT 209.280 8.840 209.600 9.160 ;
RECT 209.680 8.840 210.000 9.160 ;
RECT 210.080 8.840 210.400 9.160 ;
RECT 210.480 8.840 210.800 9.160 ;
RECT 210.880 8.840 211.200 9.160 ;
RECT 211.280 8.840 211.600 9.160 ;
RECT 211.680 8.840 212.000 9.160 ;
RECT 212.080 8.840 212.400 9.160 ;
RECT 212.480 8.840 212.800 9.160 ;
RECT 212.880 8.840 213.200 9.160 ;
RECT 213.280 8.840 213.600 9.160 ;
RECT 213.680 8.840 214.000 9.160 ;
RECT 214.080 8.840 214.400 9.160 ;
RECT 214.480 8.840 214.800 9.160 ;
RECT 214.880 8.840 215.200 9.160 ;
RECT 215.280 8.840 215.600 9.160 ;
RECT 215.680 8.840 216.000 9.160 ;
RECT 216.080 8.840 216.400 9.160 ;
RECT 216.480 8.840 216.800 9.160 ;
RECT 216.880 8.840 217.200 9.160 ;
RECT 217.280 8.840 217.600 9.160 ;
RECT 217.680 8.840 218.000 9.160 ;
RECT 218.080 8.840 218.400 9.160 ;
RECT 218.480 8.840 218.800 9.160 ;
RECT 218.880 8.840 219.200 9.160 ;
RECT 219.280 8.840 219.600 9.160 ;
RECT 219.680 8.840 220.000 9.160 ;
RECT 220.080 8.840 220.400 9.160 ;
RECT 220.480 8.840 220.800 9.160 ;
RECT 220.880 8.840 221.200 9.160 ;
RECT 221.280 8.840 221.600 9.160 ;
RECT 221.680 8.840 222.000 9.160 ;
RECT 222.080 8.840 222.400 9.160 ;
RECT 222.480 8.840 222.800 9.160 ;
RECT 222.880 8.840 223.200 9.160 ;
RECT 223.280 8.840 223.600 9.160 ;
RECT 223.680 8.840 224.000 9.160 ;
RECT 224.080 8.840 224.400 9.160 ;
RECT 224.480 8.840 224.800 9.160 ;
RECT 224.880 8.840 225.200 9.160 ;
RECT 225.280 8.840 225.600 9.160 ;
RECT 225.680 8.840 226.000 9.160 ;
RECT 226.080 8.840 226.400 9.160 ;
RECT 226.480 8.840 226.800 9.160 ;
RECT 0.040 8.440 0.360 8.760 ;
RECT 0.440 8.440 0.760 8.760 ;
RECT 0.840 8.440 1.160 8.760 ;
RECT 1.240 8.440 1.560 8.760 ;
RECT 1.640 8.440 1.960 8.760 ;
RECT 2.040 8.440 2.360 8.760 ;
RECT 2.440 8.440 2.760 8.760 ;
RECT 2.840 8.440 3.160 8.760 ;
RECT 3.240 8.440 3.560 8.760 ;
RECT 3.640 8.440 3.960 8.760 ;
RECT 4.040 8.440 4.360 8.760 ;
RECT 4.440 8.440 4.760 8.760 ;
RECT 4.840 8.440 5.160 8.760 ;
RECT 5.240 8.440 5.560 8.760 ;
RECT 5.640 8.440 5.960 8.760 ;
RECT 6.040 8.440 6.360 8.760 ;
RECT 6.440 8.440 6.760 8.760 ;
RECT 6.840 8.440 7.160 8.760 ;
RECT 7.240 8.440 7.560 8.760 ;
RECT 7.640 8.440 7.960 8.760 ;
RECT 8.040 8.440 8.360 8.760 ;
RECT 8.440 8.440 8.760 8.760 ;
RECT 8.840 8.440 9.160 8.760 ;
RECT 9.240 8.440 9.560 8.760 ;
RECT 9.640 8.440 9.960 8.760 ;
RECT 10.040 8.440 10.360 8.760 ;
RECT 10.440 8.440 10.760 8.760 ;
RECT 10.840 8.440 11.160 8.760 ;
RECT 11.240 8.440 11.560 8.760 ;
RECT 11.640 8.440 11.960 8.760 ;
RECT 12.040 8.440 12.360 8.760 ;
RECT 12.440 8.440 12.760 8.760 ;
RECT 12.840 8.440 13.160 8.760 ;
RECT 13.240 8.440 13.560 8.760 ;
RECT 13.640 8.440 13.960 8.760 ;
RECT 14.040 8.440 14.360 8.760 ;
RECT 14.440 8.440 14.760 8.760 ;
RECT 14.840 8.440 15.160 8.760 ;
RECT 15.240 8.440 15.560 8.760 ;
RECT 15.640 8.440 15.960 8.760 ;
RECT 16.040 8.440 16.360 8.760 ;
RECT 16.440 8.440 16.760 8.760 ;
RECT 16.840 8.440 17.160 8.760 ;
RECT 17.240 8.440 17.560 8.760 ;
RECT 17.640 8.440 17.960 8.760 ;
RECT 18.040 8.440 18.360 8.760 ;
RECT 18.440 8.440 18.760 8.760 ;
RECT 18.840 8.440 19.160 8.760 ;
RECT 19.240 8.440 19.560 8.760 ;
RECT 19.640 8.440 19.960 8.760 ;
RECT 95.560 8.440 95.880 8.760 ;
RECT 95.960 8.440 96.280 8.760 ;
RECT 96.360 8.440 96.680 8.760 ;
RECT 96.760 8.440 97.080 8.760 ;
RECT 145.560 8.440 145.880 8.760 ;
RECT 145.960 8.440 146.280 8.760 ;
RECT 146.360 8.440 146.680 8.760 ;
RECT 146.760 8.440 147.080 8.760 ;
RECT 206.880 8.440 207.200 8.760 ;
RECT 207.280 8.440 207.600 8.760 ;
RECT 207.680 8.440 208.000 8.760 ;
RECT 208.080 8.440 208.400 8.760 ;
RECT 208.480 8.440 208.800 8.760 ;
RECT 208.880 8.440 209.200 8.760 ;
RECT 209.280 8.440 209.600 8.760 ;
RECT 209.680 8.440 210.000 8.760 ;
RECT 210.080 8.440 210.400 8.760 ;
RECT 210.480 8.440 210.800 8.760 ;
RECT 210.880 8.440 211.200 8.760 ;
RECT 211.280 8.440 211.600 8.760 ;
RECT 211.680 8.440 212.000 8.760 ;
RECT 212.080 8.440 212.400 8.760 ;
RECT 212.480 8.440 212.800 8.760 ;
RECT 212.880 8.440 213.200 8.760 ;
RECT 213.280 8.440 213.600 8.760 ;
RECT 213.680 8.440 214.000 8.760 ;
RECT 214.080 8.440 214.400 8.760 ;
RECT 214.480 8.440 214.800 8.760 ;
RECT 214.880 8.440 215.200 8.760 ;
RECT 215.280 8.440 215.600 8.760 ;
RECT 215.680 8.440 216.000 8.760 ;
RECT 216.080 8.440 216.400 8.760 ;
RECT 216.480 8.440 216.800 8.760 ;
RECT 216.880 8.440 217.200 8.760 ;
RECT 217.280 8.440 217.600 8.760 ;
RECT 217.680 8.440 218.000 8.760 ;
RECT 218.080 8.440 218.400 8.760 ;
RECT 218.480 8.440 218.800 8.760 ;
RECT 218.880 8.440 219.200 8.760 ;
RECT 219.280 8.440 219.600 8.760 ;
RECT 219.680 8.440 220.000 8.760 ;
RECT 220.080 8.440 220.400 8.760 ;
RECT 220.480 8.440 220.800 8.760 ;
RECT 220.880 8.440 221.200 8.760 ;
RECT 221.280 8.440 221.600 8.760 ;
RECT 221.680 8.440 222.000 8.760 ;
RECT 222.080 8.440 222.400 8.760 ;
RECT 222.480 8.440 222.800 8.760 ;
RECT 222.880 8.440 223.200 8.760 ;
RECT 223.280 8.440 223.600 8.760 ;
RECT 223.680 8.440 224.000 8.760 ;
RECT 224.080 8.440 224.400 8.760 ;
RECT 224.480 8.440 224.800 8.760 ;
RECT 224.880 8.440 225.200 8.760 ;
RECT 225.280 8.440 225.600 8.760 ;
RECT 225.680 8.440 226.000 8.760 ;
RECT 226.080 8.440 226.400 8.760 ;
RECT 226.480 8.440 226.800 8.760 ;
RECT 0.040 8.040 0.360 8.360 ;
RECT 0.440 8.040 0.760 8.360 ;
RECT 0.840 8.040 1.160 8.360 ;
RECT 1.240 8.040 1.560 8.360 ;
RECT 1.640 8.040 1.960 8.360 ;
RECT 2.040 8.040 2.360 8.360 ;
RECT 2.440 8.040 2.760 8.360 ;
RECT 2.840 8.040 3.160 8.360 ;
RECT 3.240 8.040 3.560 8.360 ;
RECT 3.640 8.040 3.960 8.360 ;
RECT 4.040 8.040 4.360 8.360 ;
RECT 4.440 8.040 4.760 8.360 ;
RECT 4.840 8.040 5.160 8.360 ;
RECT 5.240 8.040 5.560 8.360 ;
RECT 5.640 8.040 5.960 8.360 ;
RECT 6.040 8.040 6.360 8.360 ;
RECT 6.440 8.040 6.760 8.360 ;
RECT 6.840 8.040 7.160 8.360 ;
RECT 7.240 8.040 7.560 8.360 ;
RECT 7.640 8.040 7.960 8.360 ;
RECT 8.040 8.040 8.360 8.360 ;
RECT 8.440 8.040 8.760 8.360 ;
RECT 8.840 8.040 9.160 8.360 ;
RECT 9.240 8.040 9.560 8.360 ;
RECT 9.640 8.040 9.960 8.360 ;
RECT 10.040 8.040 10.360 8.360 ;
RECT 10.440 8.040 10.760 8.360 ;
RECT 10.840 8.040 11.160 8.360 ;
RECT 11.240 8.040 11.560 8.360 ;
RECT 11.640 8.040 11.960 8.360 ;
RECT 12.040 8.040 12.360 8.360 ;
RECT 12.440 8.040 12.760 8.360 ;
RECT 12.840 8.040 13.160 8.360 ;
RECT 13.240 8.040 13.560 8.360 ;
RECT 13.640 8.040 13.960 8.360 ;
RECT 14.040 8.040 14.360 8.360 ;
RECT 14.440 8.040 14.760 8.360 ;
RECT 14.840 8.040 15.160 8.360 ;
RECT 15.240 8.040 15.560 8.360 ;
RECT 15.640 8.040 15.960 8.360 ;
RECT 16.040 8.040 16.360 8.360 ;
RECT 16.440 8.040 16.760 8.360 ;
RECT 16.840 8.040 17.160 8.360 ;
RECT 17.240 8.040 17.560 8.360 ;
RECT 17.640 8.040 17.960 8.360 ;
RECT 18.040 8.040 18.360 8.360 ;
RECT 18.440 8.040 18.760 8.360 ;
RECT 18.840 8.040 19.160 8.360 ;
RECT 19.240 8.040 19.560 8.360 ;
RECT 19.640 8.040 19.960 8.360 ;
RECT 95.560 8.040 95.880 8.360 ;
RECT 95.960 8.040 96.280 8.360 ;
RECT 96.360 8.040 96.680 8.360 ;
RECT 96.760 8.040 97.080 8.360 ;
RECT 145.560 8.040 145.880 8.360 ;
RECT 145.960 8.040 146.280 8.360 ;
RECT 146.360 8.040 146.680 8.360 ;
RECT 146.760 8.040 147.080 8.360 ;
RECT 206.880 8.040 207.200 8.360 ;
RECT 207.280 8.040 207.600 8.360 ;
RECT 207.680 8.040 208.000 8.360 ;
RECT 208.080 8.040 208.400 8.360 ;
RECT 208.480 8.040 208.800 8.360 ;
RECT 208.880 8.040 209.200 8.360 ;
RECT 209.280 8.040 209.600 8.360 ;
RECT 209.680 8.040 210.000 8.360 ;
RECT 210.080 8.040 210.400 8.360 ;
RECT 210.480 8.040 210.800 8.360 ;
RECT 210.880 8.040 211.200 8.360 ;
RECT 211.280 8.040 211.600 8.360 ;
RECT 211.680 8.040 212.000 8.360 ;
RECT 212.080 8.040 212.400 8.360 ;
RECT 212.480 8.040 212.800 8.360 ;
RECT 212.880 8.040 213.200 8.360 ;
RECT 213.280 8.040 213.600 8.360 ;
RECT 213.680 8.040 214.000 8.360 ;
RECT 214.080 8.040 214.400 8.360 ;
RECT 214.480 8.040 214.800 8.360 ;
RECT 214.880 8.040 215.200 8.360 ;
RECT 215.280 8.040 215.600 8.360 ;
RECT 215.680 8.040 216.000 8.360 ;
RECT 216.080 8.040 216.400 8.360 ;
RECT 216.480 8.040 216.800 8.360 ;
RECT 216.880 8.040 217.200 8.360 ;
RECT 217.280 8.040 217.600 8.360 ;
RECT 217.680 8.040 218.000 8.360 ;
RECT 218.080 8.040 218.400 8.360 ;
RECT 218.480 8.040 218.800 8.360 ;
RECT 218.880 8.040 219.200 8.360 ;
RECT 219.280 8.040 219.600 8.360 ;
RECT 219.680 8.040 220.000 8.360 ;
RECT 220.080 8.040 220.400 8.360 ;
RECT 220.480 8.040 220.800 8.360 ;
RECT 220.880 8.040 221.200 8.360 ;
RECT 221.280 8.040 221.600 8.360 ;
RECT 221.680 8.040 222.000 8.360 ;
RECT 222.080 8.040 222.400 8.360 ;
RECT 222.480 8.040 222.800 8.360 ;
RECT 222.880 8.040 223.200 8.360 ;
RECT 223.280 8.040 223.600 8.360 ;
RECT 223.680 8.040 224.000 8.360 ;
RECT 224.080 8.040 224.400 8.360 ;
RECT 224.480 8.040 224.800 8.360 ;
RECT 224.880 8.040 225.200 8.360 ;
RECT 225.280 8.040 225.600 8.360 ;
RECT 225.680 8.040 226.000 8.360 ;
RECT 226.080 8.040 226.400 8.360 ;
RECT 226.480 8.040 226.800 8.360 ;
RECT 0.040 7.640 0.360 7.960 ;
RECT 0.440 7.640 0.760 7.960 ;
RECT 0.840 7.640 1.160 7.960 ;
RECT 1.240 7.640 1.560 7.960 ;
RECT 1.640 7.640 1.960 7.960 ;
RECT 2.040 7.640 2.360 7.960 ;
RECT 2.440 7.640 2.760 7.960 ;
RECT 2.840 7.640 3.160 7.960 ;
RECT 3.240 7.640 3.560 7.960 ;
RECT 3.640 7.640 3.960 7.960 ;
RECT 4.040 7.640 4.360 7.960 ;
RECT 4.440 7.640 4.760 7.960 ;
RECT 4.840 7.640 5.160 7.960 ;
RECT 5.240 7.640 5.560 7.960 ;
RECT 5.640 7.640 5.960 7.960 ;
RECT 6.040 7.640 6.360 7.960 ;
RECT 6.440 7.640 6.760 7.960 ;
RECT 6.840 7.640 7.160 7.960 ;
RECT 7.240 7.640 7.560 7.960 ;
RECT 7.640 7.640 7.960 7.960 ;
RECT 8.040 7.640 8.360 7.960 ;
RECT 8.440 7.640 8.760 7.960 ;
RECT 8.840 7.640 9.160 7.960 ;
RECT 9.240 7.640 9.560 7.960 ;
RECT 9.640 7.640 9.960 7.960 ;
RECT 10.040 7.640 10.360 7.960 ;
RECT 10.440 7.640 10.760 7.960 ;
RECT 10.840 7.640 11.160 7.960 ;
RECT 11.240 7.640 11.560 7.960 ;
RECT 11.640 7.640 11.960 7.960 ;
RECT 12.040 7.640 12.360 7.960 ;
RECT 12.440 7.640 12.760 7.960 ;
RECT 12.840 7.640 13.160 7.960 ;
RECT 13.240 7.640 13.560 7.960 ;
RECT 13.640 7.640 13.960 7.960 ;
RECT 14.040 7.640 14.360 7.960 ;
RECT 14.440 7.640 14.760 7.960 ;
RECT 14.840 7.640 15.160 7.960 ;
RECT 15.240 7.640 15.560 7.960 ;
RECT 15.640 7.640 15.960 7.960 ;
RECT 16.040 7.640 16.360 7.960 ;
RECT 16.440 7.640 16.760 7.960 ;
RECT 16.840 7.640 17.160 7.960 ;
RECT 17.240 7.640 17.560 7.960 ;
RECT 17.640 7.640 17.960 7.960 ;
RECT 18.040 7.640 18.360 7.960 ;
RECT 18.440 7.640 18.760 7.960 ;
RECT 18.840 7.640 19.160 7.960 ;
RECT 19.240 7.640 19.560 7.960 ;
RECT 19.640 7.640 19.960 7.960 ;
RECT 95.560 7.640 95.880 7.960 ;
RECT 95.960 7.640 96.280 7.960 ;
RECT 96.360 7.640 96.680 7.960 ;
RECT 96.760 7.640 97.080 7.960 ;
RECT 145.560 7.640 145.880 7.960 ;
RECT 145.960 7.640 146.280 7.960 ;
RECT 146.360 7.640 146.680 7.960 ;
RECT 146.760 7.640 147.080 7.960 ;
RECT 206.880 7.640 207.200 7.960 ;
RECT 207.280 7.640 207.600 7.960 ;
RECT 207.680 7.640 208.000 7.960 ;
RECT 208.080 7.640 208.400 7.960 ;
RECT 208.480 7.640 208.800 7.960 ;
RECT 208.880 7.640 209.200 7.960 ;
RECT 209.280 7.640 209.600 7.960 ;
RECT 209.680 7.640 210.000 7.960 ;
RECT 210.080 7.640 210.400 7.960 ;
RECT 210.480 7.640 210.800 7.960 ;
RECT 210.880 7.640 211.200 7.960 ;
RECT 211.280 7.640 211.600 7.960 ;
RECT 211.680 7.640 212.000 7.960 ;
RECT 212.080 7.640 212.400 7.960 ;
RECT 212.480 7.640 212.800 7.960 ;
RECT 212.880 7.640 213.200 7.960 ;
RECT 213.280 7.640 213.600 7.960 ;
RECT 213.680 7.640 214.000 7.960 ;
RECT 214.080 7.640 214.400 7.960 ;
RECT 214.480 7.640 214.800 7.960 ;
RECT 214.880 7.640 215.200 7.960 ;
RECT 215.280 7.640 215.600 7.960 ;
RECT 215.680 7.640 216.000 7.960 ;
RECT 216.080 7.640 216.400 7.960 ;
RECT 216.480 7.640 216.800 7.960 ;
RECT 216.880 7.640 217.200 7.960 ;
RECT 217.280 7.640 217.600 7.960 ;
RECT 217.680 7.640 218.000 7.960 ;
RECT 218.080 7.640 218.400 7.960 ;
RECT 218.480 7.640 218.800 7.960 ;
RECT 218.880 7.640 219.200 7.960 ;
RECT 219.280 7.640 219.600 7.960 ;
RECT 219.680 7.640 220.000 7.960 ;
RECT 220.080 7.640 220.400 7.960 ;
RECT 220.480 7.640 220.800 7.960 ;
RECT 220.880 7.640 221.200 7.960 ;
RECT 221.280 7.640 221.600 7.960 ;
RECT 221.680 7.640 222.000 7.960 ;
RECT 222.080 7.640 222.400 7.960 ;
RECT 222.480 7.640 222.800 7.960 ;
RECT 222.880 7.640 223.200 7.960 ;
RECT 223.280 7.640 223.600 7.960 ;
RECT 223.680 7.640 224.000 7.960 ;
RECT 224.080 7.640 224.400 7.960 ;
RECT 224.480 7.640 224.800 7.960 ;
RECT 224.880 7.640 225.200 7.960 ;
RECT 225.280 7.640 225.600 7.960 ;
RECT 225.680 7.640 226.000 7.960 ;
RECT 226.080 7.640 226.400 7.960 ;
RECT 226.480 7.640 226.800 7.960 ;
RECT 0.040 7.240 0.360 7.560 ;
RECT 0.440 7.240 0.760 7.560 ;
RECT 0.840 7.240 1.160 7.560 ;
RECT 1.240 7.240 1.560 7.560 ;
RECT 1.640 7.240 1.960 7.560 ;
RECT 2.040 7.240 2.360 7.560 ;
RECT 2.440 7.240 2.760 7.560 ;
RECT 2.840 7.240 3.160 7.560 ;
RECT 3.240 7.240 3.560 7.560 ;
RECT 3.640 7.240 3.960 7.560 ;
RECT 4.040 7.240 4.360 7.560 ;
RECT 4.440 7.240 4.760 7.560 ;
RECT 4.840 7.240 5.160 7.560 ;
RECT 5.240 7.240 5.560 7.560 ;
RECT 5.640 7.240 5.960 7.560 ;
RECT 6.040 7.240 6.360 7.560 ;
RECT 6.440 7.240 6.760 7.560 ;
RECT 6.840 7.240 7.160 7.560 ;
RECT 7.240 7.240 7.560 7.560 ;
RECT 7.640 7.240 7.960 7.560 ;
RECT 8.040 7.240 8.360 7.560 ;
RECT 8.440 7.240 8.760 7.560 ;
RECT 8.840 7.240 9.160 7.560 ;
RECT 9.240 7.240 9.560 7.560 ;
RECT 9.640 7.240 9.960 7.560 ;
RECT 10.040 7.240 10.360 7.560 ;
RECT 10.440 7.240 10.760 7.560 ;
RECT 10.840 7.240 11.160 7.560 ;
RECT 11.240 7.240 11.560 7.560 ;
RECT 11.640 7.240 11.960 7.560 ;
RECT 12.040 7.240 12.360 7.560 ;
RECT 12.440 7.240 12.760 7.560 ;
RECT 12.840 7.240 13.160 7.560 ;
RECT 13.240 7.240 13.560 7.560 ;
RECT 13.640 7.240 13.960 7.560 ;
RECT 14.040 7.240 14.360 7.560 ;
RECT 14.440 7.240 14.760 7.560 ;
RECT 14.840 7.240 15.160 7.560 ;
RECT 15.240 7.240 15.560 7.560 ;
RECT 15.640 7.240 15.960 7.560 ;
RECT 16.040 7.240 16.360 7.560 ;
RECT 16.440 7.240 16.760 7.560 ;
RECT 16.840 7.240 17.160 7.560 ;
RECT 17.240 7.240 17.560 7.560 ;
RECT 17.640 7.240 17.960 7.560 ;
RECT 18.040 7.240 18.360 7.560 ;
RECT 18.440 7.240 18.760 7.560 ;
RECT 18.840 7.240 19.160 7.560 ;
RECT 19.240 7.240 19.560 7.560 ;
RECT 19.640 7.240 19.960 7.560 ;
RECT 95.560 7.240 95.880 7.560 ;
RECT 95.960 7.240 96.280 7.560 ;
RECT 96.360 7.240 96.680 7.560 ;
RECT 96.760 7.240 97.080 7.560 ;
RECT 145.560 7.240 145.880 7.560 ;
RECT 145.960 7.240 146.280 7.560 ;
RECT 146.360 7.240 146.680 7.560 ;
RECT 146.760 7.240 147.080 7.560 ;
RECT 206.880 7.240 207.200 7.560 ;
RECT 207.280 7.240 207.600 7.560 ;
RECT 207.680 7.240 208.000 7.560 ;
RECT 208.080 7.240 208.400 7.560 ;
RECT 208.480 7.240 208.800 7.560 ;
RECT 208.880 7.240 209.200 7.560 ;
RECT 209.280 7.240 209.600 7.560 ;
RECT 209.680 7.240 210.000 7.560 ;
RECT 210.080 7.240 210.400 7.560 ;
RECT 210.480 7.240 210.800 7.560 ;
RECT 210.880 7.240 211.200 7.560 ;
RECT 211.280 7.240 211.600 7.560 ;
RECT 211.680 7.240 212.000 7.560 ;
RECT 212.080 7.240 212.400 7.560 ;
RECT 212.480 7.240 212.800 7.560 ;
RECT 212.880 7.240 213.200 7.560 ;
RECT 213.280 7.240 213.600 7.560 ;
RECT 213.680 7.240 214.000 7.560 ;
RECT 214.080 7.240 214.400 7.560 ;
RECT 214.480 7.240 214.800 7.560 ;
RECT 214.880 7.240 215.200 7.560 ;
RECT 215.280 7.240 215.600 7.560 ;
RECT 215.680 7.240 216.000 7.560 ;
RECT 216.080 7.240 216.400 7.560 ;
RECT 216.480 7.240 216.800 7.560 ;
RECT 216.880 7.240 217.200 7.560 ;
RECT 217.280 7.240 217.600 7.560 ;
RECT 217.680 7.240 218.000 7.560 ;
RECT 218.080 7.240 218.400 7.560 ;
RECT 218.480 7.240 218.800 7.560 ;
RECT 218.880 7.240 219.200 7.560 ;
RECT 219.280 7.240 219.600 7.560 ;
RECT 219.680 7.240 220.000 7.560 ;
RECT 220.080 7.240 220.400 7.560 ;
RECT 220.480 7.240 220.800 7.560 ;
RECT 220.880 7.240 221.200 7.560 ;
RECT 221.280 7.240 221.600 7.560 ;
RECT 221.680 7.240 222.000 7.560 ;
RECT 222.080 7.240 222.400 7.560 ;
RECT 222.480 7.240 222.800 7.560 ;
RECT 222.880 7.240 223.200 7.560 ;
RECT 223.280 7.240 223.600 7.560 ;
RECT 223.680 7.240 224.000 7.560 ;
RECT 224.080 7.240 224.400 7.560 ;
RECT 224.480 7.240 224.800 7.560 ;
RECT 224.880 7.240 225.200 7.560 ;
RECT 225.280 7.240 225.600 7.560 ;
RECT 225.680 7.240 226.000 7.560 ;
RECT 226.080 7.240 226.400 7.560 ;
RECT 226.480 7.240 226.800 7.560 ;
RECT 0.040 6.840 0.360 7.160 ;
RECT 0.440 6.840 0.760 7.160 ;
RECT 0.840 6.840 1.160 7.160 ;
RECT 1.240 6.840 1.560 7.160 ;
RECT 1.640 6.840 1.960 7.160 ;
RECT 2.040 6.840 2.360 7.160 ;
RECT 2.440 6.840 2.760 7.160 ;
RECT 2.840 6.840 3.160 7.160 ;
RECT 3.240 6.840 3.560 7.160 ;
RECT 3.640 6.840 3.960 7.160 ;
RECT 4.040 6.840 4.360 7.160 ;
RECT 4.440 6.840 4.760 7.160 ;
RECT 4.840 6.840 5.160 7.160 ;
RECT 5.240 6.840 5.560 7.160 ;
RECT 5.640 6.840 5.960 7.160 ;
RECT 6.040 6.840 6.360 7.160 ;
RECT 6.440 6.840 6.760 7.160 ;
RECT 6.840 6.840 7.160 7.160 ;
RECT 7.240 6.840 7.560 7.160 ;
RECT 7.640 6.840 7.960 7.160 ;
RECT 8.040 6.840 8.360 7.160 ;
RECT 8.440 6.840 8.760 7.160 ;
RECT 8.840 6.840 9.160 7.160 ;
RECT 9.240 6.840 9.560 7.160 ;
RECT 9.640 6.840 9.960 7.160 ;
RECT 10.040 6.840 10.360 7.160 ;
RECT 10.440 6.840 10.760 7.160 ;
RECT 10.840 6.840 11.160 7.160 ;
RECT 11.240 6.840 11.560 7.160 ;
RECT 11.640 6.840 11.960 7.160 ;
RECT 12.040 6.840 12.360 7.160 ;
RECT 12.440 6.840 12.760 7.160 ;
RECT 12.840 6.840 13.160 7.160 ;
RECT 13.240 6.840 13.560 7.160 ;
RECT 13.640 6.840 13.960 7.160 ;
RECT 14.040 6.840 14.360 7.160 ;
RECT 14.440 6.840 14.760 7.160 ;
RECT 14.840 6.840 15.160 7.160 ;
RECT 15.240 6.840 15.560 7.160 ;
RECT 15.640 6.840 15.960 7.160 ;
RECT 16.040 6.840 16.360 7.160 ;
RECT 16.440 6.840 16.760 7.160 ;
RECT 16.840 6.840 17.160 7.160 ;
RECT 17.240 6.840 17.560 7.160 ;
RECT 17.640 6.840 17.960 7.160 ;
RECT 18.040 6.840 18.360 7.160 ;
RECT 18.440 6.840 18.760 7.160 ;
RECT 18.840 6.840 19.160 7.160 ;
RECT 19.240 6.840 19.560 7.160 ;
RECT 19.640 6.840 19.960 7.160 ;
RECT 95.560 6.840 95.880 7.160 ;
RECT 95.960 6.840 96.280 7.160 ;
RECT 96.360 6.840 96.680 7.160 ;
RECT 96.760 6.840 97.080 7.160 ;
RECT 145.560 6.840 145.880 7.160 ;
RECT 145.960 6.840 146.280 7.160 ;
RECT 146.360 6.840 146.680 7.160 ;
RECT 146.760 6.840 147.080 7.160 ;
RECT 206.880 6.840 207.200 7.160 ;
RECT 207.280 6.840 207.600 7.160 ;
RECT 207.680 6.840 208.000 7.160 ;
RECT 208.080 6.840 208.400 7.160 ;
RECT 208.480 6.840 208.800 7.160 ;
RECT 208.880 6.840 209.200 7.160 ;
RECT 209.280 6.840 209.600 7.160 ;
RECT 209.680 6.840 210.000 7.160 ;
RECT 210.080 6.840 210.400 7.160 ;
RECT 210.480 6.840 210.800 7.160 ;
RECT 210.880 6.840 211.200 7.160 ;
RECT 211.280 6.840 211.600 7.160 ;
RECT 211.680 6.840 212.000 7.160 ;
RECT 212.080 6.840 212.400 7.160 ;
RECT 212.480 6.840 212.800 7.160 ;
RECT 212.880 6.840 213.200 7.160 ;
RECT 213.280 6.840 213.600 7.160 ;
RECT 213.680 6.840 214.000 7.160 ;
RECT 214.080 6.840 214.400 7.160 ;
RECT 214.480 6.840 214.800 7.160 ;
RECT 214.880 6.840 215.200 7.160 ;
RECT 215.280 6.840 215.600 7.160 ;
RECT 215.680 6.840 216.000 7.160 ;
RECT 216.080 6.840 216.400 7.160 ;
RECT 216.480 6.840 216.800 7.160 ;
RECT 216.880 6.840 217.200 7.160 ;
RECT 217.280 6.840 217.600 7.160 ;
RECT 217.680 6.840 218.000 7.160 ;
RECT 218.080 6.840 218.400 7.160 ;
RECT 218.480 6.840 218.800 7.160 ;
RECT 218.880 6.840 219.200 7.160 ;
RECT 219.280 6.840 219.600 7.160 ;
RECT 219.680 6.840 220.000 7.160 ;
RECT 220.080 6.840 220.400 7.160 ;
RECT 220.480 6.840 220.800 7.160 ;
RECT 220.880 6.840 221.200 7.160 ;
RECT 221.280 6.840 221.600 7.160 ;
RECT 221.680 6.840 222.000 7.160 ;
RECT 222.080 6.840 222.400 7.160 ;
RECT 222.480 6.840 222.800 7.160 ;
RECT 222.880 6.840 223.200 7.160 ;
RECT 223.280 6.840 223.600 7.160 ;
RECT 223.680 6.840 224.000 7.160 ;
RECT 224.080 6.840 224.400 7.160 ;
RECT 224.480 6.840 224.800 7.160 ;
RECT 224.880 6.840 225.200 7.160 ;
RECT 225.280 6.840 225.600 7.160 ;
RECT 225.680 6.840 226.000 7.160 ;
RECT 226.080 6.840 226.400 7.160 ;
RECT 226.480 6.840 226.800 7.160 ;
RECT 0.040 6.440 0.360 6.760 ;
RECT 0.440 6.440 0.760 6.760 ;
RECT 0.840 6.440 1.160 6.760 ;
RECT 1.240 6.440 1.560 6.760 ;
RECT 1.640 6.440 1.960 6.760 ;
RECT 2.040 6.440 2.360 6.760 ;
RECT 2.440 6.440 2.760 6.760 ;
RECT 2.840 6.440 3.160 6.760 ;
RECT 3.240 6.440 3.560 6.760 ;
RECT 3.640 6.440 3.960 6.760 ;
RECT 4.040 6.440 4.360 6.760 ;
RECT 4.440 6.440 4.760 6.760 ;
RECT 4.840 6.440 5.160 6.760 ;
RECT 5.240 6.440 5.560 6.760 ;
RECT 5.640 6.440 5.960 6.760 ;
RECT 6.040 6.440 6.360 6.760 ;
RECT 6.440 6.440 6.760 6.760 ;
RECT 6.840 6.440 7.160 6.760 ;
RECT 7.240 6.440 7.560 6.760 ;
RECT 7.640 6.440 7.960 6.760 ;
RECT 8.040 6.440 8.360 6.760 ;
RECT 8.440 6.440 8.760 6.760 ;
RECT 8.840 6.440 9.160 6.760 ;
RECT 9.240 6.440 9.560 6.760 ;
RECT 9.640 6.440 9.960 6.760 ;
RECT 10.040 6.440 10.360 6.760 ;
RECT 10.440 6.440 10.760 6.760 ;
RECT 10.840 6.440 11.160 6.760 ;
RECT 11.240 6.440 11.560 6.760 ;
RECT 11.640 6.440 11.960 6.760 ;
RECT 12.040 6.440 12.360 6.760 ;
RECT 12.440 6.440 12.760 6.760 ;
RECT 12.840 6.440 13.160 6.760 ;
RECT 13.240 6.440 13.560 6.760 ;
RECT 13.640 6.440 13.960 6.760 ;
RECT 14.040 6.440 14.360 6.760 ;
RECT 14.440 6.440 14.760 6.760 ;
RECT 14.840 6.440 15.160 6.760 ;
RECT 15.240 6.440 15.560 6.760 ;
RECT 15.640 6.440 15.960 6.760 ;
RECT 16.040 6.440 16.360 6.760 ;
RECT 16.440 6.440 16.760 6.760 ;
RECT 16.840 6.440 17.160 6.760 ;
RECT 17.240 6.440 17.560 6.760 ;
RECT 17.640 6.440 17.960 6.760 ;
RECT 18.040 6.440 18.360 6.760 ;
RECT 18.440 6.440 18.760 6.760 ;
RECT 18.840 6.440 19.160 6.760 ;
RECT 19.240 6.440 19.560 6.760 ;
RECT 19.640 6.440 19.960 6.760 ;
RECT 95.560 6.440 95.880 6.760 ;
RECT 95.960 6.440 96.280 6.760 ;
RECT 96.360 6.440 96.680 6.760 ;
RECT 96.760 6.440 97.080 6.760 ;
RECT 145.560 6.440 145.880 6.760 ;
RECT 145.960 6.440 146.280 6.760 ;
RECT 146.360 6.440 146.680 6.760 ;
RECT 146.760 6.440 147.080 6.760 ;
RECT 206.880 6.440 207.200 6.760 ;
RECT 207.280 6.440 207.600 6.760 ;
RECT 207.680 6.440 208.000 6.760 ;
RECT 208.080 6.440 208.400 6.760 ;
RECT 208.480 6.440 208.800 6.760 ;
RECT 208.880 6.440 209.200 6.760 ;
RECT 209.280 6.440 209.600 6.760 ;
RECT 209.680 6.440 210.000 6.760 ;
RECT 210.080 6.440 210.400 6.760 ;
RECT 210.480 6.440 210.800 6.760 ;
RECT 210.880 6.440 211.200 6.760 ;
RECT 211.280 6.440 211.600 6.760 ;
RECT 211.680 6.440 212.000 6.760 ;
RECT 212.080 6.440 212.400 6.760 ;
RECT 212.480 6.440 212.800 6.760 ;
RECT 212.880 6.440 213.200 6.760 ;
RECT 213.280 6.440 213.600 6.760 ;
RECT 213.680 6.440 214.000 6.760 ;
RECT 214.080 6.440 214.400 6.760 ;
RECT 214.480 6.440 214.800 6.760 ;
RECT 214.880 6.440 215.200 6.760 ;
RECT 215.280 6.440 215.600 6.760 ;
RECT 215.680 6.440 216.000 6.760 ;
RECT 216.080 6.440 216.400 6.760 ;
RECT 216.480 6.440 216.800 6.760 ;
RECT 216.880 6.440 217.200 6.760 ;
RECT 217.280 6.440 217.600 6.760 ;
RECT 217.680 6.440 218.000 6.760 ;
RECT 218.080 6.440 218.400 6.760 ;
RECT 218.480 6.440 218.800 6.760 ;
RECT 218.880 6.440 219.200 6.760 ;
RECT 219.280 6.440 219.600 6.760 ;
RECT 219.680 6.440 220.000 6.760 ;
RECT 220.080 6.440 220.400 6.760 ;
RECT 220.480 6.440 220.800 6.760 ;
RECT 220.880 6.440 221.200 6.760 ;
RECT 221.280 6.440 221.600 6.760 ;
RECT 221.680 6.440 222.000 6.760 ;
RECT 222.080 6.440 222.400 6.760 ;
RECT 222.480 6.440 222.800 6.760 ;
RECT 222.880 6.440 223.200 6.760 ;
RECT 223.280 6.440 223.600 6.760 ;
RECT 223.680 6.440 224.000 6.760 ;
RECT 224.080 6.440 224.400 6.760 ;
RECT 224.480 6.440 224.800 6.760 ;
RECT 224.880 6.440 225.200 6.760 ;
RECT 225.280 6.440 225.600 6.760 ;
RECT 225.680 6.440 226.000 6.760 ;
RECT 226.080 6.440 226.400 6.760 ;
RECT 226.480 6.440 226.800 6.760 ;
RECT 0.040 6.040 0.360 6.360 ;
RECT 0.440 6.040 0.760 6.360 ;
RECT 0.840 6.040 1.160 6.360 ;
RECT 1.240 6.040 1.560 6.360 ;
RECT 1.640 6.040 1.960 6.360 ;
RECT 2.040 6.040 2.360 6.360 ;
RECT 2.440 6.040 2.760 6.360 ;
RECT 2.840 6.040 3.160 6.360 ;
RECT 3.240 6.040 3.560 6.360 ;
RECT 3.640 6.040 3.960 6.360 ;
RECT 4.040 6.040 4.360 6.360 ;
RECT 4.440 6.040 4.760 6.360 ;
RECT 4.840 6.040 5.160 6.360 ;
RECT 5.240 6.040 5.560 6.360 ;
RECT 5.640 6.040 5.960 6.360 ;
RECT 6.040 6.040 6.360 6.360 ;
RECT 6.440 6.040 6.760 6.360 ;
RECT 6.840 6.040 7.160 6.360 ;
RECT 7.240 6.040 7.560 6.360 ;
RECT 7.640 6.040 7.960 6.360 ;
RECT 8.040 6.040 8.360 6.360 ;
RECT 8.440 6.040 8.760 6.360 ;
RECT 8.840 6.040 9.160 6.360 ;
RECT 9.240 6.040 9.560 6.360 ;
RECT 9.640 6.040 9.960 6.360 ;
RECT 10.040 6.040 10.360 6.360 ;
RECT 10.440 6.040 10.760 6.360 ;
RECT 10.840 6.040 11.160 6.360 ;
RECT 11.240 6.040 11.560 6.360 ;
RECT 11.640 6.040 11.960 6.360 ;
RECT 12.040 6.040 12.360 6.360 ;
RECT 12.440 6.040 12.760 6.360 ;
RECT 12.840 6.040 13.160 6.360 ;
RECT 13.240 6.040 13.560 6.360 ;
RECT 13.640 6.040 13.960 6.360 ;
RECT 14.040 6.040 14.360 6.360 ;
RECT 14.440 6.040 14.760 6.360 ;
RECT 14.840 6.040 15.160 6.360 ;
RECT 15.240 6.040 15.560 6.360 ;
RECT 15.640 6.040 15.960 6.360 ;
RECT 16.040 6.040 16.360 6.360 ;
RECT 16.440 6.040 16.760 6.360 ;
RECT 16.840 6.040 17.160 6.360 ;
RECT 17.240 6.040 17.560 6.360 ;
RECT 17.640 6.040 17.960 6.360 ;
RECT 18.040 6.040 18.360 6.360 ;
RECT 18.440 6.040 18.760 6.360 ;
RECT 18.840 6.040 19.160 6.360 ;
RECT 19.240 6.040 19.560 6.360 ;
RECT 19.640 6.040 19.960 6.360 ;
RECT 95.560 6.040 95.880 6.360 ;
RECT 95.960 6.040 96.280 6.360 ;
RECT 96.360 6.040 96.680 6.360 ;
RECT 96.760 6.040 97.080 6.360 ;
RECT 145.560 6.040 145.880 6.360 ;
RECT 145.960 6.040 146.280 6.360 ;
RECT 146.360 6.040 146.680 6.360 ;
RECT 146.760 6.040 147.080 6.360 ;
RECT 206.880 6.040 207.200 6.360 ;
RECT 207.280 6.040 207.600 6.360 ;
RECT 207.680 6.040 208.000 6.360 ;
RECT 208.080 6.040 208.400 6.360 ;
RECT 208.480 6.040 208.800 6.360 ;
RECT 208.880 6.040 209.200 6.360 ;
RECT 209.280 6.040 209.600 6.360 ;
RECT 209.680 6.040 210.000 6.360 ;
RECT 210.080 6.040 210.400 6.360 ;
RECT 210.480 6.040 210.800 6.360 ;
RECT 210.880 6.040 211.200 6.360 ;
RECT 211.280 6.040 211.600 6.360 ;
RECT 211.680 6.040 212.000 6.360 ;
RECT 212.080 6.040 212.400 6.360 ;
RECT 212.480 6.040 212.800 6.360 ;
RECT 212.880 6.040 213.200 6.360 ;
RECT 213.280 6.040 213.600 6.360 ;
RECT 213.680 6.040 214.000 6.360 ;
RECT 214.080 6.040 214.400 6.360 ;
RECT 214.480 6.040 214.800 6.360 ;
RECT 214.880 6.040 215.200 6.360 ;
RECT 215.280 6.040 215.600 6.360 ;
RECT 215.680 6.040 216.000 6.360 ;
RECT 216.080 6.040 216.400 6.360 ;
RECT 216.480 6.040 216.800 6.360 ;
RECT 216.880 6.040 217.200 6.360 ;
RECT 217.280 6.040 217.600 6.360 ;
RECT 217.680 6.040 218.000 6.360 ;
RECT 218.080 6.040 218.400 6.360 ;
RECT 218.480 6.040 218.800 6.360 ;
RECT 218.880 6.040 219.200 6.360 ;
RECT 219.280 6.040 219.600 6.360 ;
RECT 219.680 6.040 220.000 6.360 ;
RECT 220.080 6.040 220.400 6.360 ;
RECT 220.480 6.040 220.800 6.360 ;
RECT 220.880 6.040 221.200 6.360 ;
RECT 221.280 6.040 221.600 6.360 ;
RECT 221.680 6.040 222.000 6.360 ;
RECT 222.080 6.040 222.400 6.360 ;
RECT 222.480 6.040 222.800 6.360 ;
RECT 222.880 6.040 223.200 6.360 ;
RECT 223.280 6.040 223.600 6.360 ;
RECT 223.680 6.040 224.000 6.360 ;
RECT 224.080 6.040 224.400 6.360 ;
RECT 224.480 6.040 224.800 6.360 ;
RECT 224.880 6.040 225.200 6.360 ;
RECT 225.280 6.040 225.600 6.360 ;
RECT 225.680 6.040 226.000 6.360 ;
RECT 226.080 6.040 226.400 6.360 ;
RECT 226.480 6.040 226.800 6.360 ;
RECT 0.040 5.640 0.360 5.960 ;
RECT 0.440 5.640 0.760 5.960 ;
RECT 0.840 5.640 1.160 5.960 ;
RECT 1.240 5.640 1.560 5.960 ;
RECT 1.640 5.640 1.960 5.960 ;
RECT 2.040 5.640 2.360 5.960 ;
RECT 2.440 5.640 2.760 5.960 ;
RECT 2.840 5.640 3.160 5.960 ;
RECT 3.240 5.640 3.560 5.960 ;
RECT 3.640 5.640 3.960 5.960 ;
RECT 4.040 5.640 4.360 5.960 ;
RECT 4.440 5.640 4.760 5.960 ;
RECT 4.840 5.640 5.160 5.960 ;
RECT 5.240 5.640 5.560 5.960 ;
RECT 5.640 5.640 5.960 5.960 ;
RECT 6.040 5.640 6.360 5.960 ;
RECT 6.440 5.640 6.760 5.960 ;
RECT 6.840 5.640 7.160 5.960 ;
RECT 7.240 5.640 7.560 5.960 ;
RECT 7.640 5.640 7.960 5.960 ;
RECT 8.040 5.640 8.360 5.960 ;
RECT 8.440 5.640 8.760 5.960 ;
RECT 8.840 5.640 9.160 5.960 ;
RECT 9.240 5.640 9.560 5.960 ;
RECT 9.640 5.640 9.960 5.960 ;
RECT 10.040 5.640 10.360 5.960 ;
RECT 10.440 5.640 10.760 5.960 ;
RECT 10.840 5.640 11.160 5.960 ;
RECT 11.240 5.640 11.560 5.960 ;
RECT 11.640 5.640 11.960 5.960 ;
RECT 12.040 5.640 12.360 5.960 ;
RECT 12.440 5.640 12.760 5.960 ;
RECT 12.840 5.640 13.160 5.960 ;
RECT 13.240 5.640 13.560 5.960 ;
RECT 13.640 5.640 13.960 5.960 ;
RECT 14.040 5.640 14.360 5.960 ;
RECT 14.440 5.640 14.760 5.960 ;
RECT 14.840 5.640 15.160 5.960 ;
RECT 15.240 5.640 15.560 5.960 ;
RECT 15.640 5.640 15.960 5.960 ;
RECT 16.040 5.640 16.360 5.960 ;
RECT 16.440 5.640 16.760 5.960 ;
RECT 16.840 5.640 17.160 5.960 ;
RECT 17.240 5.640 17.560 5.960 ;
RECT 17.640 5.640 17.960 5.960 ;
RECT 18.040 5.640 18.360 5.960 ;
RECT 18.440 5.640 18.760 5.960 ;
RECT 18.840 5.640 19.160 5.960 ;
RECT 19.240 5.640 19.560 5.960 ;
RECT 19.640 5.640 19.960 5.960 ;
RECT 95.560 5.640 95.880 5.960 ;
RECT 95.960 5.640 96.280 5.960 ;
RECT 96.360 5.640 96.680 5.960 ;
RECT 96.760 5.640 97.080 5.960 ;
RECT 145.560 5.640 145.880 5.960 ;
RECT 145.960 5.640 146.280 5.960 ;
RECT 146.360 5.640 146.680 5.960 ;
RECT 146.760 5.640 147.080 5.960 ;
RECT 206.880 5.640 207.200 5.960 ;
RECT 207.280 5.640 207.600 5.960 ;
RECT 207.680 5.640 208.000 5.960 ;
RECT 208.080 5.640 208.400 5.960 ;
RECT 208.480 5.640 208.800 5.960 ;
RECT 208.880 5.640 209.200 5.960 ;
RECT 209.280 5.640 209.600 5.960 ;
RECT 209.680 5.640 210.000 5.960 ;
RECT 210.080 5.640 210.400 5.960 ;
RECT 210.480 5.640 210.800 5.960 ;
RECT 210.880 5.640 211.200 5.960 ;
RECT 211.280 5.640 211.600 5.960 ;
RECT 211.680 5.640 212.000 5.960 ;
RECT 212.080 5.640 212.400 5.960 ;
RECT 212.480 5.640 212.800 5.960 ;
RECT 212.880 5.640 213.200 5.960 ;
RECT 213.280 5.640 213.600 5.960 ;
RECT 213.680 5.640 214.000 5.960 ;
RECT 214.080 5.640 214.400 5.960 ;
RECT 214.480 5.640 214.800 5.960 ;
RECT 214.880 5.640 215.200 5.960 ;
RECT 215.280 5.640 215.600 5.960 ;
RECT 215.680 5.640 216.000 5.960 ;
RECT 216.080 5.640 216.400 5.960 ;
RECT 216.480 5.640 216.800 5.960 ;
RECT 216.880 5.640 217.200 5.960 ;
RECT 217.280 5.640 217.600 5.960 ;
RECT 217.680 5.640 218.000 5.960 ;
RECT 218.080 5.640 218.400 5.960 ;
RECT 218.480 5.640 218.800 5.960 ;
RECT 218.880 5.640 219.200 5.960 ;
RECT 219.280 5.640 219.600 5.960 ;
RECT 219.680 5.640 220.000 5.960 ;
RECT 220.080 5.640 220.400 5.960 ;
RECT 220.480 5.640 220.800 5.960 ;
RECT 220.880 5.640 221.200 5.960 ;
RECT 221.280 5.640 221.600 5.960 ;
RECT 221.680 5.640 222.000 5.960 ;
RECT 222.080 5.640 222.400 5.960 ;
RECT 222.480 5.640 222.800 5.960 ;
RECT 222.880 5.640 223.200 5.960 ;
RECT 223.280 5.640 223.600 5.960 ;
RECT 223.680 5.640 224.000 5.960 ;
RECT 224.080 5.640 224.400 5.960 ;
RECT 224.480 5.640 224.800 5.960 ;
RECT 224.880 5.640 225.200 5.960 ;
RECT 225.280 5.640 225.600 5.960 ;
RECT 225.680 5.640 226.000 5.960 ;
RECT 226.080 5.640 226.400 5.960 ;
RECT 226.480 5.640 226.800 5.960 ;
RECT 0.040 5.240 0.360 5.560 ;
RECT 0.440 5.240 0.760 5.560 ;
RECT 0.840 5.240 1.160 5.560 ;
RECT 1.240 5.240 1.560 5.560 ;
RECT 1.640 5.240 1.960 5.560 ;
RECT 2.040 5.240 2.360 5.560 ;
RECT 2.440 5.240 2.760 5.560 ;
RECT 2.840 5.240 3.160 5.560 ;
RECT 3.240 5.240 3.560 5.560 ;
RECT 3.640 5.240 3.960 5.560 ;
RECT 4.040 5.240 4.360 5.560 ;
RECT 4.440 5.240 4.760 5.560 ;
RECT 4.840 5.240 5.160 5.560 ;
RECT 5.240 5.240 5.560 5.560 ;
RECT 5.640 5.240 5.960 5.560 ;
RECT 6.040 5.240 6.360 5.560 ;
RECT 6.440 5.240 6.760 5.560 ;
RECT 6.840 5.240 7.160 5.560 ;
RECT 7.240 5.240 7.560 5.560 ;
RECT 7.640 5.240 7.960 5.560 ;
RECT 8.040 5.240 8.360 5.560 ;
RECT 8.440 5.240 8.760 5.560 ;
RECT 8.840 5.240 9.160 5.560 ;
RECT 9.240 5.240 9.560 5.560 ;
RECT 9.640 5.240 9.960 5.560 ;
RECT 10.040 5.240 10.360 5.560 ;
RECT 10.440 5.240 10.760 5.560 ;
RECT 10.840 5.240 11.160 5.560 ;
RECT 11.240 5.240 11.560 5.560 ;
RECT 11.640 5.240 11.960 5.560 ;
RECT 12.040 5.240 12.360 5.560 ;
RECT 12.440 5.240 12.760 5.560 ;
RECT 12.840 5.240 13.160 5.560 ;
RECT 13.240 5.240 13.560 5.560 ;
RECT 13.640 5.240 13.960 5.560 ;
RECT 14.040 5.240 14.360 5.560 ;
RECT 14.440 5.240 14.760 5.560 ;
RECT 14.840 5.240 15.160 5.560 ;
RECT 15.240 5.240 15.560 5.560 ;
RECT 15.640 5.240 15.960 5.560 ;
RECT 16.040 5.240 16.360 5.560 ;
RECT 16.440 5.240 16.760 5.560 ;
RECT 16.840 5.240 17.160 5.560 ;
RECT 17.240 5.240 17.560 5.560 ;
RECT 17.640 5.240 17.960 5.560 ;
RECT 18.040 5.240 18.360 5.560 ;
RECT 18.440 5.240 18.760 5.560 ;
RECT 18.840 5.240 19.160 5.560 ;
RECT 19.240 5.240 19.560 5.560 ;
RECT 19.640 5.240 19.960 5.560 ;
RECT 95.560 5.240 95.880 5.560 ;
RECT 95.960 5.240 96.280 5.560 ;
RECT 96.360 5.240 96.680 5.560 ;
RECT 96.760 5.240 97.080 5.560 ;
RECT 145.560 5.240 145.880 5.560 ;
RECT 145.960 5.240 146.280 5.560 ;
RECT 146.360 5.240 146.680 5.560 ;
RECT 146.760 5.240 147.080 5.560 ;
RECT 206.880 5.240 207.200 5.560 ;
RECT 207.280 5.240 207.600 5.560 ;
RECT 207.680 5.240 208.000 5.560 ;
RECT 208.080 5.240 208.400 5.560 ;
RECT 208.480 5.240 208.800 5.560 ;
RECT 208.880 5.240 209.200 5.560 ;
RECT 209.280 5.240 209.600 5.560 ;
RECT 209.680 5.240 210.000 5.560 ;
RECT 210.080 5.240 210.400 5.560 ;
RECT 210.480 5.240 210.800 5.560 ;
RECT 210.880 5.240 211.200 5.560 ;
RECT 211.280 5.240 211.600 5.560 ;
RECT 211.680 5.240 212.000 5.560 ;
RECT 212.080 5.240 212.400 5.560 ;
RECT 212.480 5.240 212.800 5.560 ;
RECT 212.880 5.240 213.200 5.560 ;
RECT 213.280 5.240 213.600 5.560 ;
RECT 213.680 5.240 214.000 5.560 ;
RECT 214.080 5.240 214.400 5.560 ;
RECT 214.480 5.240 214.800 5.560 ;
RECT 214.880 5.240 215.200 5.560 ;
RECT 215.280 5.240 215.600 5.560 ;
RECT 215.680 5.240 216.000 5.560 ;
RECT 216.080 5.240 216.400 5.560 ;
RECT 216.480 5.240 216.800 5.560 ;
RECT 216.880 5.240 217.200 5.560 ;
RECT 217.280 5.240 217.600 5.560 ;
RECT 217.680 5.240 218.000 5.560 ;
RECT 218.080 5.240 218.400 5.560 ;
RECT 218.480 5.240 218.800 5.560 ;
RECT 218.880 5.240 219.200 5.560 ;
RECT 219.280 5.240 219.600 5.560 ;
RECT 219.680 5.240 220.000 5.560 ;
RECT 220.080 5.240 220.400 5.560 ;
RECT 220.480 5.240 220.800 5.560 ;
RECT 220.880 5.240 221.200 5.560 ;
RECT 221.280 5.240 221.600 5.560 ;
RECT 221.680 5.240 222.000 5.560 ;
RECT 222.080 5.240 222.400 5.560 ;
RECT 222.480 5.240 222.800 5.560 ;
RECT 222.880 5.240 223.200 5.560 ;
RECT 223.280 5.240 223.600 5.560 ;
RECT 223.680 5.240 224.000 5.560 ;
RECT 224.080 5.240 224.400 5.560 ;
RECT 224.480 5.240 224.800 5.560 ;
RECT 224.880 5.240 225.200 5.560 ;
RECT 225.280 5.240 225.600 5.560 ;
RECT 225.680 5.240 226.000 5.560 ;
RECT 226.080 5.240 226.400 5.560 ;
RECT 226.480 5.240 226.800 5.560 ;
RECT 0.040 4.840 0.360 5.160 ;
RECT 0.440 4.840 0.760 5.160 ;
RECT 0.840 4.840 1.160 5.160 ;
RECT 1.240 4.840 1.560 5.160 ;
RECT 1.640 4.840 1.960 5.160 ;
RECT 2.040 4.840 2.360 5.160 ;
RECT 2.440 4.840 2.760 5.160 ;
RECT 2.840 4.840 3.160 5.160 ;
RECT 3.240 4.840 3.560 5.160 ;
RECT 3.640 4.840 3.960 5.160 ;
RECT 4.040 4.840 4.360 5.160 ;
RECT 4.440 4.840 4.760 5.160 ;
RECT 4.840 4.840 5.160 5.160 ;
RECT 5.240 4.840 5.560 5.160 ;
RECT 5.640 4.840 5.960 5.160 ;
RECT 6.040 4.840 6.360 5.160 ;
RECT 6.440 4.840 6.760 5.160 ;
RECT 6.840 4.840 7.160 5.160 ;
RECT 7.240 4.840 7.560 5.160 ;
RECT 7.640 4.840 7.960 5.160 ;
RECT 8.040 4.840 8.360 5.160 ;
RECT 8.440 4.840 8.760 5.160 ;
RECT 8.840 4.840 9.160 5.160 ;
RECT 9.240 4.840 9.560 5.160 ;
RECT 9.640 4.840 9.960 5.160 ;
RECT 10.040 4.840 10.360 5.160 ;
RECT 10.440 4.840 10.760 5.160 ;
RECT 10.840 4.840 11.160 5.160 ;
RECT 11.240 4.840 11.560 5.160 ;
RECT 11.640 4.840 11.960 5.160 ;
RECT 12.040 4.840 12.360 5.160 ;
RECT 12.440 4.840 12.760 5.160 ;
RECT 12.840 4.840 13.160 5.160 ;
RECT 13.240 4.840 13.560 5.160 ;
RECT 13.640 4.840 13.960 5.160 ;
RECT 14.040 4.840 14.360 5.160 ;
RECT 14.440 4.840 14.760 5.160 ;
RECT 14.840 4.840 15.160 5.160 ;
RECT 15.240 4.840 15.560 5.160 ;
RECT 15.640 4.840 15.960 5.160 ;
RECT 16.040 4.840 16.360 5.160 ;
RECT 16.440 4.840 16.760 5.160 ;
RECT 16.840 4.840 17.160 5.160 ;
RECT 17.240 4.840 17.560 5.160 ;
RECT 17.640 4.840 17.960 5.160 ;
RECT 18.040 4.840 18.360 5.160 ;
RECT 18.440 4.840 18.760 5.160 ;
RECT 18.840 4.840 19.160 5.160 ;
RECT 19.240 4.840 19.560 5.160 ;
RECT 19.640 4.840 19.960 5.160 ;
RECT 95.560 4.840 95.880 5.160 ;
RECT 95.960 4.840 96.280 5.160 ;
RECT 96.360 4.840 96.680 5.160 ;
RECT 96.760 4.840 97.080 5.160 ;
RECT 145.560 4.840 145.880 5.160 ;
RECT 145.960 4.840 146.280 5.160 ;
RECT 146.360 4.840 146.680 5.160 ;
RECT 146.760 4.840 147.080 5.160 ;
RECT 206.880 4.840 207.200 5.160 ;
RECT 207.280 4.840 207.600 5.160 ;
RECT 207.680 4.840 208.000 5.160 ;
RECT 208.080 4.840 208.400 5.160 ;
RECT 208.480 4.840 208.800 5.160 ;
RECT 208.880 4.840 209.200 5.160 ;
RECT 209.280 4.840 209.600 5.160 ;
RECT 209.680 4.840 210.000 5.160 ;
RECT 210.080 4.840 210.400 5.160 ;
RECT 210.480 4.840 210.800 5.160 ;
RECT 210.880 4.840 211.200 5.160 ;
RECT 211.280 4.840 211.600 5.160 ;
RECT 211.680 4.840 212.000 5.160 ;
RECT 212.080 4.840 212.400 5.160 ;
RECT 212.480 4.840 212.800 5.160 ;
RECT 212.880 4.840 213.200 5.160 ;
RECT 213.280 4.840 213.600 5.160 ;
RECT 213.680 4.840 214.000 5.160 ;
RECT 214.080 4.840 214.400 5.160 ;
RECT 214.480 4.840 214.800 5.160 ;
RECT 214.880 4.840 215.200 5.160 ;
RECT 215.280 4.840 215.600 5.160 ;
RECT 215.680 4.840 216.000 5.160 ;
RECT 216.080 4.840 216.400 5.160 ;
RECT 216.480 4.840 216.800 5.160 ;
RECT 216.880 4.840 217.200 5.160 ;
RECT 217.280 4.840 217.600 5.160 ;
RECT 217.680 4.840 218.000 5.160 ;
RECT 218.080 4.840 218.400 5.160 ;
RECT 218.480 4.840 218.800 5.160 ;
RECT 218.880 4.840 219.200 5.160 ;
RECT 219.280 4.840 219.600 5.160 ;
RECT 219.680 4.840 220.000 5.160 ;
RECT 220.080 4.840 220.400 5.160 ;
RECT 220.480 4.840 220.800 5.160 ;
RECT 220.880 4.840 221.200 5.160 ;
RECT 221.280 4.840 221.600 5.160 ;
RECT 221.680 4.840 222.000 5.160 ;
RECT 222.080 4.840 222.400 5.160 ;
RECT 222.480 4.840 222.800 5.160 ;
RECT 222.880 4.840 223.200 5.160 ;
RECT 223.280 4.840 223.600 5.160 ;
RECT 223.680 4.840 224.000 5.160 ;
RECT 224.080 4.840 224.400 5.160 ;
RECT 224.480 4.840 224.800 5.160 ;
RECT 224.880 4.840 225.200 5.160 ;
RECT 225.280 4.840 225.600 5.160 ;
RECT 225.680 4.840 226.000 5.160 ;
RECT 226.080 4.840 226.400 5.160 ;
RECT 226.480 4.840 226.800 5.160 ;
RECT 0.040 4.440 0.360 4.760 ;
RECT 0.440 4.440 0.760 4.760 ;
RECT 0.840 4.440 1.160 4.760 ;
RECT 1.240 4.440 1.560 4.760 ;
RECT 1.640 4.440 1.960 4.760 ;
RECT 2.040 4.440 2.360 4.760 ;
RECT 2.440 4.440 2.760 4.760 ;
RECT 2.840 4.440 3.160 4.760 ;
RECT 3.240 4.440 3.560 4.760 ;
RECT 3.640 4.440 3.960 4.760 ;
RECT 4.040 4.440 4.360 4.760 ;
RECT 4.440 4.440 4.760 4.760 ;
RECT 4.840 4.440 5.160 4.760 ;
RECT 5.240 4.440 5.560 4.760 ;
RECT 5.640 4.440 5.960 4.760 ;
RECT 6.040 4.440 6.360 4.760 ;
RECT 6.440 4.440 6.760 4.760 ;
RECT 6.840 4.440 7.160 4.760 ;
RECT 7.240 4.440 7.560 4.760 ;
RECT 7.640 4.440 7.960 4.760 ;
RECT 8.040 4.440 8.360 4.760 ;
RECT 8.440 4.440 8.760 4.760 ;
RECT 8.840 4.440 9.160 4.760 ;
RECT 9.240 4.440 9.560 4.760 ;
RECT 9.640 4.440 9.960 4.760 ;
RECT 10.040 4.440 10.360 4.760 ;
RECT 10.440 4.440 10.760 4.760 ;
RECT 10.840 4.440 11.160 4.760 ;
RECT 11.240 4.440 11.560 4.760 ;
RECT 11.640 4.440 11.960 4.760 ;
RECT 12.040 4.440 12.360 4.760 ;
RECT 12.440 4.440 12.760 4.760 ;
RECT 12.840 4.440 13.160 4.760 ;
RECT 13.240 4.440 13.560 4.760 ;
RECT 13.640 4.440 13.960 4.760 ;
RECT 14.040 4.440 14.360 4.760 ;
RECT 14.440 4.440 14.760 4.760 ;
RECT 14.840 4.440 15.160 4.760 ;
RECT 15.240 4.440 15.560 4.760 ;
RECT 15.640 4.440 15.960 4.760 ;
RECT 16.040 4.440 16.360 4.760 ;
RECT 16.440 4.440 16.760 4.760 ;
RECT 16.840 4.440 17.160 4.760 ;
RECT 17.240 4.440 17.560 4.760 ;
RECT 17.640 4.440 17.960 4.760 ;
RECT 18.040 4.440 18.360 4.760 ;
RECT 18.440 4.440 18.760 4.760 ;
RECT 18.840 4.440 19.160 4.760 ;
RECT 19.240 4.440 19.560 4.760 ;
RECT 19.640 4.440 19.960 4.760 ;
RECT 95.560 4.440 95.880 4.760 ;
RECT 95.960 4.440 96.280 4.760 ;
RECT 96.360 4.440 96.680 4.760 ;
RECT 96.760 4.440 97.080 4.760 ;
RECT 145.560 4.440 145.880 4.760 ;
RECT 145.960 4.440 146.280 4.760 ;
RECT 146.360 4.440 146.680 4.760 ;
RECT 146.760 4.440 147.080 4.760 ;
RECT 206.880 4.440 207.200 4.760 ;
RECT 207.280 4.440 207.600 4.760 ;
RECT 207.680 4.440 208.000 4.760 ;
RECT 208.080 4.440 208.400 4.760 ;
RECT 208.480 4.440 208.800 4.760 ;
RECT 208.880 4.440 209.200 4.760 ;
RECT 209.280 4.440 209.600 4.760 ;
RECT 209.680 4.440 210.000 4.760 ;
RECT 210.080 4.440 210.400 4.760 ;
RECT 210.480 4.440 210.800 4.760 ;
RECT 210.880 4.440 211.200 4.760 ;
RECT 211.280 4.440 211.600 4.760 ;
RECT 211.680 4.440 212.000 4.760 ;
RECT 212.080 4.440 212.400 4.760 ;
RECT 212.480 4.440 212.800 4.760 ;
RECT 212.880 4.440 213.200 4.760 ;
RECT 213.280 4.440 213.600 4.760 ;
RECT 213.680 4.440 214.000 4.760 ;
RECT 214.080 4.440 214.400 4.760 ;
RECT 214.480 4.440 214.800 4.760 ;
RECT 214.880 4.440 215.200 4.760 ;
RECT 215.280 4.440 215.600 4.760 ;
RECT 215.680 4.440 216.000 4.760 ;
RECT 216.080 4.440 216.400 4.760 ;
RECT 216.480 4.440 216.800 4.760 ;
RECT 216.880 4.440 217.200 4.760 ;
RECT 217.280 4.440 217.600 4.760 ;
RECT 217.680 4.440 218.000 4.760 ;
RECT 218.080 4.440 218.400 4.760 ;
RECT 218.480 4.440 218.800 4.760 ;
RECT 218.880 4.440 219.200 4.760 ;
RECT 219.280 4.440 219.600 4.760 ;
RECT 219.680 4.440 220.000 4.760 ;
RECT 220.080 4.440 220.400 4.760 ;
RECT 220.480 4.440 220.800 4.760 ;
RECT 220.880 4.440 221.200 4.760 ;
RECT 221.280 4.440 221.600 4.760 ;
RECT 221.680 4.440 222.000 4.760 ;
RECT 222.080 4.440 222.400 4.760 ;
RECT 222.480 4.440 222.800 4.760 ;
RECT 222.880 4.440 223.200 4.760 ;
RECT 223.280 4.440 223.600 4.760 ;
RECT 223.680 4.440 224.000 4.760 ;
RECT 224.080 4.440 224.400 4.760 ;
RECT 224.480 4.440 224.800 4.760 ;
RECT 224.880 4.440 225.200 4.760 ;
RECT 225.280 4.440 225.600 4.760 ;
RECT 225.680 4.440 226.000 4.760 ;
RECT 226.080 4.440 226.400 4.760 ;
RECT 226.480 4.440 226.800 4.760 ;
RECT 0.040 4.040 0.360 4.360 ;
RECT 0.440 4.040 0.760 4.360 ;
RECT 0.840 4.040 1.160 4.360 ;
RECT 1.240 4.040 1.560 4.360 ;
RECT 1.640 4.040 1.960 4.360 ;
RECT 2.040 4.040 2.360 4.360 ;
RECT 2.440 4.040 2.760 4.360 ;
RECT 2.840 4.040 3.160 4.360 ;
RECT 3.240 4.040 3.560 4.360 ;
RECT 3.640 4.040 3.960 4.360 ;
RECT 4.040 4.040 4.360 4.360 ;
RECT 4.440 4.040 4.760 4.360 ;
RECT 4.840 4.040 5.160 4.360 ;
RECT 5.240 4.040 5.560 4.360 ;
RECT 5.640 4.040 5.960 4.360 ;
RECT 6.040 4.040 6.360 4.360 ;
RECT 6.440 4.040 6.760 4.360 ;
RECT 6.840 4.040 7.160 4.360 ;
RECT 7.240 4.040 7.560 4.360 ;
RECT 7.640 4.040 7.960 4.360 ;
RECT 8.040 4.040 8.360 4.360 ;
RECT 8.440 4.040 8.760 4.360 ;
RECT 8.840 4.040 9.160 4.360 ;
RECT 9.240 4.040 9.560 4.360 ;
RECT 9.640 4.040 9.960 4.360 ;
RECT 10.040 4.040 10.360 4.360 ;
RECT 10.440 4.040 10.760 4.360 ;
RECT 10.840 4.040 11.160 4.360 ;
RECT 11.240 4.040 11.560 4.360 ;
RECT 11.640 4.040 11.960 4.360 ;
RECT 12.040 4.040 12.360 4.360 ;
RECT 12.440 4.040 12.760 4.360 ;
RECT 12.840 4.040 13.160 4.360 ;
RECT 13.240 4.040 13.560 4.360 ;
RECT 13.640 4.040 13.960 4.360 ;
RECT 14.040 4.040 14.360 4.360 ;
RECT 14.440 4.040 14.760 4.360 ;
RECT 14.840 4.040 15.160 4.360 ;
RECT 15.240 4.040 15.560 4.360 ;
RECT 15.640 4.040 15.960 4.360 ;
RECT 16.040 4.040 16.360 4.360 ;
RECT 16.440 4.040 16.760 4.360 ;
RECT 16.840 4.040 17.160 4.360 ;
RECT 17.240 4.040 17.560 4.360 ;
RECT 17.640 4.040 17.960 4.360 ;
RECT 18.040 4.040 18.360 4.360 ;
RECT 18.440 4.040 18.760 4.360 ;
RECT 18.840 4.040 19.160 4.360 ;
RECT 19.240 4.040 19.560 4.360 ;
RECT 19.640 4.040 19.960 4.360 ;
RECT 95.560 4.040 95.880 4.360 ;
RECT 95.960 4.040 96.280 4.360 ;
RECT 96.360 4.040 96.680 4.360 ;
RECT 96.760 4.040 97.080 4.360 ;
RECT 145.560 4.040 145.880 4.360 ;
RECT 145.960 4.040 146.280 4.360 ;
RECT 146.360 4.040 146.680 4.360 ;
RECT 146.760 4.040 147.080 4.360 ;
RECT 206.880 4.040 207.200 4.360 ;
RECT 207.280 4.040 207.600 4.360 ;
RECT 207.680 4.040 208.000 4.360 ;
RECT 208.080 4.040 208.400 4.360 ;
RECT 208.480 4.040 208.800 4.360 ;
RECT 208.880 4.040 209.200 4.360 ;
RECT 209.280 4.040 209.600 4.360 ;
RECT 209.680 4.040 210.000 4.360 ;
RECT 210.080 4.040 210.400 4.360 ;
RECT 210.480 4.040 210.800 4.360 ;
RECT 210.880 4.040 211.200 4.360 ;
RECT 211.280 4.040 211.600 4.360 ;
RECT 211.680 4.040 212.000 4.360 ;
RECT 212.080 4.040 212.400 4.360 ;
RECT 212.480 4.040 212.800 4.360 ;
RECT 212.880 4.040 213.200 4.360 ;
RECT 213.280 4.040 213.600 4.360 ;
RECT 213.680 4.040 214.000 4.360 ;
RECT 214.080 4.040 214.400 4.360 ;
RECT 214.480 4.040 214.800 4.360 ;
RECT 214.880 4.040 215.200 4.360 ;
RECT 215.280 4.040 215.600 4.360 ;
RECT 215.680 4.040 216.000 4.360 ;
RECT 216.080 4.040 216.400 4.360 ;
RECT 216.480 4.040 216.800 4.360 ;
RECT 216.880 4.040 217.200 4.360 ;
RECT 217.280 4.040 217.600 4.360 ;
RECT 217.680 4.040 218.000 4.360 ;
RECT 218.080 4.040 218.400 4.360 ;
RECT 218.480 4.040 218.800 4.360 ;
RECT 218.880 4.040 219.200 4.360 ;
RECT 219.280 4.040 219.600 4.360 ;
RECT 219.680 4.040 220.000 4.360 ;
RECT 220.080 4.040 220.400 4.360 ;
RECT 220.480 4.040 220.800 4.360 ;
RECT 220.880 4.040 221.200 4.360 ;
RECT 221.280 4.040 221.600 4.360 ;
RECT 221.680 4.040 222.000 4.360 ;
RECT 222.080 4.040 222.400 4.360 ;
RECT 222.480 4.040 222.800 4.360 ;
RECT 222.880 4.040 223.200 4.360 ;
RECT 223.280 4.040 223.600 4.360 ;
RECT 223.680 4.040 224.000 4.360 ;
RECT 224.080 4.040 224.400 4.360 ;
RECT 224.480 4.040 224.800 4.360 ;
RECT 224.880 4.040 225.200 4.360 ;
RECT 225.280 4.040 225.600 4.360 ;
RECT 225.680 4.040 226.000 4.360 ;
RECT 226.080 4.040 226.400 4.360 ;
RECT 226.480 4.040 226.800 4.360 ;
RECT 0.040 3.640 0.360 3.960 ;
RECT 0.440 3.640 0.760 3.960 ;
RECT 0.840 3.640 1.160 3.960 ;
RECT 1.240 3.640 1.560 3.960 ;
RECT 1.640 3.640 1.960 3.960 ;
RECT 2.040 3.640 2.360 3.960 ;
RECT 2.440 3.640 2.760 3.960 ;
RECT 2.840 3.640 3.160 3.960 ;
RECT 3.240 3.640 3.560 3.960 ;
RECT 3.640 3.640 3.960 3.960 ;
RECT 4.040 3.640 4.360 3.960 ;
RECT 4.440 3.640 4.760 3.960 ;
RECT 4.840 3.640 5.160 3.960 ;
RECT 5.240 3.640 5.560 3.960 ;
RECT 5.640 3.640 5.960 3.960 ;
RECT 6.040 3.640 6.360 3.960 ;
RECT 6.440 3.640 6.760 3.960 ;
RECT 6.840 3.640 7.160 3.960 ;
RECT 7.240 3.640 7.560 3.960 ;
RECT 7.640 3.640 7.960 3.960 ;
RECT 8.040 3.640 8.360 3.960 ;
RECT 8.440 3.640 8.760 3.960 ;
RECT 8.840 3.640 9.160 3.960 ;
RECT 9.240 3.640 9.560 3.960 ;
RECT 9.640 3.640 9.960 3.960 ;
RECT 10.040 3.640 10.360 3.960 ;
RECT 10.440 3.640 10.760 3.960 ;
RECT 10.840 3.640 11.160 3.960 ;
RECT 11.240 3.640 11.560 3.960 ;
RECT 11.640 3.640 11.960 3.960 ;
RECT 12.040 3.640 12.360 3.960 ;
RECT 12.440 3.640 12.760 3.960 ;
RECT 12.840 3.640 13.160 3.960 ;
RECT 13.240 3.640 13.560 3.960 ;
RECT 13.640 3.640 13.960 3.960 ;
RECT 14.040 3.640 14.360 3.960 ;
RECT 14.440 3.640 14.760 3.960 ;
RECT 14.840 3.640 15.160 3.960 ;
RECT 15.240 3.640 15.560 3.960 ;
RECT 15.640 3.640 15.960 3.960 ;
RECT 16.040 3.640 16.360 3.960 ;
RECT 16.440 3.640 16.760 3.960 ;
RECT 16.840 3.640 17.160 3.960 ;
RECT 17.240 3.640 17.560 3.960 ;
RECT 17.640 3.640 17.960 3.960 ;
RECT 18.040 3.640 18.360 3.960 ;
RECT 18.440 3.640 18.760 3.960 ;
RECT 18.840 3.640 19.160 3.960 ;
RECT 19.240 3.640 19.560 3.960 ;
RECT 19.640 3.640 19.960 3.960 ;
RECT 95.560 3.640 95.880 3.960 ;
RECT 95.960 3.640 96.280 3.960 ;
RECT 96.360 3.640 96.680 3.960 ;
RECT 96.760 3.640 97.080 3.960 ;
RECT 145.560 3.640 145.880 3.960 ;
RECT 145.960 3.640 146.280 3.960 ;
RECT 146.360 3.640 146.680 3.960 ;
RECT 146.760 3.640 147.080 3.960 ;
RECT 206.880 3.640 207.200 3.960 ;
RECT 207.280 3.640 207.600 3.960 ;
RECT 207.680 3.640 208.000 3.960 ;
RECT 208.080 3.640 208.400 3.960 ;
RECT 208.480 3.640 208.800 3.960 ;
RECT 208.880 3.640 209.200 3.960 ;
RECT 209.280 3.640 209.600 3.960 ;
RECT 209.680 3.640 210.000 3.960 ;
RECT 210.080 3.640 210.400 3.960 ;
RECT 210.480 3.640 210.800 3.960 ;
RECT 210.880 3.640 211.200 3.960 ;
RECT 211.280 3.640 211.600 3.960 ;
RECT 211.680 3.640 212.000 3.960 ;
RECT 212.080 3.640 212.400 3.960 ;
RECT 212.480 3.640 212.800 3.960 ;
RECT 212.880 3.640 213.200 3.960 ;
RECT 213.280 3.640 213.600 3.960 ;
RECT 213.680 3.640 214.000 3.960 ;
RECT 214.080 3.640 214.400 3.960 ;
RECT 214.480 3.640 214.800 3.960 ;
RECT 214.880 3.640 215.200 3.960 ;
RECT 215.280 3.640 215.600 3.960 ;
RECT 215.680 3.640 216.000 3.960 ;
RECT 216.080 3.640 216.400 3.960 ;
RECT 216.480 3.640 216.800 3.960 ;
RECT 216.880 3.640 217.200 3.960 ;
RECT 217.280 3.640 217.600 3.960 ;
RECT 217.680 3.640 218.000 3.960 ;
RECT 218.080 3.640 218.400 3.960 ;
RECT 218.480 3.640 218.800 3.960 ;
RECT 218.880 3.640 219.200 3.960 ;
RECT 219.280 3.640 219.600 3.960 ;
RECT 219.680 3.640 220.000 3.960 ;
RECT 220.080 3.640 220.400 3.960 ;
RECT 220.480 3.640 220.800 3.960 ;
RECT 220.880 3.640 221.200 3.960 ;
RECT 221.280 3.640 221.600 3.960 ;
RECT 221.680 3.640 222.000 3.960 ;
RECT 222.080 3.640 222.400 3.960 ;
RECT 222.480 3.640 222.800 3.960 ;
RECT 222.880 3.640 223.200 3.960 ;
RECT 223.280 3.640 223.600 3.960 ;
RECT 223.680 3.640 224.000 3.960 ;
RECT 224.080 3.640 224.400 3.960 ;
RECT 224.480 3.640 224.800 3.960 ;
RECT 224.880 3.640 225.200 3.960 ;
RECT 225.280 3.640 225.600 3.960 ;
RECT 225.680 3.640 226.000 3.960 ;
RECT 226.080 3.640 226.400 3.960 ;
RECT 226.480 3.640 226.800 3.960 ;
RECT 0.040 3.240 0.360 3.560 ;
RECT 0.440 3.240 0.760 3.560 ;
RECT 0.840 3.240 1.160 3.560 ;
RECT 1.240 3.240 1.560 3.560 ;
RECT 1.640 3.240 1.960 3.560 ;
RECT 2.040 3.240 2.360 3.560 ;
RECT 2.440 3.240 2.760 3.560 ;
RECT 2.840 3.240 3.160 3.560 ;
RECT 3.240 3.240 3.560 3.560 ;
RECT 3.640 3.240 3.960 3.560 ;
RECT 4.040 3.240 4.360 3.560 ;
RECT 4.440 3.240 4.760 3.560 ;
RECT 4.840 3.240 5.160 3.560 ;
RECT 5.240 3.240 5.560 3.560 ;
RECT 5.640 3.240 5.960 3.560 ;
RECT 6.040 3.240 6.360 3.560 ;
RECT 6.440 3.240 6.760 3.560 ;
RECT 6.840 3.240 7.160 3.560 ;
RECT 7.240 3.240 7.560 3.560 ;
RECT 7.640 3.240 7.960 3.560 ;
RECT 8.040 3.240 8.360 3.560 ;
RECT 8.440 3.240 8.760 3.560 ;
RECT 8.840 3.240 9.160 3.560 ;
RECT 9.240 3.240 9.560 3.560 ;
RECT 9.640 3.240 9.960 3.560 ;
RECT 10.040 3.240 10.360 3.560 ;
RECT 10.440 3.240 10.760 3.560 ;
RECT 10.840 3.240 11.160 3.560 ;
RECT 11.240 3.240 11.560 3.560 ;
RECT 11.640 3.240 11.960 3.560 ;
RECT 12.040 3.240 12.360 3.560 ;
RECT 12.440 3.240 12.760 3.560 ;
RECT 12.840 3.240 13.160 3.560 ;
RECT 13.240 3.240 13.560 3.560 ;
RECT 13.640 3.240 13.960 3.560 ;
RECT 14.040 3.240 14.360 3.560 ;
RECT 14.440 3.240 14.760 3.560 ;
RECT 14.840 3.240 15.160 3.560 ;
RECT 15.240 3.240 15.560 3.560 ;
RECT 15.640 3.240 15.960 3.560 ;
RECT 16.040 3.240 16.360 3.560 ;
RECT 16.440 3.240 16.760 3.560 ;
RECT 16.840 3.240 17.160 3.560 ;
RECT 17.240 3.240 17.560 3.560 ;
RECT 17.640 3.240 17.960 3.560 ;
RECT 18.040 3.240 18.360 3.560 ;
RECT 18.440 3.240 18.760 3.560 ;
RECT 18.840 3.240 19.160 3.560 ;
RECT 19.240 3.240 19.560 3.560 ;
RECT 19.640 3.240 19.960 3.560 ;
RECT 95.560 3.240 95.880 3.560 ;
RECT 95.960 3.240 96.280 3.560 ;
RECT 96.360 3.240 96.680 3.560 ;
RECT 96.760 3.240 97.080 3.560 ;
RECT 145.560 3.240 145.880 3.560 ;
RECT 145.960 3.240 146.280 3.560 ;
RECT 146.360 3.240 146.680 3.560 ;
RECT 146.760 3.240 147.080 3.560 ;
RECT 206.880 3.240 207.200 3.560 ;
RECT 207.280 3.240 207.600 3.560 ;
RECT 207.680 3.240 208.000 3.560 ;
RECT 208.080 3.240 208.400 3.560 ;
RECT 208.480 3.240 208.800 3.560 ;
RECT 208.880 3.240 209.200 3.560 ;
RECT 209.280 3.240 209.600 3.560 ;
RECT 209.680 3.240 210.000 3.560 ;
RECT 210.080 3.240 210.400 3.560 ;
RECT 210.480 3.240 210.800 3.560 ;
RECT 210.880 3.240 211.200 3.560 ;
RECT 211.280 3.240 211.600 3.560 ;
RECT 211.680 3.240 212.000 3.560 ;
RECT 212.080 3.240 212.400 3.560 ;
RECT 212.480 3.240 212.800 3.560 ;
RECT 212.880 3.240 213.200 3.560 ;
RECT 213.280 3.240 213.600 3.560 ;
RECT 213.680 3.240 214.000 3.560 ;
RECT 214.080 3.240 214.400 3.560 ;
RECT 214.480 3.240 214.800 3.560 ;
RECT 214.880 3.240 215.200 3.560 ;
RECT 215.280 3.240 215.600 3.560 ;
RECT 215.680 3.240 216.000 3.560 ;
RECT 216.080 3.240 216.400 3.560 ;
RECT 216.480 3.240 216.800 3.560 ;
RECT 216.880 3.240 217.200 3.560 ;
RECT 217.280 3.240 217.600 3.560 ;
RECT 217.680 3.240 218.000 3.560 ;
RECT 218.080 3.240 218.400 3.560 ;
RECT 218.480 3.240 218.800 3.560 ;
RECT 218.880 3.240 219.200 3.560 ;
RECT 219.280 3.240 219.600 3.560 ;
RECT 219.680 3.240 220.000 3.560 ;
RECT 220.080 3.240 220.400 3.560 ;
RECT 220.480 3.240 220.800 3.560 ;
RECT 220.880 3.240 221.200 3.560 ;
RECT 221.280 3.240 221.600 3.560 ;
RECT 221.680 3.240 222.000 3.560 ;
RECT 222.080 3.240 222.400 3.560 ;
RECT 222.480 3.240 222.800 3.560 ;
RECT 222.880 3.240 223.200 3.560 ;
RECT 223.280 3.240 223.600 3.560 ;
RECT 223.680 3.240 224.000 3.560 ;
RECT 224.080 3.240 224.400 3.560 ;
RECT 224.480 3.240 224.800 3.560 ;
RECT 224.880 3.240 225.200 3.560 ;
RECT 225.280 3.240 225.600 3.560 ;
RECT 225.680 3.240 226.000 3.560 ;
RECT 226.080 3.240 226.400 3.560 ;
RECT 226.480 3.240 226.800 3.560 ;
RECT 0.040 2.840 0.360 3.160 ;
RECT 0.440 2.840 0.760 3.160 ;
RECT 0.840 2.840 1.160 3.160 ;
RECT 1.240 2.840 1.560 3.160 ;
RECT 1.640 2.840 1.960 3.160 ;
RECT 2.040 2.840 2.360 3.160 ;
RECT 2.440 2.840 2.760 3.160 ;
RECT 2.840 2.840 3.160 3.160 ;
RECT 3.240 2.840 3.560 3.160 ;
RECT 3.640 2.840 3.960 3.160 ;
RECT 4.040 2.840 4.360 3.160 ;
RECT 4.440 2.840 4.760 3.160 ;
RECT 4.840 2.840 5.160 3.160 ;
RECT 5.240 2.840 5.560 3.160 ;
RECT 5.640 2.840 5.960 3.160 ;
RECT 6.040 2.840 6.360 3.160 ;
RECT 6.440 2.840 6.760 3.160 ;
RECT 6.840 2.840 7.160 3.160 ;
RECT 7.240 2.840 7.560 3.160 ;
RECT 7.640 2.840 7.960 3.160 ;
RECT 8.040 2.840 8.360 3.160 ;
RECT 8.440 2.840 8.760 3.160 ;
RECT 8.840 2.840 9.160 3.160 ;
RECT 9.240 2.840 9.560 3.160 ;
RECT 9.640 2.840 9.960 3.160 ;
RECT 10.040 2.840 10.360 3.160 ;
RECT 10.440 2.840 10.760 3.160 ;
RECT 10.840 2.840 11.160 3.160 ;
RECT 11.240 2.840 11.560 3.160 ;
RECT 11.640 2.840 11.960 3.160 ;
RECT 12.040 2.840 12.360 3.160 ;
RECT 12.440 2.840 12.760 3.160 ;
RECT 12.840 2.840 13.160 3.160 ;
RECT 13.240 2.840 13.560 3.160 ;
RECT 13.640 2.840 13.960 3.160 ;
RECT 14.040 2.840 14.360 3.160 ;
RECT 14.440 2.840 14.760 3.160 ;
RECT 14.840 2.840 15.160 3.160 ;
RECT 15.240 2.840 15.560 3.160 ;
RECT 15.640 2.840 15.960 3.160 ;
RECT 16.040 2.840 16.360 3.160 ;
RECT 16.440 2.840 16.760 3.160 ;
RECT 16.840 2.840 17.160 3.160 ;
RECT 17.240 2.840 17.560 3.160 ;
RECT 17.640 2.840 17.960 3.160 ;
RECT 18.040 2.840 18.360 3.160 ;
RECT 18.440 2.840 18.760 3.160 ;
RECT 18.840 2.840 19.160 3.160 ;
RECT 19.240 2.840 19.560 3.160 ;
RECT 19.640 2.840 19.960 3.160 ;
RECT 95.560 2.840 95.880 3.160 ;
RECT 95.960 2.840 96.280 3.160 ;
RECT 96.360 2.840 96.680 3.160 ;
RECT 96.760 2.840 97.080 3.160 ;
RECT 145.560 2.840 145.880 3.160 ;
RECT 145.960 2.840 146.280 3.160 ;
RECT 146.360 2.840 146.680 3.160 ;
RECT 146.760 2.840 147.080 3.160 ;
RECT 206.880 2.840 207.200 3.160 ;
RECT 207.280 2.840 207.600 3.160 ;
RECT 207.680 2.840 208.000 3.160 ;
RECT 208.080 2.840 208.400 3.160 ;
RECT 208.480 2.840 208.800 3.160 ;
RECT 208.880 2.840 209.200 3.160 ;
RECT 209.280 2.840 209.600 3.160 ;
RECT 209.680 2.840 210.000 3.160 ;
RECT 210.080 2.840 210.400 3.160 ;
RECT 210.480 2.840 210.800 3.160 ;
RECT 210.880 2.840 211.200 3.160 ;
RECT 211.280 2.840 211.600 3.160 ;
RECT 211.680 2.840 212.000 3.160 ;
RECT 212.080 2.840 212.400 3.160 ;
RECT 212.480 2.840 212.800 3.160 ;
RECT 212.880 2.840 213.200 3.160 ;
RECT 213.280 2.840 213.600 3.160 ;
RECT 213.680 2.840 214.000 3.160 ;
RECT 214.080 2.840 214.400 3.160 ;
RECT 214.480 2.840 214.800 3.160 ;
RECT 214.880 2.840 215.200 3.160 ;
RECT 215.280 2.840 215.600 3.160 ;
RECT 215.680 2.840 216.000 3.160 ;
RECT 216.080 2.840 216.400 3.160 ;
RECT 216.480 2.840 216.800 3.160 ;
RECT 216.880 2.840 217.200 3.160 ;
RECT 217.280 2.840 217.600 3.160 ;
RECT 217.680 2.840 218.000 3.160 ;
RECT 218.080 2.840 218.400 3.160 ;
RECT 218.480 2.840 218.800 3.160 ;
RECT 218.880 2.840 219.200 3.160 ;
RECT 219.280 2.840 219.600 3.160 ;
RECT 219.680 2.840 220.000 3.160 ;
RECT 220.080 2.840 220.400 3.160 ;
RECT 220.480 2.840 220.800 3.160 ;
RECT 220.880 2.840 221.200 3.160 ;
RECT 221.280 2.840 221.600 3.160 ;
RECT 221.680 2.840 222.000 3.160 ;
RECT 222.080 2.840 222.400 3.160 ;
RECT 222.480 2.840 222.800 3.160 ;
RECT 222.880 2.840 223.200 3.160 ;
RECT 223.280 2.840 223.600 3.160 ;
RECT 223.680 2.840 224.000 3.160 ;
RECT 224.080 2.840 224.400 3.160 ;
RECT 224.480 2.840 224.800 3.160 ;
RECT 224.880 2.840 225.200 3.160 ;
RECT 225.280 2.840 225.600 3.160 ;
RECT 225.680 2.840 226.000 3.160 ;
RECT 226.080 2.840 226.400 3.160 ;
RECT 226.480 2.840 226.800 3.160 ;
RECT 0.040 2.440 0.360 2.760 ;
RECT 0.440 2.440 0.760 2.760 ;
RECT 0.840 2.440 1.160 2.760 ;
RECT 1.240 2.440 1.560 2.760 ;
RECT 1.640 2.440 1.960 2.760 ;
RECT 2.040 2.440 2.360 2.760 ;
RECT 2.440 2.440 2.760 2.760 ;
RECT 2.840 2.440 3.160 2.760 ;
RECT 3.240 2.440 3.560 2.760 ;
RECT 3.640 2.440 3.960 2.760 ;
RECT 4.040 2.440 4.360 2.760 ;
RECT 4.440 2.440 4.760 2.760 ;
RECT 4.840 2.440 5.160 2.760 ;
RECT 5.240 2.440 5.560 2.760 ;
RECT 5.640 2.440 5.960 2.760 ;
RECT 6.040 2.440 6.360 2.760 ;
RECT 6.440 2.440 6.760 2.760 ;
RECT 6.840 2.440 7.160 2.760 ;
RECT 7.240 2.440 7.560 2.760 ;
RECT 7.640 2.440 7.960 2.760 ;
RECT 8.040 2.440 8.360 2.760 ;
RECT 8.440 2.440 8.760 2.760 ;
RECT 8.840 2.440 9.160 2.760 ;
RECT 9.240 2.440 9.560 2.760 ;
RECT 9.640 2.440 9.960 2.760 ;
RECT 10.040 2.440 10.360 2.760 ;
RECT 10.440 2.440 10.760 2.760 ;
RECT 10.840 2.440 11.160 2.760 ;
RECT 11.240 2.440 11.560 2.760 ;
RECT 11.640 2.440 11.960 2.760 ;
RECT 12.040 2.440 12.360 2.760 ;
RECT 12.440 2.440 12.760 2.760 ;
RECT 12.840 2.440 13.160 2.760 ;
RECT 13.240 2.440 13.560 2.760 ;
RECT 13.640 2.440 13.960 2.760 ;
RECT 14.040 2.440 14.360 2.760 ;
RECT 14.440 2.440 14.760 2.760 ;
RECT 14.840 2.440 15.160 2.760 ;
RECT 15.240 2.440 15.560 2.760 ;
RECT 15.640 2.440 15.960 2.760 ;
RECT 16.040 2.440 16.360 2.760 ;
RECT 16.440 2.440 16.760 2.760 ;
RECT 16.840 2.440 17.160 2.760 ;
RECT 17.240 2.440 17.560 2.760 ;
RECT 17.640 2.440 17.960 2.760 ;
RECT 18.040 2.440 18.360 2.760 ;
RECT 18.440 2.440 18.760 2.760 ;
RECT 18.840 2.440 19.160 2.760 ;
RECT 19.240 2.440 19.560 2.760 ;
RECT 19.640 2.440 19.960 2.760 ;
RECT 95.560 2.440 95.880 2.760 ;
RECT 95.960 2.440 96.280 2.760 ;
RECT 96.360 2.440 96.680 2.760 ;
RECT 96.760 2.440 97.080 2.760 ;
RECT 145.560 2.440 145.880 2.760 ;
RECT 145.960 2.440 146.280 2.760 ;
RECT 146.360 2.440 146.680 2.760 ;
RECT 146.760 2.440 147.080 2.760 ;
RECT 206.880 2.440 207.200 2.760 ;
RECT 207.280 2.440 207.600 2.760 ;
RECT 207.680 2.440 208.000 2.760 ;
RECT 208.080 2.440 208.400 2.760 ;
RECT 208.480 2.440 208.800 2.760 ;
RECT 208.880 2.440 209.200 2.760 ;
RECT 209.280 2.440 209.600 2.760 ;
RECT 209.680 2.440 210.000 2.760 ;
RECT 210.080 2.440 210.400 2.760 ;
RECT 210.480 2.440 210.800 2.760 ;
RECT 210.880 2.440 211.200 2.760 ;
RECT 211.280 2.440 211.600 2.760 ;
RECT 211.680 2.440 212.000 2.760 ;
RECT 212.080 2.440 212.400 2.760 ;
RECT 212.480 2.440 212.800 2.760 ;
RECT 212.880 2.440 213.200 2.760 ;
RECT 213.280 2.440 213.600 2.760 ;
RECT 213.680 2.440 214.000 2.760 ;
RECT 214.080 2.440 214.400 2.760 ;
RECT 214.480 2.440 214.800 2.760 ;
RECT 214.880 2.440 215.200 2.760 ;
RECT 215.280 2.440 215.600 2.760 ;
RECT 215.680 2.440 216.000 2.760 ;
RECT 216.080 2.440 216.400 2.760 ;
RECT 216.480 2.440 216.800 2.760 ;
RECT 216.880 2.440 217.200 2.760 ;
RECT 217.280 2.440 217.600 2.760 ;
RECT 217.680 2.440 218.000 2.760 ;
RECT 218.080 2.440 218.400 2.760 ;
RECT 218.480 2.440 218.800 2.760 ;
RECT 218.880 2.440 219.200 2.760 ;
RECT 219.280 2.440 219.600 2.760 ;
RECT 219.680 2.440 220.000 2.760 ;
RECT 220.080 2.440 220.400 2.760 ;
RECT 220.480 2.440 220.800 2.760 ;
RECT 220.880 2.440 221.200 2.760 ;
RECT 221.280 2.440 221.600 2.760 ;
RECT 221.680 2.440 222.000 2.760 ;
RECT 222.080 2.440 222.400 2.760 ;
RECT 222.480 2.440 222.800 2.760 ;
RECT 222.880 2.440 223.200 2.760 ;
RECT 223.280 2.440 223.600 2.760 ;
RECT 223.680 2.440 224.000 2.760 ;
RECT 224.080 2.440 224.400 2.760 ;
RECT 224.480 2.440 224.800 2.760 ;
RECT 224.880 2.440 225.200 2.760 ;
RECT 225.280 2.440 225.600 2.760 ;
RECT 225.680 2.440 226.000 2.760 ;
RECT 226.080 2.440 226.400 2.760 ;
RECT 226.480 2.440 226.800 2.760 ;
RECT 0.040 2.040 0.360 2.360 ;
RECT 0.440 2.040 0.760 2.360 ;
RECT 0.840 2.040 1.160 2.360 ;
RECT 1.240 2.040 1.560 2.360 ;
RECT 1.640 2.040 1.960 2.360 ;
RECT 2.040 2.040 2.360 2.360 ;
RECT 2.440 2.040 2.760 2.360 ;
RECT 2.840 2.040 3.160 2.360 ;
RECT 3.240 2.040 3.560 2.360 ;
RECT 3.640 2.040 3.960 2.360 ;
RECT 4.040 2.040 4.360 2.360 ;
RECT 4.440 2.040 4.760 2.360 ;
RECT 4.840 2.040 5.160 2.360 ;
RECT 5.240 2.040 5.560 2.360 ;
RECT 5.640 2.040 5.960 2.360 ;
RECT 6.040 2.040 6.360 2.360 ;
RECT 6.440 2.040 6.760 2.360 ;
RECT 6.840 2.040 7.160 2.360 ;
RECT 7.240 2.040 7.560 2.360 ;
RECT 7.640 2.040 7.960 2.360 ;
RECT 8.040 2.040 8.360 2.360 ;
RECT 8.440 2.040 8.760 2.360 ;
RECT 8.840 2.040 9.160 2.360 ;
RECT 9.240 2.040 9.560 2.360 ;
RECT 9.640 2.040 9.960 2.360 ;
RECT 10.040 2.040 10.360 2.360 ;
RECT 10.440 2.040 10.760 2.360 ;
RECT 10.840 2.040 11.160 2.360 ;
RECT 11.240 2.040 11.560 2.360 ;
RECT 11.640 2.040 11.960 2.360 ;
RECT 12.040 2.040 12.360 2.360 ;
RECT 12.440 2.040 12.760 2.360 ;
RECT 12.840 2.040 13.160 2.360 ;
RECT 13.240 2.040 13.560 2.360 ;
RECT 13.640 2.040 13.960 2.360 ;
RECT 14.040 2.040 14.360 2.360 ;
RECT 14.440 2.040 14.760 2.360 ;
RECT 14.840 2.040 15.160 2.360 ;
RECT 15.240 2.040 15.560 2.360 ;
RECT 15.640 2.040 15.960 2.360 ;
RECT 16.040 2.040 16.360 2.360 ;
RECT 16.440 2.040 16.760 2.360 ;
RECT 16.840 2.040 17.160 2.360 ;
RECT 17.240 2.040 17.560 2.360 ;
RECT 17.640 2.040 17.960 2.360 ;
RECT 18.040 2.040 18.360 2.360 ;
RECT 18.440 2.040 18.760 2.360 ;
RECT 18.840 2.040 19.160 2.360 ;
RECT 19.240 2.040 19.560 2.360 ;
RECT 19.640 2.040 19.960 2.360 ;
RECT 95.560 2.040 95.880 2.360 ;
RECT 95.960 2.040 96.280 2.360 ;
RECT 96.360 2.040 96.680 2.360 ;
RECT 96.760 2.040 97.080 2.360 ;
RECT 145.560 2.040 145.880 2.360 ;
RECT 145.960 2.040 146.280 2.360 ;
RECT 146.360 2.040 146.680 2.360 ;
RECT 146.760 2.040 147.080 2.360 ;
RECT 206.880 2.040 207.200 2.360 ;
RECT 207.280 2.040 207.600 2.360 ;
RECT 207.680 2.040 208.000 2.360 ;
RECT 208.080 2.040 208.400 2.360 ;
RECT 208.480 2.040 208.800 2.360 ;
RECT 208.880 2.040 209.200 2.360 ;
RECT 209.280 2.040 209.600 2.360 ;
RECT 209.680 2.040 210.000 2.360 ;
RECT 210.080 2.040 210.400 2.360 ;
RECT 210.480 2.040 210.800 2.360 ;
RECT 210.880 2.040 211.200 2.360 ;
RECT 211.280 2.040 211.600 2.360 ;
RECT 211.680 2.040 212.000 2.360 ;
RECT 212.080 2.040 212.400 2.360 ;
RECT 212.480 2.040 212.800 2.360 ;
RECT 212.880 2.040 213.200 2.360 ;
RECT 213.280 2.040 213.600 2.360 ;
RECT 213.680 2.040 214.000 2.360 ;
RECT 214.080 2.040 214.400 2.360 ;
RECT 214.480 2.040 214.800 2.360 ;
RECT 214.880 2.040 215.200 2.360 ;
RECT 215.280 2.040 215.600 2.360 ;
RECT 215.680 2.040 216.000 2.360 ;
RECT 216.080 2.040 216.400 2.360 ;
RECT 216.480 2.040 216.800 2.360 ;
RECT 216.880 2.040 217.200 2.360 ;
RECT 217.280 2.040 217.600 2.360 ;
RECT 217.680 2.040 218.000 2.360 ;
RECT 218.080 2.040 218.400 2.360 ;
RECT 218.480 2.040 218.800 2.360 ;
RECT 218.880 2.040 219.200 2.360 ;
RECT 219.280 2.040 219.600 2.360 ;
RECT 219.680 2.040 220.000 2.360 ;
RECT 220.080 2.040 220.400 2.360 ;
RECT 220.480 2.040 220.800 2.360 ;
RECT 220.880 2.040 221.200 2.360 ;
RECT 221.280 2.040 221.600 2.360 ;
RECT 221.680 2.040 222.000 2.360 ;
RECT 222.080 2.040 222.400 2.360 ;
RECT 222.480 2.040 222.800 2.360 ;
RECT 222.880 2.040 223.200 2.360 ;
RECT 223.280 2.040 223.600 2.360 ;
RECT 223.680 2.040 224.000 2.360 ;
RECT 224.080 2.040 224.400 2.360 ;
RECT 224.480 2.040 224.800 2.360 ;
RECT 224.880 2.040 225.200 2.360 ;
RECT 225.280 2.040 225.600 2.360 ;
RECT 225.680 2.040 226.000 2.360 ;
RECT 226.080 2.040 226.400 2.360 ;
RECT 226.480 2.040 226.800 2.360 ;
RECT 0.040 1.640 0.360 1.960 ;
RECT 0.440 1.640 0.760 1.960 ;
RECT 0.840 1.640 1.160 1.960 ;
RECT 1.240 1.640 1.560 1.960 ;
RECT 1.640 1.640 1.960 1.960 ;
RECT 2.040 1.640 2.360 1.960 ;
RECT 2.440 1.640 2.760 1.960 ;
RECT 2.840 1.640 3.160 1.960 ;
RECT 3.240 1.640 3.560 1.960 ;
RECT 3.640 1.640 3.960 1.960 ;
RECT 4.040 1.640 4.360 1.960 ;
RECT 4.440 1.640 4.760 1.960 ;
RECT 4.840 1.640 5.160 1.960 ;
RECT 5.240 1.640 5.560 1.960 ;
RECT 5.640 1.640 5.960 1.960 ;
RECT 6.040 1.640 6.360 1.960 ;
RECT 6.440 1.640 6.760 1.960 ;
RECT 6.840 1.640 7.160 1.960 ;
RECT 7.240 1.640 7.560 1.960 ;
RECT 7.640 1.640 7.960 1.960 ;
RECT 8.040 1.640 8.360 1.960 ;
RECT 8.440 1.640 8.760 1.960 ;
RECT 8.840 1.640 9.160 1.960 ;
RECT 9.240 1.640 9.560 1.960 ;
RECT 9.640 1.640 9.960 1.960 ;
RECT 10.040 1.640 10.360 1.960 ;
RECT 10.440 1.640 10.760 1.960 ;
RECT 10.840 1.640 11.160 1.960 ;
RECT 11.240 1.640 11.560 1.960 ;
RECT 11.640 1.640 11.960 1.960 ;
RECT 12.040 1.640 12.360 1.960 ;
RECT 12.440 1.640 12.760 1.960 ;
RECT 12.840 1.640 13.160 1.960 ;
RECT 13.240 1.640 13.560 1.960 ;
RECT 13.640 1.640 13.960 1.960 ;
RECT 14.040 1.640 14.360 1.960 ;
RECT 14.440 1.640 14.760 1.960 ;
RECT 14.840 1.640 15.160 1.960 ;
RECT 15.240 1.640 15.560 1.960 ;
RECT 15.640 1.640 15.960 1.960 ;
RECT 16.040 1.640 16.360 1.960 ;
RECT 16.440 1.640 16.760 1.960 ;
RECT 16.840 1.640 17.160 1.960 ;
RECT 17.240 1.640 17.560 1.960 ;
RECT 17.640 1.640 17.960 1.960 ;
RECT 18.040 1.640 18.360 1.960 ;
RECT 18.440 1.640 18.760 1.960 ;
RECT 18.840 1.640 19.160 1.960 ;
RECT 19.240 1.640 19.560 1.960 ;
RECT 19.640 1.640 19.960 1.960 ;
RECT 95.560 1.640 95.880 1.960 ;
RECT 95.960 1.640 96.280 1.960 ;
RECT 96.360 1.640 96.680 1.960 ;
RECT 96.760 1.640 97.080 1.960 ;
RECT 145.560 1.640 145.880 1.960 ;
RECT 145.960 1.640 146.280 1.960 ;
RECT 146.360 1.640 146.680 1.960 ;
RECT 146.760 1.640 147.080 1.960 ;
RECT 206.880 1.640 207.200 1.960 ;
RECT 207.280 1.640 207.600 1.960 ;
RECT 207.680 1.640 208.000 1.960 ;
RECT 208.080 1.640 208.400 1.960 ;
RECT 208.480 1.640 208.800 1.960 ;
RECT 208.880 1.640 209.200 1.960 ;
RECT 209.280 1.640 209.600 1.960 ;
RECT 209.680 1.640 210.000 1.960 ;
RECT 210.080 1.640 210.400 1.960 ;
RECT 210.480 1.640 210.800 1.960 ;
RECT 210.880 1.640 211.200 1.960 ;
RECT 211.280 1.640 211.600 1.960 ;
RECT 211.680 1.640 212.000 1.960 ;
RECT 212.080 1.640 212.400 1.960 ;
RECT 212.480 1.640 212.800 1.960 ;
RECT 212.880 1.640 213.200 1.960 ;
RECT 213.280 1.640 213.600 1.960 ;
RECT 213.680 1.640 214.000 1.960 ;
RECT 214.080 1.640 214.400 1.960 ;
RECT 214.480 1.640 214.800 1.960 ;
RECT 214.880 1.640 215.200 1.960 ;
RECT 215.280 1.640 215.600 1.960 ;
RECT 215.680 1.640 216.000 1.960 ;
RECT 216.080 1.640 216.400 1.960 ;
RECT 216.480 1.640 216.800 1.960 ;
RECT 216.880 1.640 217.200 1.960 ;
RECT 217.280 1.640 217.600 1.960 ;
RECT 217.680 1.640 218.000 1.960 ;
RECT 218.080 1.640 218.400 1.960 ;
RECT 218.480 1.640 218.800 1.960 ;
RECT 218.880 1.640 219.200 1.960 ;
RECT 219.280 1.640 219.600 1.960 ;
RECT 219.680 1.640 220.000 1.960 ;
RECT 220.080 1.640 220.400 1.960 ;
RECT 220.480 1.640 220.800 1.960 ;
RECT 220.880 1.640 221.200 1.960 ;
RECT 221.280 1.640 221.600 1.960 ;
RECT 221.680 1.640 222.000 1.960 ;
RECT 222.080 1.640 222.400 1.960 ;
RECT 222.480 1.640 222.800 1.960 ;
RECT 222.880 1.640 223.200 1.960 ;
RECT 223.280 1.640 223.600 1.960 ;
RECT 223.680 1.640 224.000 1.960 ;
RECT 224.080 1.640 224.400 1.960 ;
RECT 224.480 1.640 224.800 1.960 ;
RECT 224.880 1.640 225.200 1.960 ;
RECT 225.280 1.640 225.600 1.960 ;
RECT 225.680 1.640 226.000 1.960 ;
RECT 226.080 1.640 226.400 1.960 ;
RECT 226.480 1.640 226.800 1.960 ;
RECT 0.040 1.240 0.360 1.560 ;
RECT 0.440 1.240 0.760 1.560 ;
RECT 0.840 1.240 1.160 1.560 ;
RECT 1.240 1.240 1.560 1.560 ;
RECT 1.640 1.240 1.960 1.560 ;
RECT 2.040 1.240 2.360 1.560 ;
RECT 2.440 1.240 2.760 1.560 ;
RECT 2.840 1.240 3.160 1.560 ;
RECT 3.240 1.240 3.560 1.560 ;
RECT 3.640 1.240 3.960 1.560 ;
RECT 4.040 1.240 4.360 1.560 ;
RECT 4.440 1.240 4.760 1.560 ;
RECT 4.840 1.240 5.160 1.560 ;
RECT 5.240 1.240 5.560 1.560 ;
RECT 5.640 1.240 5.960 1.560 ;
RECT 6.040 1.240 6.360 1.560 ;
RECT 6.440 1.240 6.760 1.560 ;
RECT 6.840 1.240 7.160 1.560 ;
RECT 7.240 1.240 7.560 1.560 ;
RECT 7.640 1.240 7.960 1.560 ;
RECT 8.040 1.240 8.360 1.560 ;
RECT 8.440 1.240 8.760 1.560 ;
RECT 8.840 1.240 9.160 1.560 ;
RECT 9.240 1.240 9.560 1.560 ;
RECT 9.640 1.240 9.960 1.560 ;
RECT 10.040 1.240 10.360 1.560 ;
RECT 10.440 1.240 10.760 1.560 ;
RECT 10.840 1.240 11.160 1.560 ;
RECT 11.240 1.240 11.560 1.560 ;
RECT 11.640 1.240 11.960 1.560 ;
RECT 12.040 1.240 12.360 1.560 ;
RECT 12.440 1.240 12.760 1.560 ;
RECT 12.840 1.240 13.160 1.560 ;
RECT 13.240 1.240 13.560 1.560 ;
RECT 13.640 1.240 13.960 1.560 ;
RECT 14.040 1.240 14.360 1.560 ;
RECT 14.440 1.240 14.760 1.560 ;
RECT 14.840 1.240 15.160 1.560 ;
RECT 15.240 1.240 15.560 1.560 ;
RECT 15.640 1.240 15.960 1.560 ;
RECT 16.040 1.240 16.360 1.560 ;
RECT 16.440 1.240 16.760 1.560 ;
RECT 16.840 1.240 17.160 1.560 ;
RECT 17.240 1.240 17.560 1.560 ;
RECT 17.640 1.240 17.960 1.560 ;
RECT 18.040 1.240 18.360 1.560 ;
RECT 18.440 1.240 18.760 1.560 ;
RECT 18.840 1.240 19.160 1.560 ;
RECT 19.240 1.240 19.560 1.560 ;
RECT 19.640 1.240 19.960 1.560 ;
RECT 95.560 1.240 95.880 1.560 ;
RECT 95.960 1.240 96.280 1.560 ;
RECT 96.360 1.240 96.680 1.560 ;
RECT 96.760 1.240 97.080 1.560 ;
RECT 145.560 1.240 145.880 1.560 ;
RECT 145.960 1.240 146.280 1.560 ;
RECT 146.360 1.240 146.680 1.560 ;
RECT 146.760 1.240 147.080 1.560 ;
RECT 206.880 1.240 207.200 1.560 ;
RECT 207.280 1.240 207.600 1.560 ;
RECT 207.680 1.240 208.000 1.560 ;
RECT 208.080 1.240 208.400 1.560 ;
RECT 208.480 1.240 208.800 1.560 ;
RECT 208.880 1.240 209.200 1.560 ;
RECT 209.280 1.240 209.600 1.560 ;
RECT 209.680 1.240 210.000 1.560 ;
RECT 210.080 1.240 210.400 1.560 ;
RECT 210.480 1.240 210.800 1.560 ;
RECT 210.880 1.240 211.200 1.560 ;
RECT 211.280 1.240 211.600 1.560 ;
RECT 211.680 1.240 212.000 1.560 ;
RECT 212.080 1.240 212.400 1.560 ;
RECT 212.480 1.240 212.800 1.560 ;
RECT 212.880 1.240 213.200 1.560 ;
RECT 213.280 1.240 213.600 1.560 ;
RECT 213.680 1.240 214.000 1.560 ;
RECT 214.080 1.240 214.400 1.560 ;
RECT 214.480 1.240 214.800 1.560 ;
RECT 214.880 1.240 215.200 1.560 ;
RECT 215.280 1.240 215.600 1.560 ;
RECT 215.680 1.240 216.000 1.560 ;
RECT 216.080 1.240 216.400 1.560 ;
RECT 216.480 1.240 216.800 1.560 ;
RECT 216.880 1.240 217.200 1.560 ;
RECT 217.280 1.240 217.600 1.560 ;
RECT 217.680 1.240 218.000 1.560 ;
RECT 218.080 1.240 218.400 1.560 ;
RECT 218.480 1.240 218.800 1.560 ;
RECT 218.880 1.240 219.200 1.560 ;
RECT 219.280 1.240 219.600 1.560 ;
RECT 219.680 1.240 220.000 1.560 ;
RECT 220.080 1.240 220.400 1.560 ;
RECT 220.480 1.240 220.800 1.560 ;
RECT 220.880 1.240 221.200 1.560 ;
RECT 221.280 1.240 221.600 1.560 ;
RECT 221.680 1.240 222.000 1.560 ;
RECT 222.080 1.240 222.400 1.560 ;
RECT 222.480 1.240 222.800 1.560 ;
RECT 222.880 1.240 223.200 1.560 ;
RECT 223.280 1.240 223.600 1.560 ;
RECT 223.680 1.240 224.000 1.560 ;
RECT 224.080 1.240 224.400 1.560 ;
RECT 224.480 1.240 224.800 1.560 ;
RECT 224.880 1.240 225.200 1.560 ;
RECT 225.280 1.240 225.600 1.560 ;
RECT 225.680 1.240 226.000 1.560 ;
RECT 226.080 1.240 226.400 1.560 ;
RECT 226.480 1.240 226.800 1.560 ;
RECT 0.040 0.840 0.360 1.160 ;
RECT 0.440 0.840 0.760 1.160 ;
RECT 0.840 0.840 1.160 1.160 ;
RECT 1.240 0.840 1.560 1.160 ;
RECT 1.640 0.840 1.960 1.160 ;
RECT 2.040 0.840 2.360 1.160 ;
RECT 2.440 0.840 2.760 1.160 ;
RECT 2.840 0.840 3.160 1.160 ;
RECT 3.240 0.840 3.560 1.160 ;
RECT 3.640 0.840 3.960 1.160 ;
RECT 4.040 0.840 4.360 1.160 ;
RECT 4.440 0.840 4.760 1.160 ;
RECT 4.840 0.840 5.160 1.160 ;
RECT 5.240 0.840 5.560 1.160 ;
RECT 5.640 0.840 5.960 1.160 ;
RECT 6.040 0.840 6.360 1.160 ;
RECT 6.440 0.840 6.760 1.160 ;
RECT 6.840 0.840 7.160 1.160 ;
RECT 7.240 0.840 7.560 1.160 ;
RECT 7.640 0.840 7.960 1.160 ;
RECT 8.040 0.840 8.360 1.160 ;
RECT 8.440 0.840 8.760 1.160 ;
RECT 8.840 0.840 9.160 1.160 ;
RECT 9.240 0.840 9.560 1.160 ;
RECT 9.640 0.840 9.960 1.160 ;
RECT 10.040 0.840 10.360 1.160 ;
RECT 10.440 0.840 10.760 1.160 ;
RECT 10.840 0.840 11.160 1.160 ;
RECT 11.240 0.840 11.560 1.160 ;
RECT 11.640 0.840 11.960 1.160 ;
RECT 12.040 0.840 12.360 1.160 ;
RECT 12.440 0.840 12.760 1.160 ;
RECT 12.840 0.840 13.160 1.160 ;
RECT 13.240 0.840 13.560 1.160 ;
RECT 13.640 0.840 13.960 1.160 ;
RECT 14.040 0.840 14.360 1.160 ;
RECT 14.440 0.840 14.760 1.160 ;
RECT 14.840 0.840 15.160 1.160 ;
RECT 15.240 0.840 15.560 1.160 ;
RECT 15.640 0.840 15.960 1.160 ;
RECT 16.040 0.840 16.360 1.160 ;
RECT 16.440 0.840 16.760 1.160 ;
RECT 16.840 0.840 17.160 1.160 ;
RECT 17.240 0.840 17.560 1.160 ;
RECT 17.640 0.840 17.960 1.160 ;
RECT 18.040 0.840 18.360 1.160 ;
RECT 18.440 0.840 18.760 1.160 ;
RECT 18.840 0.840 19.160 1.160 ;
RECT 19.240 0.840 19.560 1.160 ;
RECT 19.640 0.840 19.960 1.160 ;
RECT 95.560 0.840 95.880 1.160 ;
RECT 95.960 0.840 96.280 1.160 ;
RECT 96.360 0.840 96.680 1.160 ;
RECT 96.760 0.840 97.080 1.160 ;
RECT 145.560 0.840 145.880 1.160 ;
RECT 145.960 0.840 146.280 1.160 ;
RECT 146.360 0.840 146.680 1.160 ;
RECT 146.760 0.840 147.080 1.160 ;
RECT 206.880 0.840 207.200 1.160 ;
RECT 207.280 0.840 207.600 1.160 ;
RECT 207.680 0.840 208.000 1.160 ;
RECT 208.080 0.840 208.400 1.160 ;
RECT 208.480 0.840 208.800 1.160 ;
RECT 208.880 0.840 209.200 1.160 ;
RECT 209.280 0.840 209.600 1.160 ;
RECT 209.680 0.840 210.000 1.160 ;
RECT 210.080 0.840 210.400 1.160 ;
RECT 210.480 0.840 210.800 1.160 ;
RECT 210.880 0.840 211.200 1.160 ;
RECT 211.280 0.840 211.600 1.160 ;
RECT 211.680 0.840 212.000 1.160 ;
RECT 212.080 0.840 212.400 1.160 ;
RECT 212.480 0.840 212.800 1.160 ;
RECT 212.880 0.840 213.200 1.160 ;
RECT 213.280 0.840 213.600 1.160 ;
RECT 213.680 0.840 214.000 1.160 ;
RECT 214.080 0.840 214.400 1.160 ;
RECT 214.480 0.840 214.800 1.160 ;
RECT 214.880 0.840 215.200 1.160 ;
RECT 215.280 0.840 215.600 1.160 ;
RECT 215.680 0.840 216.000 1.160 ;
RECT 216.080 0.840 216.400 1.160 ;
RECT 216.480 0.840 216.800 1.160 ;
RECT 216.880 0.840 217.200 1.160 ;
RECT 217.280 0.840 217.600 1.160 ;
RECT 217.680 0.840 218.000 1.160 ;
RECT 218.080 0.840 218.400 1.160 ;
RECT 218.480 0.840 218.800 1.160 ;
RECT 218.880 0.840 219.200 1.160 ;
RECT 219.280 0.840 219.600 1.160 ;
RECT 219.680 0.840 220.000 1.160 ;
RECT 220.080 0.840 220.400 1.160 ;
RECT 220.480 0.840 220.800 1.160 ;
RECT 220.880 0.840 221.200 1.160 ;
RECT 221.280 0.840 221.600 1.160 ;
RECT 221.680 0.840 222.000 1.160 ;
RECT 222.080 0.840 222.400 1.160 ;
RECT 222.480 0.840 222.800 1.160 ;
RECT 222.880 0.840 223.200 1.160 ;
RECT 223.280 0.840 223.600 1.160 ;
RECT 223.680 0.840 224.000 1.160 ;
RECT 224.080 0.840 224.400 1.160 ;
RECT 224.480 0.840 224.800 1.160 ;
RECT 224.880 0.840 225.200 1.160 ;
RECT 225.280 0.840 225.600 1.160 ;
RECT 225.680 0.840 226.000 1.160 ;
RECT 226.080 0.840 226.400 1.160 ;
RECT 226.480 0.840 226.800 1.160 ;
RECT 0.040 0.440 0.360 0.760 ;
RECT 0.440 0.440 0.760 0.760 ;
RECT 0.840 0.440 1.160 0.760 ;
RECT 1.240 0.440 1.560 0.760 ;
RECT 1.640 0.440 1.960 0.760 ;
RECT 2.040 0.440 2.360 0.760 ;
RECT 2.440 0.440 2.760 0.760 ;
RECT 2.840 0.440 3.160 0.760 ;
RECT 3.240 0.440 3.560 0.760 ;
RECT 3.640 0.440 3.960 0.760 ;
RECT 4.040 0.440 4.360 0.760 ;
RECT 4.440 0.440 4.760 0.760 ;
RECT 4.840 0.440 5.160 0.760 ;
RECT 5.240 0.440 5.560 0.760 ;
RECT 5.640 0.440 5.960 0.760 ;
RECT 6.040 0.440 6.360 0.760 ;
RECT 6.440 0.440 6.760 0.760 ;
RECT 6.840 0.440 7.160 0.760 ;
RECT 7.240 0.440 7.560 0.760 ;
RECT 7.640 0.440 7.960 0.760 ;
RECT 8.040 0.440 8.360 0.760 ;
RECT 8.440 0.440 8.760 0.760 ;
RECT 8.840 0.440 9.160 0.760 ;
RECT 9.240 0.440 9.560 0.760 ;
RECT 9.640 0.440 9.960 0.760 ;
RECT 10.040 0.440 10.360 0.760 ;
RECT 10.440 0.440 10.760 0.760 ;
RECT 10.840 0.440 11.160 0.760 ;
RECT 11.240 0.440 11.560 0.760 ;
RECT 11.640 0.440 11.960 0.760 ;
RECT 12.040 0.440 12.360 0.760 ;
RECT 12.440 0.440 12.760 0.760 ;
RECT 12.840 0.440 13.160 0.760 ;
RECT 13.240 0.440 13.560 0.760 ;
RECT 13.640 0.440 13.960 0.760 ;
RECT 14.040 0.440 14.360 0.760 ;
RECT 14.440 0.440 14.760 0.760 ;
RECT 14.840 0.440 15.160 0.760 ;
RECT 15.240 0.440 15.560 0.760 ;
RECT 15.640 0.440 15.960 0.760 ;
RECT 16.040 0.440 16.360 0.760 ;
RECT 16.440 0.440 16.760 0.760 ;
RECT 16.840 0.440 17.160 0.760 ;
RECT 17.240 0.440 17.560 0.760 ;
RECT 17.640 0.440 17.960 0.760 ;
RECT 18.040 0.440 18.360 0.760 ;
RECT 18.440 0.440 18.760 0.760 ;
RECT 18.840 0.440 19.160 0.760 ;
RECT 19.240 0.440 19.560 0.760 ;
RECT 19.640 0.440 19.960 0.760 ;
RECT 95.560 0.440 95.880 0.760 ;
RECT 95.960 0.440 96.280 0.760 ;
RECT 96.360 0.440 96.680 0.760 ;
RECT 96.760 0.440 97.080 0.760 ;
RECT 145.560 0.440 145.880 0.760 ;
RECT 145.960 0.440 146.280 0.760 ;
RECT 146.360 0.440 146.680 0.760 ;
RECT 146.760 0.440 147.080 0.760 ;
RECT 206.880 0.440 207.200 0.760 ;
RECT 207.280 0.440 207.600 0.760 ;
RECT 207.680 0.440 208.000 0.760 ;
RECT 208.080 0.440 208.400 0.760 ;
RECT 208.480 0.440 208.800 0.760 ;
RECT 208.880 0.440 209.200 0.760 ;
RECT 209.280 0.440 209.600 0.760 ;
RECT 209.680 0.440 210.000 0.760 ;
RECT 210.080 0.440 210.400 0.760 ;
RECT 210.480 0.440 210.800 0.760 ;
RECT 210.880 0.440 211.200 0.760 ;
RECT 211.280 0.440 211.600 0.760 ;
RECT 211.680 0.440 212.000 0.760 ;
RECT 212.080 0.440 212.400 0.760 ;
RECT 212.480 0.440 212.800 0.760 ;
RECT 212.880 0.440 213.200 0.760 ;
RECT 213.280 0.440 213.600 0.760 ;
RECT 213.680 0.440 214.000 0.760 ;
RECT 214.080 0.440 214.400 0.760 ;
RECT 214.480 0.440 214.800 0.760 ;
RECT 214.880 0.440 215.200 0.760 ;
RECT 215.280 0.440 215.600 0.760 ;
RECT 215.680 0.440 216.000 0.760 ;
RECT 216.080 0.440 216.400 0.760 ;
RECT 216.480 0.440 216.800 0.760 ;
RECT 216.880 0.440 217.200 0.760 ;
RECT 217.280 0.440 217.600 0.760 ;
RECT 217.680 0.440 218.000 0.760 ;
RECT 218.080 0.440 218.400 0.760 ;
RECT 218.480 0.440 218.800 0.760 ;
RECT 218.880 0.440 219.200 0.760 ;
RECT 219.280 0.440 219.600 0.760 ;
RECT 219.680 0.440 220.000 0.760 ;
RECT 220.080 0.440 220.400 0.760 ;
RECT 220.480 0.440 220.800 0.760 ;
RECT 220.880 0.440 221.200 0.760 ;
RECT 221.280 0.440 221.600 0.760 ;
RECT 221.680 0.440 222.000 0.760 ;
RECT 222.080 0.440 222.400 0.760 ;
RECT 222.480 0.440 222.800 0.760 ;
RECT 222.880 0.440 223.200 0.760 ;
RECT 223.280 0.440 223.600 0.760 ;
RECT 223.680 0.440 224.000 0.760 ;
RECT 224.080 0.440 224.400 0.760 ;
RECT 224.480 0.440 224.800 0.760 ;
RECT 224.880 0.440 225.200 0.760 ;
RECT 225.280 0.440 225.600 0.760 ;
RECT 225.680 0.440 226.000 0.760 ;
RECT 226.080 0.440 226.400 0.760 ;
RECT 226.480 0.440 226.800 0.760 ;
RECT 0.040 0.040 0.360 0.360 ;
RECT 0.440 0.040 0.760 0.360 ;
RECT 0.840 0.040 1.160 0.360 ;
RECT 1.240 0.040 1.560 0.360 ;
RECT 1.640 0.040 1.960 0.360 ;
RECT 2.040 0.040 2.360 0.360 ;
RECT 2.440 0.040 2.760 0.360 ;
RECT 2.840 0.040 3.160 0.360 ;
RECT 3.240 0.040 3.560 0.360 ;
RECT 3.640 0.040 3.960 0.360 ;
RECT 4.040 0.040 4.360 0.360 ;
RECT 4.440 0.040 4.760 0.360 ;
RECT 4.840 0.040 5.160 0.360 ;
RECT 5.240 0.040 5.560 0.360 ;
RECT 5.640 0.040 5.960 0.360 ;
RECT 6.040 0.040 6.360 0.360 ;
RECT 6.440 0.040 6.760 0.360 ;
RECT 6.840 0.040 7.160 0.360 ;
RECT 7.240 0.040 7.560 0.360 ;
RECT 7.640 0.040 7.960 0.360 ;
RECT 8.040 0.040 8.360 0.360 ;
RECT 8.440 0.040 8.760 0.360 ;
RECT 8.840 0.040 9.160 0.360 ;
RECT 9.240 0.040 9.560 0.360 ;
RECT 9.640 0.040 9.960 0.360 ;
RECT 10.040 0.040 10.360 0.360 ;
RECT 10.440 0.040 10.760 0.360 ;
RECT 10.840 0.040 11.160 0.360 ;
RECT 11.240 0.040 11.560 0.360 ;
RECT 11.640 0.040 11.960 0.360 ;
RECT 12.040 0.040 12.360 0.360 ;
RECT 12.440 0.040 12.760 0.360 ;
RECT 12.840 0.040 13.160 0.360 ;
RECT 13.240 0.040 13.560 0.360 ;
RECT 13.640 0.040 13.960 0.360 ;
RECT 14.040 0.040 14.360 0.360 ;
RECT 14.440 0.040 14.760 0.360 ;
RECT 14.840 0.040 15.160 0.360 ;
RECT 15.240 0.040 15.560 0.360 ;
RECT 15.640 0.040 15.960 0.360 ;
RECT 16.040 0.040 16.360 0.360 ;
RECT 16.440 0.040 16.760 0.360 ;
RECT 16.840 0.040 17.160 0.360 ;
RECT 17.240 0.040 17.560 0.360 ;
RECT 17.640 0.040 17.960 0.360 ;
RECT 18.040 0.040 18.360 0.360 ;
RECT 18.440 0.040 18.760 0.360 ;
RECT 18.840 0.040 19.160 0.360 ;
RECT 19.240 0.040 19.560 0.360 ;
RECT 19.640 0.040 19.960 0.360 ;
RECT 95.560 0.040 95.880 0.360 ;
RECT 95.960 0.040 96.280 0.360 ;
RECT 96.360 0.040 96.680 0.360 ;
RECT 96.760 0.040 97.080 0.360 ;
RECT 145.560 0.040 145.880 0.360 ;
RECT 145.960 0.040 146.280 0.360 ;
RECT 146.360 0.040 146.680 0.360 ;
RECT 146.760 0.040 147.080 0.360 ;
RECT 206.880 0.040 207.200 0.360 ;
RECT 207.280 0.040 207.600 0.360 ;
RECT 207.680 0.040 208.000 0.360 ;
RECT 208.080 0.040 208.400 0.360 ;
RECT 208.480 0.040 208.800 0.360 ;
RECT 208.880 0.040 209.200 0.360 ;
RECT 209.280 0.040 209.600 0.360 ;
RECT 209.680 0.040 210.000 0.360 ;
RECT 210.080 0.040 210.400 0.360 ;
RECT 210.480 0.040 210.800 0.360 ;
RECT 210.880 0.040 211.200 0.360 ;
RECT 211.280 0.040 211.600 0.360 ;
RECT 211.680 0.040 212.000 0.360 ;
RECT 212.080 0.040 212.400 0.360 ;
RECT 212.480 0.040 212.800 0.360 ;
RECT 212.880 0.040 213.200 0.360 ;
RECT 213.280 0.040 213.600 0.360 ;
RECT 213.680 0.040 214.000 0.360 ;
RECT 214.080 0.040 214.400 0.360 ;
RECT 214.480 0.040 214.800 0.360 ;
RECT 214.880 0.040 215.200 0.360 ;
RECT 215.280 0.040 215.600 0.360 ;
RECT 215.680 0.040 216.000 0.360 ;
RECT 216.080 0.040 216.400 0.360 ;
RECT 216.480 0.040 216.800 0.360 ;
RECT 216.880 0.040 217.200 0.360 ;
RECT 217.280 0.040 217.600 0.360 ;
RECT 217.680 0.040 218.000 0.360 ;
RECT 218.080 0.040 218.400 0.360 ;
RECT 218.480 0.040 218.800 0.360 ;
RECT 218.880 0.040 219.200 0.360 ;
RECT 219.280 0.040 219.600 0.360 ;
RECT 219.680 0.040 220.000 0.360 ;
RECT 220.080 0.040 220.400 0.360 ;
RECT 220.480 0.040 220.800 0.360 ;
RECT 220.880 0.040 221.200 0.360 ;
RECT 221.280 0.040 221.600 0.360 ;
RECT 221.680 0.040 222.000 0.360 ;
RECT 222.080 0.040 222.400 0.360 ;
RECT 222.480 0.040 222.800 0.360 ;
RECT 222.880 0.040 223.200 0.360 ;
RECT 223.280 0.040 223.600 0.360 ;
RECT 223.680 0.040 224.000 0.360 ;
RECT 224.080 0.040 224.400 0.360 ;
RECT 224.480 0.040 224.800 0.360 ;
RECT 224.880 0.040 225.200 0.360 ;
RECT 225.280 0.040 225.600 0.360 ;
RECT 225.680 0.040 226.000 0.360 ;
RECT 226.080 0.040 226.400 0.360 ;
RECT 226.480 0.040 226.800 0.360 ;
LAYER met4 ;
RECT 0.000 0.000 20.000 226.960 ;
RECT 95.520 172.960 97.120 226.960 ;
RECT 145.520 172.960 147.120 226.960 ;
RECT 95.520 0.000 97.120 54.000 ;
RECT 145.520 0.000 147.120 54.000 ;
RECT 206.840 0.000 226.840 226.960 ;
END
END VGND
OBS
LAYER li1 ;
RECT 55.000 54.915 171.840 172.045 ;
LAYER met1 ;
RECT 54.000 54.760 171.840 172.200 ;
LAYER met2 ;
RECT 55.100 54.000 168.520 172.960 ;
LAYER met3 ;
RECT 54.000 54.835 172.840 172.125 ;
LAYER met4 ;
RECT 70.520 54.000 147.120 172.960 ;
END
END digital_pll
END LIBRARY