blob: 7a5573c3ad5901c78f2f5733d392cc6ae6950ec2 [file] [log] [blame]
PINS 794 ;
- clock + NET clock + DIRECTION INPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- core_clk + NET core_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 4830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- core_rstn + NET core_rstn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 5780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_clk + NET flash_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_clk_ieb + NET flash_clk_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_clk_oeb + NET flash_clk_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 8510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_csb + NET flash_csb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_csb_ieb + NET flash_csb_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 11220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_csb_oeb + NET flash_csb_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io0_di + NET flash_io0_di + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io0_do + NET flash_io0_do + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io0_ieb + NET flash_io0_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io0_oeb + NET flash_io0_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 16660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io1_di + NET flash_io1_di + DIRECTION INPUT + USE SIGNAL + PLACED ( 17710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io1_do + NET flash_io1_do + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 19380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- flash_io1_ieb + NET flash_io1_ieb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- flash_io1_oeb + NET flash_io1_oeb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 21390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_in_pad + NET gpio_in_pad + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- gpio_inenb_pad + NET gpio_inenb_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_mode0_pad + NET gpio_mode0_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 24820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- gpio_mode1_pad + NET gpio_mode1_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_out_pad + NET gpio_out_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 26910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- gpio_outenb_pad + NET gpio_outenb_pad + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- jtag_out + NET jtag_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- jtag_outenb + NET jtag_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 30260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[0] + NET la_input[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 30590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[100] + NET la_input[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 32430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[101] + NET la_input[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[102] + NET la_input[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 34270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[103] + NET la_input[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 35700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[104] + NET la_input[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 36110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[105] + NET la_input[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[106] + NET la_input[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[107] + NET la_input[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 39790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[108] + NET la_input[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 41140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[109] + NET la_input[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 41630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[10] + NET la_input[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 43470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[110] + NET la_input[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 43860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[111] + NET la_input[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 45310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[112] + NET la_input[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 46580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[113] + NET la_input[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 47150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[114] + NET la_input[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 48990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[115] + NET la_input[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 49300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[116] + NET la_input[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 50830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[117] + NET la_input[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 52020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[118] + NET la_input[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 52670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[119] + NET la_input[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 54510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[11] + NET la_input[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 54740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[120] + NET la_input[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 56350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[121] + NET la_input[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 57460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[122] + NET la_input[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 58190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[123] + NET la_input[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[124] + NET la_input[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 60180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[125] + NET la_input[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 61870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[126] + NET la_input[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 62900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[127] + NET la_input[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 63710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[12] + NET la_input[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 65550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[13] + NET la_input[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 65620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[14] + NET la_input[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 67390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[15] + NET la_input[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 68340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[16] + NET la_input[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 69230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[17] + NET la_input[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 71060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[18] + NET la_input[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[19] + NET la_input[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 72910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[1] + NET la_input[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 73780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[20] + NET la_input[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 74750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[21] + NET la_input[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 76500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[22] + NET la_input[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 76590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[23] + NET la_input[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 78430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[24] + NET la_input[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 79220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[25] + NET la_input[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 80270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[26] + NET la_input[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 81940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[27] + NET la_input[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 82110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[28] + NET la_input[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 83950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[29] + NET la_input[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 84660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[2] + NET la_input[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 85790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[30] + NET la_input[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 87380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[31] + NET la_input[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 87630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[32] + NET la_input[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 89470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[33] + NET la_input[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 90100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[34] + NET la_input[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 91310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[35] + NET la_input[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 92820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[36] + NET la_input[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 93150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[37] + NET la_input[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 94990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[38] + NET la_input[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 95540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[39] + NET la_input[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 96830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[3] + NET la_input[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 98260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[40] + NET la_input[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 98670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[41] + NET la_input[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 100510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[42] + NET la_input[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 100980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[43] + NET la_input[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 102350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[44] + NET la_input[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 103700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[45] + NET la_input[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 104190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[46] + NET la_input[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[47] + NET la_input[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 106420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[48] + NET la_input[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 107870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[49] + NET la_input[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 109140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[4] + NET la_input[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 109710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[50] + NET la_input[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 111550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[51] + NET la_input[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 111860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[52] + NET la_input[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 113390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[53] + NET la_input[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 114580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[54] + NET la_input[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 115230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[55] + NET la_input[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[56] + NET la_input[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 117300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[57] + NET la_input[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 118910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[58] + NET la_input[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 120020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[59] + NET la_input[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 120750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[5] + NET la_input[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 122590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[60] + NET la_input[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 122740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[61] + NET la_input[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 124430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[62] + NET la_input[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 125460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[63] + NET la_input[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 126270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[64] + NET la_input[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 128110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[65] + NET la_input[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 128180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[66] + NET la_input[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 129950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[67] + NET la_input[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 130900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[68] + NET la_input[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 131790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[69] + NET la_input[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 133620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[6] + NET la_input[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 133630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[70] + NET la_input[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 135470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[71] + NET la_input[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 136340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[72] + NET la_input[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 137310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[73] + NET la_input[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 139060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[74] + NET la_input[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 139150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[75] + NET la_input[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[76] + NET la_input[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 141780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[77] + NET la_input[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 142830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[78] + NET la_input[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 144500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[79] + NET la_input[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 144670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[7] + NET la_input[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 146510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[80] + NET la_input[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 147220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[81] + NET la_input[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 148350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[82] + NET la_input[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 149940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[83] + NET la_input[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 150190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[84] + NET la_input[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 152030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[85] + NET la_input[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 152660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[86] + NET la_input[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 153870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[87] + NET la_input[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 155380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[88] + NET la_input[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 155710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[89] + NET la_input[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 157550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[8] + NET la_input[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 158100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[90] + NET la_input[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 159390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[91] + NET la_input[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 160820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[92] + NET la_input[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 161230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[93] + NET la_input[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 163070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[94] + NET la_input[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 163540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[95] + NET la_input[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 164910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[96] + NET la_input[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 166260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[97] + NET la_input[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 166750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[98] + NET la_input[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 168590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_input[99] + NET la_input[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 168980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_input[9] + NET la_input[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 170430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[0] + NET la_oen[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 171700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[100] + NET la_oen[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 172270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[101] + NET la_oen[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 174110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[102] + NET la_oen[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 174420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[103] + NET la_oen[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 175950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[104] + NET la_oen[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 177140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[105] + NET la_oen[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[106] + NET la_oen[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 179630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[107] + NET la_oen[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 179860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[108] + NET la_oen[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[109] + NET la_oen[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 182580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[10] + NET la_oen[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 183310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[110] + NET la_oen[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 185150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[111] + NET la_oen[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 185300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[112] + NET la_oen[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[113] + NET la_oen[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 188020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[114] + NET la_oen[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 188830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[115] + NET la_oen[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 190670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[116] + NET la_oen[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 190740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[117] + NET la_oen[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 192510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[118] + NET la_oen[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 193460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[119] + NET la_oen[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 194350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[11] + NET la_oen[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 196180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[120] + NET la_oen[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 196190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[121] + NET la_oen[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 198030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[122] + NET la_oen[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 198900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[123] + NET la_oen[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 199870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[124] + NET la_oen[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 201620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[125] + NET la_oen[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 201710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[126] + NET la_oen[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 203550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[127] + NET la_oen[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 204340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[12] + NET la_oen[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 205390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[13] + NET la_oen[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 207060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[14] + NET la_oen[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 207230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[15] + NET la_oen[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 209070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[16] + NET la_oen[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 209780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[17] + NET la_oen[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 210910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[18] + NET la_oen[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 212500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[19] + NET la_oen[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 212750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[1] + NET la_oen[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 214590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[20] + NET la_oen[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 215220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[21] + NET la_oen[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[22] + NET la_oen[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 217940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[23] + NET la_oen[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 218270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[24] + NET la_oen[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 220110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[25] + NET la_oen[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 220660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[26] + NET la_oen[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 221950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[27] + NET la_oen[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 223380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[28] + NET la_oen[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 223790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[29] + NET la_oen[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[2] + NET la_oen[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 226100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[30] + NET la_oen[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 227470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[31] + NET la_oen[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 228820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[32] + NET la_oen[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 229310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[33] + NET la_oen[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 231150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[34] + NET la_oen[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 231540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[35] + NET la_oen[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 232990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[36] + NET la_oen[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 234260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[37] + NET la_oen[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[38] + NET la_oen[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 236670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[39] + NET la_oen[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 236980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[3] + NET la_oen[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 238510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[40] + NET la_oen[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 239700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[41] + NET la_oen[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 240350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[42] + NET la_oen[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 242190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[43] + NET la_oen[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 242420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[44] + NET la_oen[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 244030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[45] + NET la_oen[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 245140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[46] + NET la_oen[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 245870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[47] + NET la_oen[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 247710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[48] + NET la_oen[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 247860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[49] + NET la_oen[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 249550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[4] + NET la_oen[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 250580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[50] + NET la_oen[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 251390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[51] + NET la_oen[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 253230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[52] + NET la_oen[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 253300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[53] + NET la_oen[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 255070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[54] + NET la_oen[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 256020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[55] + NET la_oen[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 256910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[56] + NET la_oen[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 258740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[57] + NET la_oen[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 258750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[58] + NET la_oen[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 260590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[59] + NET la_oen[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 261460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[5] + NET la_oen[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 262430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[60] + NET la_oen[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 264180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[61] + NET la_oen[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 264270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[62] + NET la_oen[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 266110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[63] + NET la_oen[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 266900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[64] + NET la_oen[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 267950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[65] + NET la_oen[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 269620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[66] + NET la_oen[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 269790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[67] + NET la_oen[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 271630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[68] + NET la_oen[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 272340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[69] + NET la_oen[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 273470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[6] + NET la_oen[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 275060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[70] + NET la_oen[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 275310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[71] + NET la_oen[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 277150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[72] + NET la_oen[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 277780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[73] + NET la_oen[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 278990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[74] + NET la_oen[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 280500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[75] + NET la_oen[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 280830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[76] + NET la_oen[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 282670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[77] + NET la_oen[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 283220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[78] + NET la_oen[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 284510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[79] + NET la_oen[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 285940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[7] + NET la_oen[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 286350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[80] + NET la_oen[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 288190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[81] + NET la_oen[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 288660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[82] + NET la_oen[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 290030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[83] + NET la_oen[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 291380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[84] + NET la_oen[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 291870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[85] + NET la_oen[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 293710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[86] + NET la_oen[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 294100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[87] + NET la_oen[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 295550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[88] + NET la_oen[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 296820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[89] + NET la_oen[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 297390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[8] + NET la_oen[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 299230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[90] + NET la_oen[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 299540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[91] + NET la_oen[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 301070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[92] + NET la_oen[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 302260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[93] + NET la_oen[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 302910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[94] + NET la_oen[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 304750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[95] + NET la_oen[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 304980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[96] + NET la_oen[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 306590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[97] + NET la_oen[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 307700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_oen[98] + NET la_oen[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 308430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[99] + NET la_oen[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 310270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_oen[9] + NET la_oen[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 310420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[0] + NET la_output[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 312110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[100] + NET la_output[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 313140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[101] + NET la_output[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 313950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[102] + NET la_output[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 315790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[103] + NET la_output[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 315860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[104] + NET la_output[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 317630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[105] + NET la_output[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 318580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[106] + NET la_output[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 319470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[107] + NET la_output[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 321300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[108] + NET la_output[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 321310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[109] + NET la_output[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 323150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[10] + NET la_output[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 324020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[110] + NET la_output[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 324990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[111] + NET la_output[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 326740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[112] + NET la_output[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 326830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[113] + NET la_output[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 328670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[114] + NET la_output[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 329460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[115] + NET la_output[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 330510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[116] + NET la_output[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 332180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[117] + NET la_output[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 332350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[118] + NET la_output[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 334190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[119] + NET la_output[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 334900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[11] + NET la_output[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 336030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[120] + NET la_output[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 337620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[121] + NET la_output[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 337870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[122] + NET la_output[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 339710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[123] + NET la_output[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 340340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[124] + NET la_output[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 341550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[125] + NET la_output[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 343060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[126] + NET la_output[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 343390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[127] + NET la_output[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 345230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[12] + NET la_output[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 345780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[13] + NET la_output[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 347070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[14] + NET la_output[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 348500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[15] + NET la_output[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 348910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[16] + NET la_output[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 350750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[17] + NET la_output[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 351220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[18] + NET la_output[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 352590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[19] + NET la_output[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 353940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[1] + NET la_output[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 354430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[20] + NET la_output[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 356270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[21] + NET la_output[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 356660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[22] + NET la_output[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 358110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[23] + NET la_output[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 359380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[24] + NET la_output[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 359950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[25] + NET la_output[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 361790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[26] + NET la_output[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 362100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[27] + NET la_output[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 363630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[28] + NET la_output[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 364820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[29] + NET la_output[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[2] + NET la_output[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 367310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[30] + NET la_output[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 367540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[31] + NET la_output[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 369150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[32] + NET la_output[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 370260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[33] + NET la_output[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 370990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[34] + NET la_output[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 372830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[35] + NET la_output[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 372980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[36] + NET la_output[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 374670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[37] + NET la_output[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 375700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[38] + NET la_output[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 376510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[39] + NET la_output[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 378350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[3] + NET la_output[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 378420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[40] + NET la_output[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 380190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[41] + NET la_output[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 381140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[42] + NET la_output[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 382030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[43] + NET la_output[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 383860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[44] + NET la_output[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 383870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[45] + NET la_output[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 385710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[46] + NET la_output[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 386580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[47] + NET la_output[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 387550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[48] + NET la_output[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 389300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[49] + NET la_output[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 389390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[4] + NET la_output[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 391230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[50] + NET la_output[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 392020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[51] + NET la_output[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 393070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[52] + NET la_output[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 394740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[53] + NET la_output[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 394910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[54] + NET la_output[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 396750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[55] + NET la_output[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 397460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[56] + NET la_output[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 398590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[57] + NET la_output[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 400180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[58] + NET la_output[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 400430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[59] + NET la_output[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 402270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[5] + NET la_output[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 402900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[60] + NET la_output[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 404110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[61] + NET la_output[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 405620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[62] + NET la_output[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 405950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[63] + NET la_output[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 407790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[64] + NET la_output[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 408340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[65] + NET la_output[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 409630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[66] + NET la_output[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 411060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[67] + NET la_output[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 411470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[68] + NET la_output[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 413310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[69] + NET la_output[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 413780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[6] + NET la_output[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 415150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[70] + NET la_output[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 416500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[71] + NET la_output[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 416990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[72] + NET la_output[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 418830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[73] + NET la_output[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 419220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[74] + NET la_output[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 420670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[75] + NET la_output[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 421940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[76] + NET la_output[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 422510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[77] + NET la_output[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 424350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[78] + NET la_output[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 424660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[79] + NET la_output[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 426190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[7] + NET la_output[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 427380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[80] + NET la_output[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 428030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[81] + NET la_output[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 429870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[82] + NET la_output[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 430100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[83] + NET la_output[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 431710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[84] + NET la_output[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 432820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[85] + NET la_output[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 433550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[86] + NET la_output[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 435390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[87] + NET la_output[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 435540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[88] + NET la_output[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 437230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[89] + NET la_output[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 438260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[8] + NET la_output[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 439070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[90] + NET la_output[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 440910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[91] + NET la_output[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 440980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[92] + NET la_output[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 442750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[93] + NET la_output[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 443700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[94] + NET la_output[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 444590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[95] + NET la_output[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 446420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[96] + NET la_output[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 446430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[97] + NET la_output[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 448270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[98] + NET la_output[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 449140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- la_output[99] + NET la_output[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 450110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- la_output[9] + NET la_output[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 451860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[0] + NET mask_rev[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 451950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[10] + NET mask_rev[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 453790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[11] + NET mask_rev[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 454580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[12] + NET mask_rev[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 455630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[13] + NET mask_rev[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 457300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[14] + NET mask_rev[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 457470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[15] + NET mask_rev[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 459310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[16] + NET mask_rev[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 460020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[17] + NET mask_rev[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 461150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[18] + NET mask_rev[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 462740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[19] + NET mask_rev[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 462990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[1] + NET mask_rev[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 464830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[20] + NET mask_rev[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 465460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[21] + NET mask_rev[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 466670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[22] + NET mask_rev[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 468180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[23] + NET mask_rev[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 468510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[24] + NET mask_rev[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 470350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[25] + NET mask_rev[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 470900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[26] + NET mask_rev[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 472190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[27] + NET mask_rev[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 473620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[28] + NET mask_rev[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 474030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[29] + NET mask_rev[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 475870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[2] + NET mask_rev[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 476340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[30] + NET mask_rev[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 477710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[31] + NET mask_rev[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 479060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[3] + NET mask_rev[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 479550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[4] + NET mask_rev[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 481390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[5] + NET mask_rev[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 481780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[6] + NET mask_rev[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 483230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[7] + NET mask_rev[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 484500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[8] + NET mask_rev[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 485070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[9] + NET mask_rev[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 486910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[0] + NET mgmt_addr[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 487220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[1] + NET mgmt_addr[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 488750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[2] + NET mgmt_addr[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 489940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[3] + NET mgmt_addr[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 490590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[4] + NET mgmt_addr[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 492430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[5] + NET mgmt_addr[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 492660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr[6] + NET mgmt_addr[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 494270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr[7] + NET mgmt_addr[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 495380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_addr_ro[0] + NET mgmt_addr_ro[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 3910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[1] + NET mgmt_addr_ro[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 5750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[2] + NET mgmt_addr_ro[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 7590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[3] + NET mgmt_addr_ro[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[4] + NET mgmt_addr_ro[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 11270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[5] + NET mgmt_addr_ro[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[6] + NET mgmt_addr_ro[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_addr_ro[7] + NET mgmt_addr_ro[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 16790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_ena[0] + NET mgmt_ena[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 496110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_ena[1] + NET mgmt_ena[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_ena_ro + NET mgmt_ena_ro + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 18630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[0] + NET mgmt_in_data[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 498100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_in_data[10] + NET mgmt_in_data[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 20470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[11] + NET mgmt_in_data[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 22310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[12] + NET mgmt_in_data[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 24150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[13] + NET mgmt_in_data[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 25990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[14] + NET mgmt_in_data[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 27830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[15] + NET mgmt_in_data[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 29670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[16] + NET mgmt_in_data[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 31510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[17] + NET mgmt_in_data[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 33350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[18] + NET mgmt_in_data[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 35190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[19] + NET mgmt_in_data[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[1] + NET mgmt_in_data[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 499790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[20] + NET mgmt_in_data[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 38870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[21] + NET mgmt_in_data[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 40710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[22] + NET mgmt_in_data[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 42550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[23] + NET mgmt_in_data[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 44390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[24] + NET mgmt_in_data[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 46230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[25] + NET mgmt_in_data[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 48070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[26] + NET mgmt_in_data[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 49910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[27] + NET mgmt_in_data[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 51750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[28] + NET mgmt_in_data[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 53590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[29] + NET mgmt_in_data[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 55430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[2] + NET mgmt_in_data[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 57270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[30] + NET mgmt_in_data[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 59110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[31] + NET mgmt_in_data[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 60950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[32] + NET mgmt_in_data[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 62790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[33] + NET mgmt_in_data[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 64630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[34] + NET mgmt_in_data[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 66470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[35] + NET mgmt_in_data[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 68310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[36] + NET mgmt_in_data[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 70150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[37] + NET mgmt_in_data[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 71990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[3] + NET mgmt_in_data[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 73830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[4] + NET mgmt_in_data[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 75670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[5] + NET mgmt_in_data[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 77510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[6] + NET mgmt_in_data[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 79350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[7] + NET mgmt_in_data[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 81190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[8] + NET mgmt_in_data[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 83030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_in_data[9] + NET mgmt_in_data[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 84870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[0] + NET mgmt_out_data[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 86710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[10] + NET mgmt_out_data[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 500820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[11] + NET mgmt_out_data[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 501630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[12] + NET mgmt_out_data[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 503470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[13] + NET mgmt_out_data[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 503540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[14] + NET mgmt_out_data[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 505310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[15] + NET mgmt_out_data[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 506260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[16] + NET mgmt_out_data[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 507150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[17] + NET mgmt_out_data[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 508980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[18] + NET mgmt_out_data[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 508990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[19] + NET mgmt_out_data[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 510830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[1] + NET mgmt_out_data[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 88550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[20] + NET mgmt_out_data[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 511700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[21] + NET mgmt_out_data[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 512670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[22] + NET mgmt_out_data[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 514420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[23] + NET mgmt_out_data[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 514510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[24] + NET mgmt_out_data[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 516350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[25] + NET mgmt_out_data[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 517140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[26] + NET mgmt_out_data[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 518190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[27] + NET mgmt_out_data[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 519860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[28] + NET mgmt_out_data[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 520030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[29] + NET mgmt_out_data[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 521870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[2] + NET mgmt_out_data[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 522580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[30] + NET mgmt_out_data[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 523710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[31] + NET mgmt_out_data[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 525300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[32] + NET mgmt_out_data[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 525550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[33] + NET mgmt_out_data[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 527390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[34] + NET mgmt_out_data[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 528020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[35] + NET mgmt_out_data[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 529230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[36] + NET mgmt_out_data[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 530740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[37] + NET mgmt_out_data[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 531070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[3] + NET mgmt_out_data[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 532910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[4] + NET mgmt_out_data[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 533460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[5] + NET mgmt_out_data[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 534750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[6] + NET mgmt_out_data[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 536180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_out_data[7] + NET mgmt_out_data[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 536590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[8] + NET mgmt_out_data[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 538430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_out_data[9] + NET mgmt_out_data[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 538900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[0] + NET mgmt_rdata[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 540270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[10] + NET mgmt_rdata[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 541620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[11] + NET mgmt_rdata[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 542110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[12] + NET mgmt_rdata[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 543950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[13] + NET mgmt_rdata[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 544340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[14] + NET mgmt_rdata[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 545790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[15] + NET mgmt_rdata[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 547060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[16] + NET mgmt_rdata[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 547630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[17] + NET mgmt_rdata[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 549470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[18] + NET mgmt_rdata[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 549780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[19] + NET mgmt_rdata[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 551310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[1] + NET mgmt_rdata[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 552500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[20] + NET mgmt_rdata[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 553150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[21] + NET mgmt_rdata[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 554990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[22] + NET mgmt_rdata[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 555220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[23] + NET mgmt_rdata[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 556830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[24] + NET mgmt_rdata[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 557940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[25] + NET mgmt_rdata[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 558670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[26] + NET mgmt_rdata[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 560510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[27] + NET mgmt_rdata[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 560660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[28] + NET mgmt_rdata[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 562350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[29] + NET mgmt_rdata[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 563380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[2] + NET mgmt_rdata[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 564190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[30] + NET mgmt_rdata[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 566030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[31] + NET mgmt_rdata[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 566100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[32] + NET mgmt_rdata[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 567870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[33] + NET mgmt_rdata[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 568820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[34] + NET mgmt_rdata[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 569710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[35] + NET mgmt_rdata[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 571540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[36] + NET mgmt_rdata[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 571550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[37] + NET mgmt_rdata[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 573390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[38] + NET mgmt_rdata[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 574260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[39] + NET mgmt_rdata[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 575230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[3] + NET mgmt_rdata[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 576980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[40] + NET mgmt_rdata[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 577070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[41] + NET mgmt_rdata[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 578910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[42] + NET mgmt_rdata[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 579700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[43] + NET mgmt_rdata[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 580750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[44] + NET mgmt_rdata[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 582420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[45] + NET mgmt_rdata[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 582590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[46] + NET mgmt_rdata[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 584430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[47] + NET mgmt_rdata[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 585140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[48] + NET mgmt_rdata[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 586270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[49] + NET mgmt_rdata[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 587860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[4] + NET mgmt_rdata[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 588110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[50] + NET mgmt_rdata[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 589950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[51] + NET mgmt_rdata[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 590580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[52] + NET mgmt_rdata[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 591790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[53] + NET mgmt_rdata[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 593300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[54] + NET mgmt_rdata[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 593630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[55] + NET mgmt_rdata[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 595470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[56] + NET mgmt_rdata[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 596020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[57] + NET mgmt_rdata[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 597310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[58] + NET mgmt_rdata[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 598740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[59] + NET mgmt_rdata[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 599150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[5] + NET mgmt_rdata[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 600990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[60] + NET mgmt_rdata[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 601460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[61] + NET mgmt_rdata[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 602830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[62] + NET mgmt_rdata[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 604180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[63] + NET mgmt_rdata[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 604670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[6] + NET mgmt_rdata[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 606510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[7] + NET mgmt_rdata[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 606900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata[8] + NET mgmt_rdata[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 608350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata[9] + NET mgmt_rdata[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 609620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_rdata_ro[0] + NET mgmt_rdata_ro[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 90390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[10] + NET mgmt_rdata_ro[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 92230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[11] + NET mgmt_rdata_ro[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 94070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[12] + NET mgmt_rdata_ro[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 95910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[13] + NET mgmt_rdata_ro[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 97750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[14] + NET mgmt_rdata_ro[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 99590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[15] + NET mgmt_rdata_ro[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 101430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[16] + NET mgmt_rdata_ro[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 103270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[17] + NET mgmt_rdata_ro[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 105110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[18] + NET mgmt_rdata_ro[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 106950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[19] + NET mgmt_rdata_ro[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 108790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[1] + NET mgmt_rdata_ro[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 110630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[20] + NET mgmt_rdata_ro[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 112470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[21] + NET mgmt_rdata_ro[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 114310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[22] + NET mgmt_rdata_ro[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 116150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[23] + NET mgmt_rdata_ro[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 117990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[24] + NET mgmt_rdata_ro[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 119830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[25] + NET mgmt_rdata_ro[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 121670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[26] + NET mgmt_rdata_ro[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 123510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[27] + NET mgmt_rdata_ro[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 125350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[28] + NET mgmt_rdata_ro[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 127190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[29] + NET mgmt_rdata_ro[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 129030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[2] + NET mgmt_rdata_ro[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 130870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[30] + NET mgmt_rdata_ro[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 132710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[31] + NET mgmt_rdata_ro[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 134550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[3] + NET mgmt_rdata_ro[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 136390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[4] + NET mgmt_rdata_ro[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 138230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[5] + NET mgmt_rdata_ro[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[6] + NET mgmt_rdata_ro[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 141910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[7] + NET mgmt_rdata_ro[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 143750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[8] + NET mgmt_rdata_ro[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 145590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_rdata_ro[9] + NET mgmt_rdata_ro[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 147430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[0] + NET mgmt_wdata[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 610190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[10] + NET mgmt_wdata[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 612030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[11] + NET mgmt_wdata[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 612340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[12] + NET mgmt_wdata[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 613870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[13] + NET mgmt_wdata[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 615060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[14] + NET mgmt_wdata[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 615710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[15] + NET mgmt_wdata[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 617550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[16] + NET mgmt_wdata[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 617780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[17] + NET mgmt_wdata[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 619390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[18] + NET mgmt_wdata[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 620500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[19] + NET mgmt_wdata[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 621230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[1] + NET mgmt_wdata[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 623070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[20] + NET mgmt_wdata[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 623220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[21] + NET mgmt_wdata[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 624910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[22] + NET mgmt_wdata[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 625940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[23] + NET mgmt_wdata[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 626750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[24] + NET mgmt_wdata[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 628590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[25] + NET mgmt_wdata[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 628660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[26] + NET mgmt_wdata[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 630430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[27] + NET mgmt_wdata[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 631380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[28] + NET mgmt_wdata[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 632270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[29] + NET mgmt_wdata[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 634100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[2] + NET mgmt_wdata[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 634110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[30] + NET mgmt_wdata[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 635950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[31] + NET mgmt_wdata[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 636820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[3] + NET mgmt_wdata[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 637790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[4] + NET mgmt_wdata[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 639540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[5] + NET mgmt_wdata[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 639630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[6] + NET mgmt_wdata[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 641470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[7] + NET mgmt_wdata[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 642260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wdata[8] + NET mgmt_wdata[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 643310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wdata[9] + NET mgmt_wdata[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 644980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen[0] + NET mgmt_wen[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 645150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen[1] + NET mgmt_wen[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 646990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[0] + NET mgmt_wen_mask[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 647700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[1] + NET mgmt_wen_mask[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 648830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[2] + NET mgmt_wen_mask[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 650420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[3] + NET mgmt_wen_mask[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 650670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[4] + NET mgmt_wen_mask[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 652510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[5] + NET mgmt_wen_mask[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 653140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mgmt_wen_mask[6] + NET mgmt_wen_mask[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 654350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mgmt_wen_mask[7] + NET mgmt_wen_mask[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 655860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj2_vcc_pwrgood + NET mprj2_vcc_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 656190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj2_vdd_pwrgood + NET mprj2_vdd_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 658030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_ack_i + NET mprj_ack_i + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 658580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[0] + NET mprj_adr_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 659870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[10] + NET mprj_adr_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 661300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[11] + NET mprj_adr_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 661710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[12] + NET mprj_adr_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 663550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[13] + NET mprj_adr_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 664020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[14] + NET mprj_adr_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 665390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[15] + NET mprj_adr_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 666740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[16] + NET mprj_adr_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 667230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[17] + NET mprj_adr_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 669070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[18] + NET mprj_adr_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 669460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[19] + NET mprj_adr_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 670910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[1] + NET mprj_adr_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 672180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[20] + NET mprj_adr_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 672750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[21] + NET mprj_adr_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 674590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[22] + NET mprj_adr_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 674900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[23] + NET mprj_adr_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 676430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[24] + NET mprj_adr_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 677620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[25] + NET mprj_adr_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 678270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[26] + NET mprj_adr_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 680110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[27] + NET mprj_adr_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 680340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[28] + NET mprj_adr_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 681950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[29] + NET mprj_adr_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 683060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[2] + NET mprj_adr_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 683790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[30] + NET mprj_adr_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 685630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[31] + NET mprj_adr_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 685780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[3] + NET mprj_adr_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 687470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[4] + NET mprj_adr_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 688500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[5] + NET mprj_adr_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 689310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[6] + NET mprj_adr_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 691150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[7] + NET mprj_adr_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 691220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_adr_o[8] + NET mprj_adr_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 692990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_adr_o[9] + NET mprj_adr_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 693940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_cyc_o + NET mprj_cyc_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 694830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[0] + NET mprj_dat_i[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 696660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[10] + NET mprj_dat_i[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 696670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[11] + NET mprj_dat_i[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 698510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[12] + NET mprj_dat_i[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 699380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[13] + NET mprj_dat_i[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 700350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[14] + NET mprj_dat_i[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 702100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[15] + NET mprj_dat_i[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 702190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[16] + NET mprj_dat_i[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[17] + NET mprj_dat_i[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 704820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[18] + NET mprj_dat_i[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 705870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[19] + NET mprj_dat_i[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 707540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[1] + NET mprj_dat_i[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 707710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[20] + NET mprj_dat_i[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 709550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[21] + NET mprj_dat_i[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 710260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[22] + NET mprj_dat_i[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 711390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[23] + NET mprj_dat_i[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 712980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[24] + NET mprj_dat_i[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 713230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[25] + NET mprj_dat_i[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 715070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[26] + NET mprj_dat_i[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 715700 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[27] + NET mprj_dat_i[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 716910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[28] + NET mprj_dat_i[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 718420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[29] + NET mprj_dat_i[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 718750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[2] + NET mprj_dat_i[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 720590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[30] + NET mprj_dat_i[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 721140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[31] + NET mprj_dat_i[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 722430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[3] + NET mprj_dat_i[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 723860 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[4] + NET mprj_dat_i[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 724270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[5] + NET mprj_dat_i[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 726110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[6] + NET mprj_dat_i[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 726580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[7] + NET mprj_dat_i[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 727950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_i[8] + NET mprj_dat_i[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 729300 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_i[9] + NET mprj_dat_i[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 729790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[0] + NET mprj_dat_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 731630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[10] + NET mprj_dat_o[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 732020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[11] + NET mprj_dat_o[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 733470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[12] + NET mprj_dat_o[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 734740 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[13] + NET mprj_dat_o[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 735310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[14] + NET mprj_dat_o[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 737150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[15] + NET mprj_dat_o[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 737460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[16] + NET mprj_dat_o[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[17] + NET mprj_dat_o[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 740180 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[18] + NET mprj_dat_o[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 740830 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[19] + NET mprj_dat_o[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 742670 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[1] + NET mprj_dat_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 742900 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[20] + NET mprj_dat_o[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 744510 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[21] + NET mprj_dat_o[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 745620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[22] + NET mprj_dat_o[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 746350 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[23] + NET mprj_dat_o[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 748190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[24] + NET mprj_dat_o[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 748340 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[25] + NET mprj_dat_o[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 750030 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[26] + NET mprj_dat_o[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 751060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[27] + NET mprj_dat_o[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 751870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[28] + NET mprj_dat_o[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 753710 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[29] + NET mprj_dat_o[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 753780 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[2] + NET mprj_dat_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 755550 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[30] + NET mprj_dat_o[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 756500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[31] + NET mprj_dat_o[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 757390 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[3] + NET mprj_dat_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 759220 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[4] + NET mprj_dat_o[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 759230 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[5] + NET mprj_dat_o[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 761070 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[6] + NET mprj_dat_o[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 761940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[7] + NET mprj_dat_o[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 762910 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_dat_o[8] + NET mprj_dat_o[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 764660 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_dat_o[9] + NET mprj_dat_o[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 764750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_io_loader_clock + NET mprj_io_loader_clock + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 766590 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_io_loader_data + NET mprj_io_loader_data + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 767380 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_io_loader_resetn + NET mprj_io_loader_resetn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 768430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[0] + NET mprj_sel_o[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 770100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_sel_o[1] + NET mprj_sel_o[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 770270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[2] + NET mprj_sel_o[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 772110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_sel_o[3] + NET mprj_sel_o[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 772820 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_stb_o + NET mprj_stb_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 773950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_vcc_pwrgood + NET mprj_vcc_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 775540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mprj_vdd_pwrgood + NET mprj_vdd_pwrgood + DIRECTION INPUT + USE SIGNAL + PLACED ( 775790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mprj_we_o + NET mprj_we_o + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 777630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- porb + NET porb + DIRECTION INPUT + USE SIGNAL + PLACED ( 2000 778260 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- pwr_ctrl_out[0] + NET pwr_ctrl_out[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 149270 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[1] + NET pwr_ctrl_out[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 151110 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[2] + NET pwr_ctrl_out[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 152950 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- pwr_ctrl_out[3] + NET pwr_ctrl_out[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 154790 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- resetb + NET resetb + DIRECTION INPUT + USE SIGNAL + PLACED ( 779470 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- sdo_out + NET sdo_out + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 780980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- sdo_outenb + NET sdo_outenb + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 781310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- user_clk + NET user_clk + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 783150 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
END PINS