blob: 4965d333a2880535b495ca689f27c07f4ab38ee0 [file] [log] [blame]
OpenSTA 2.2.0 7662c12482 Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Error: cannot open '/.sta'.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 111807 module digital_pll not found. Creating black box for pll.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 111844 module DFFRAM not found. Creating black box for \soc.soc_mem.mem.SRAM .
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 112926 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_1004.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 207467 module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_27.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/synthesis/mgmt_core.synthesis_preroute.v, line 207505 module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_288.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139612 instance ANTENNA_soc.soc_mem.mem.SRAM_A[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139741 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139914 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139916 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139918 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139918 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139991 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139991 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 139995 net ANTENNA_soc.soc_mem.mem.SRAM_A[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140006 instance ANTENNA_soc.soc_mem.mem.SRAM_A[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140024 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140125 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140127 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140204 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140204 net ANTENNA_soc.soc_mem.mem.SRAM_A[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140263 instance ANTENNA_soc.soc_mem.mem.SRAM_A[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140335 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140498 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140500 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140519 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140601 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140601 net ANTENNA_soc.soc_mem.mem.SRAM_A[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140648 instance ANTENNA_soc.soc_mem.mem.SRAM_A[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140747 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140782 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140876 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140876 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140877 net ANTENNA_soc.soc_mem.mem.SRAM_A[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 140947 instance ANTENNA_soc.soc_mem.mem.SRAM_A[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141025 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141088 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141137 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141137 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141138 net ANTENNA_soc.soc_mem.mem.SRAM_A[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141179 instance ANTENNA_soc.soc_mem.mem.SRAM_A[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141257 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141287 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141370 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141370 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141371 net ANTENNA_soc.soc_mem.mem.SRAM_A[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141440 instance ANTENNA_soc.soc_mem.mem.SRAM_A[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141538 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141607 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141609 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141665 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141665 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141666 net ANTENNA_soc.soc_mem.mem.SRAM_A[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141696 instance ANTENNA_soc.soc_mem.mem.SRAM_A[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141776 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141811 soc.soc_mem.mem.SRAM not connected to net mgmt_addr[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141824 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141889 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141891 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 141891 net ANTENNA_soc.soc_mem.mem.SRAM_A[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154076 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154134 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154181 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154236 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154239 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154241 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[0].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154314 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154314 net ANTENNA_soc.soc_mem.mem.SRAM_Di[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154338 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[10]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154369 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[10].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154433 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154480 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[10].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154482 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154484 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[10].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154556 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154556 net ANTENNA_soc.soc_mem.mem.SRAM_Di[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154597 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[11]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154709 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154751 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[11].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154865 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154865 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154866 net ANTENNA_soc.soc_mem.mem.SRAM_Di[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 154932 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[12]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155083 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155155 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[12].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155276 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155276 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155277 net ANTENNA_soc.soc_mem.mem.SRAM_Di[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155461 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[14]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155533 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155688 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[14].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155690 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155783 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155783 net ANTENNA_soc.soc_mem.mem.SRAM_Di[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155890 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[16]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155955 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 155986 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[16].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156046 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156046 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156047 net ANTENNA_soc.soc_mem.mem.SRAM_Di[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156086 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[17]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156133 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[17].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156204 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156258 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[17].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156261 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[17].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156350 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156350 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156351 net ANTENNA_soc.soc_mem.mem.SRAM_Di[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156388 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[18]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156523 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156633 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[18].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156635 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156706 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156706 net ANTENNA_soc.soc_mem.mem.SRAM_Di[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156721 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[19]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156836 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156884 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[19].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156980 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156980 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 156981 net ANTENNA_soc.soc_mem.mem.SRAM_Di[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157029 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157172 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157223 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157362 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157365 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157367 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[1].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157481 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157481 net ANTENNA_soc.soc_mem.mem.SRAM_Di[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157615 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[22]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157708 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157763 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[22].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157833 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157833 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157834 net ANTENNA_soc.soc_mem.mem.SRAM_Di[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157853 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[23]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157930 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 157955 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[23].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158030 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158030 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158031 net ANTENNA_soc.soc_mem.mem.SRAM_Di[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158087 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[24]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158178 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158224 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[24].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158302 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158302 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158303 net ANTENNA_soc.soc_mem.mem.SRAM_Di[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158352 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[25]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158447 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158482 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[25].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158484 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158574 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158574 net ANTENNA_soc.soc_mem.mem.SRAM_Di[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158623 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[26]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158734 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158770 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[26].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158878 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158878 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158879 net ANTENNA_soc.soc_mem.mem.SRAM_Di[26] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 158940 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[27]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159046 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159086 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[27].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159183 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159183 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159184 net ANTENNA_soc.soc_mem.mem.SRAM_Di[27] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159232 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[28]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159334 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159381 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[28].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159468 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159468 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159469 net ANTENNA_soc.soc_mem.mem.SRAM_Di[28] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159521 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[29]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159618 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159667 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[29].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159746 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159746 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159747 net ANTENNA_soc.soc_mem.mem.SRAM_Di[29] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159782 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 159943 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160080 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[2].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160164 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160164 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160165 net ANTENNA_soc.soc_mem.mem.SRAM_Di[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160333 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160417 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160482 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160484 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[3].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160529 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160529 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160532 net ANTENNA_soc.soc_mem.mem.SRAM_Di[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160544 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160619 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160651 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[4].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160718 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160718 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160719 net ANTENNA_soc.soc_mem.mem.SRAM_Di[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160760 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160853 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160891 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[5].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160977 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160977 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 160978 net ANTENNA_soc.soc_mem.mem.SRAM_Di[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161019 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161078 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161119 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161181 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161184 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161186 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161187 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161187 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[6].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161250 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161250 net ANTENNA_soc.soc_mem.mem.SRAM_Di[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161274 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161328 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161452 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161529 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161531 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161534 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[7].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161684 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161684 net ANTENNA_soc.soc_mem.mem.SRAM_Di[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161751 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[8]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161840 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161875 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[8].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161953 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161953 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 161954 net ANTENNA_soc.soc_mem.mem.SRAM_Di[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162016 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[9]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162066 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162123 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162183 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162186 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162188 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[9].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162268 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 162268 net ANTENNA_soc.soc_mem.mem.SRAM_Di[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172388 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[13]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172450 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[13].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172498 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172569 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[13].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172632 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172632 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172633 net ANTENNA_soc.soc_mem.mem.SRAM_Di[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172646 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[15]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172710 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172743 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[15].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172746 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172798 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172798 net ANTENNA_soc.soc_mem.mem.SRAM_Di[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172807 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[20]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172848 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172864 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[20].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172892 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172892 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172893 net ANTENNA_soc.soc_mem.mem.SRAM_Di[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172901 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[21]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 172977 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173005 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[21].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173070 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173070 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173071 net ANTENNA_soc.soc_mem.mem.SRAM_Di[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173099 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[30]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173129 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173142 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173144 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[30].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173163 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173163 net ANTENNA_soc.soc_mem.mem.SRAM_Di[30] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173170 instance ANTENNA_soc.soc_mem.mem.SRAM_Di[31]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173236 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173254 soc.soc_mem.mem.SRAM not connected to net mgmt_wdata[31].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173319 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173319 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 173320 net ANTENNA_soc.soc_mem.mem.SRAM_Di[31] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1732179 pll not connected to net clocking.divider.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1734222 pll not connected to net clocking.divider2.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1734354 pll not connected to net clocking.divider2.even_0.clk.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739327 instance ANTENNA_pll_div[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739355 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739393 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739393 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739394 net ANTENNA_pll_div[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739423 instance ANTENNA_pll_div[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739439 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739449 pll not connected to net housekeeping.pll_div\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739459 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739461 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739474 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739474 net ANTENNA_pll_div[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739482 instance ANTENNA_pll_div[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739496 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739509 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739512 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739522 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739522 net ANTENNA_pll_div[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739529 instance ANTENNA_pll_div[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739536 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739560 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739578 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739578 net ANTENNA_pll_div[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739593 instance ANTENNA_pll_div[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739617 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739624 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739628 pll not connected to net housekeeping.pll_div\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739645 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739645 net ANTENNA_pll_div[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739769 instance ANTENNA_pll_ext_trim[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739776 pll not connected to net housekeeping.pll_trim\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739784 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739793 pll not connected to net housekeeping.pll_trim\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739803 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739803 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739804 net ANTENNA_pll_ext_trim[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739822 instance ANTENNA_pll_ext_trim[10]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739834 pll not connected to net housekeeping.pll_trim\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739851 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739865 pll not connected to net housekeeping.pll_trim\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739890 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739890 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739891 net ANTENNA_pll_ext_trim[10] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739910 instance ANTENNA_pll_ext_trim[11]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739944 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739960 pll not connected to net housekeeping.pll_trim\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739987 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739987 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1739988 net ANTENNA_pll_ext_trim[11] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740003 instance ANTENNA_pll_ext_trim[12]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740012 pll not connected to net housekeeping.pll_trim\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740015 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740049 pll not connected to net housekeeping.pll_trim\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740053 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740053 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740054 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740055 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740078 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740078 net ANTENNA_pll_ext_trim[12] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740102 instance ANTENNA_pll_ext_trim[13]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740115 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740124 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740153 pll not connected to net housekeeping.pll_trim\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740160 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740163 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740185 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740185 net ANTENNA_pll_ext_trim[13] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740198 instance ANTENNA_pll_ext_trim[14]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740204 pll not connected to net housekeeping.pll_trim\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740219 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740224 pll not connected to net housekeeping.pll_trim\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740243 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740243 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740244 net ANTENNA_pll_ext_trim[14] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740256 instance ANTENNA_pll_ext_trim[15]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740272 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740282 pll not connected to net housekeeping.pll_trim\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740290 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740290 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740291 net ANTENNA_pll_ext_trim[15] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740308 instance ANTENNA_pll_ext_trim[16]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740316 pll not connected to net housekeeping.pll_trim\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740321 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740340 pll not connected to net housekeeping.pll_trim\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740346 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740346 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740347 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740348 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740368 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740368 net ANTENNA_pll_ext_trim[16] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740381 instance ANTENNA_pll_ext_trim[17]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740387 pll not connected to net housekeeping.pll_trim\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740398 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740404 pll not connected to net housekeeping.pll_trim\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740416 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740416 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740417 net ANTENNA_pll_ext_trim[17] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740431 instance ANTENNA_pll_ext_trim[18]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740437 pll not connected to net housekeeping.pll_trim\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740445 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740452 pll not connected to net housekeeping.pll_trim\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740458 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740460 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740460 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740462 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740470 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740470 net ANTENNA_pll_ext_trim[18] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740477 instance ANTENNA_pll_ext_trim[19]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740489 pll not connected to net housekeeping.pll_trim\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740490 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740504 pll not connected to net housekeeping.pll_trim\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740505 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740508 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740508 net ANTENNA_pll_ext_trim[19] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740521 instance ANTENNA_pll_ext_trim[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740533 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740542 pll not connected to net housekeeping.pll_trim\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740557 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740558 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740567 pll not connected to net housekeeping.pll_trim\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740573 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740573 net ANTENNA_pll_ext_trim[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740588 instance ANTENNA_pll_ext_trim[20]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740603 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740649 pll not connected to net housekeeping.pll_trim\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740652 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740684 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740684 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740685 net ANTENNA_pll_ext_trim[20] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740713 instance ANTENNA_pll_ext_trim[21]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740722 pll not connected to net housekeeping.pll_trim\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740741 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740753 pll not connected to net housekeeping.pll_trim\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740776 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740776 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740777 net ANTENNA_pll_ext_trim[21] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740800 instance ANTENNA_pll_ext_trim[22]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740808 pll not connected to net housekeeping.pll_trim\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740829 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740832 pll not connected to net housekeeping.pll_trim\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740845 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740863 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740863 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740865 net ANTENNA_pll_ext_trim[22] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740870 instance ANTENNA_pll_ext_trim[23]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740892 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740899 pll not connected to net housekeeping.pll_trim\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740915 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740915 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740916 net ANTENNA_pll_ext_trim[23] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740934 instance ANTENNA_pll_ext_trim[24]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740942 pll not connected to net housekeeping.pll_trim\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740958 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740963 pll not connected to net housekeeping.pll_trim\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740980 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740980 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740981 net ANTENNA_pll_ext_trim[24] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1740997 instance ANTENNA_pll_ext_trim[25]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741009 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741036 pll not connected to net housekeeping.pll_trim\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741040 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741041 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741064 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741064 net ANTENNA_pll_ext_trim[25] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741086 instance ANTENNA_pll_ext_trim[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741094 pll not connected to net housekeeping.pll_trim\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741110 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741120 pll not connected to net housekeeping.pll_trim\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741122 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741142 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741142 net ANTENNA_pll_ext_trim[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741159 instance ANTENNA_pll_ext_trim[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741178 pll not connected to net housekeeping.pll_trim\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741189 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741211 pll not connected to net housekeeping.pll_trim\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741224 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741224 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741225 net ANTENNA_pll_ext_trim[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741246 instance ANTENNA_pll_ext_trim[4]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741253 pll not connected to net housekeeping.pll_trim\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741270 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741279 pll not connected to net housekeeping.pll_trim\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741301 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741301 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741302 net ANTENNA_pll_ext_trim[4] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741323 instance ANTENNA_pll_ext_trim[5]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741355 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741371 pll not connected to net housekeeping.pll_trim\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741392 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741392 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741393 net ANTENNA_pll_ext_trim[5] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741416 instance ANTENNA_pll_ext_trim[6]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741426 pll not connected to net housekeeping.pll_trim\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741450 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741464 pll not connected to net housekeeping.pll_trim\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741491 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741491 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741492 net ANTENNA_pll_ext_trim[6] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741517 instance ANTENNA_pll_ext_trim[7]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741534 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741559 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741572 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741572 net ANTENNA_pll_ext_trim[7] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741578 instance ANTENNA_pll_ext_trim[8]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741585 pll not connected to net housekeeping.pll_trim\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741602 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741610 pll not connected to net housekeeping.pll_trim\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741628 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741628 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741629 net ANTENNA_pll_ext_trim[8] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741649 instance ANTENNA_pll_ext_trim[9]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741657 pll not connected to net housekeeping.pll_trim\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741681 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741691 pll not connected to net housekeeping.pll_trim\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741696 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741719 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1741719 net ANTENNA_pll_ext_trim[9] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904102 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904369 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[10\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904623 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[11\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904842 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[12\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904861 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904870 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[13\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904908 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[14\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904928 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904934 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[15\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904960 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[16\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1904988 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[17\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905012 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[18\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905033 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[19\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905064 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905105 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[20\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905131 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[21\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905148 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905154 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[22\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905180 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[23\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905208 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[24\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905234 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[25\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905258 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[26\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905286 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[27\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905432 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[28\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905907 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[29\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1905931 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1906375 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[30\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1906858 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[31\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1907860 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1908656 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[4\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1908735 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[5\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1908766 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[6\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1908798 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[7\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1908888 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[8\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1909096 soc.soc_mem.mem.SRAM not connected to net soc.intercon.wbs_dat_i\[9\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953857 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[0]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953877 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953890 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[0\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953901 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953901 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953902 net ANTENNA_soc.soc_mem.mem.SRAM_WE[0] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953910 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[1]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953928 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953934 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[1\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953947 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953947 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953948 net ANTENNA_soc.soc_mem.mem.SRAM_WE[1] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953957 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[2]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1953997 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954010 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[2\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954042 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954042 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954043 net ANTENNA_soc.soc_mem.mem.SRAM_WE[2] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954066 instance ANTENNA_soc.soc_mem.mem.SRAM_WE[3]:DIODE not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954093 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954114 soc.soc_mem.mem.SRAM not connected to net soc.soc_mem.mem.wen\[3\].
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954121 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954121 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
Warning: /project/openlane/mgmt_core/runs/mgmt_core/results/routing/mgmt_core.spef, line 1954122 net ANTENNA_soc.soc_mem.mem.SRAM_WE[3] not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 10.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 10.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]
tns 0.00
wns 0.00