blob: 81571f8e6b43b4fb311f36fd5e48eed89c7b3cd8 [file] [log] [blame]
OpenROAD 0.9.0 d03ebfc244
This program is licensed under the BSD-3 license. See the LICENSE file for details.
Components of this program may be licensed under more restrictive licenses which must be honored.
Error: cannot open '/.openroad'.
Notice 0: Reading LEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/merged_unpadded.lef
Notice 0: Created 13 technology layers
Notice 0: Created 25 technology vias
Notice 0: Created 440 library cells
Notice 0: Finished LEF file: /project/openlane/mgmt_core/runs/mgmt_core/tmp/merged_unpadded.lef
Notice 0:
Reading DEF file: /project/openlane/mgmt_core/runs/mgmt_core/results/floorplan/mgmt_core.floorplan.def
Notice 0: Design: mgmt_core
Notice 0: Created 794 pins.
Notice 0: Created 52746 components and 288652 component-terminals.
Notice 0: Created 35057 nets and 111794 connections.
Notice 0: Finished DEF file: /project/openlane/mgmt_core/runs/mgmt_core/results/floorplan/mgmt_core.floorplan.def
[INFO] DBU = 1000
[INFO] SiteSize = (460, 2720)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (2144060, 837760)
[INFO] NumInstances = 53294
[INFO] NumPlaceInstances = 34674
[INFO] NumFixedInstances = 18072
[INFO] NumDummyInstances = 548
[INFO] NumNets = 35057
[INFO] NumPins = 112588
[INFO] DieAreaLxLy = (0, 0)
[INFO] DieAreaUxUy = (2150000, 850000)
[INFO] CoreAreaLxLy = (5520, 10880)
[INFO] CoreAreaUxUy = (2144060, 837760)
[INFO] CoreArea = 1768315955200
[INFO] NonPlaceInstsArea = 483338208800
[INFO] PlaceInstsArea = 399627024000
[INFO] Util(%) = 31.099918
[INFO] StdInstsArea = 399627024000
[INFO] MacroInstsArea = 0
[InitialPlace] Iter: 1 CG Error: 0.0275233 HPWL: 814874390
[InitialPlace] Iter: 2 CG Error: 0.0232505 HPWL: 1328627097
[InitialPlace] Iter: 3 CG Error: 0.00241207 HPWL: 1203977715
[InitialPlace] Iter: 4 CG Error: 0.00198911 HPWL: 1127487842
[InitialPlace] Iter: 5 CG Error: 0.00132173 HPWL: 1046446982
[InitialPlace] Iter: 6 CG Error: 0.00132922 HPWL: 988099298
[InitialPlace] Iter: 7 CG Error: 0.000571496 HPWL: 949080415
[InitialPlace] Iter: 8 CG Error: 0.000570429 HPWL: 921424515
[InitialPlace] Iter: 9 CG Error: 0.000579161 HPWL: 901623968
[InitialPlace] Iter: 10 CG Error: 0.000612784 HPWL: 884226648
[InitialPlace] Iter: 11 CG Error: 0.000655791 HPWL: 869042918
[InitialPlace] Iter: 12 CG Error: 0.000778408 HPWL: 853451635
[InitialPlace] Iter: 13 CG Error: 0.000675731 HPWL: 840569526
[InitialPlace] Iter: 14 CG Error: 0.00681985 HPWL: 829890243
[InitialPlace] Iter: 15 CG Error: 0.000453369 HPWL: 828890842
[InitialPlace] Iter: 16 CG Error: 0.000229572 HPWL: 805498947
[InitialPlace] Iter: 17 CG Error: 0.000155929 HPWL: 800288660
[InitialPlace] Iter: 18 CG Error: 0.000153406 HPWL: 798455809
[InitialPlace] Iter: 19 CG Error: 0.000115311 HPWL: 797035306
[InitialPlace] Iter: 20 CG Error: 6.35109e-05 HPWL: 796108934
[INFO] FillerInit: NumGCells = 42582
[INFO] FillerInit: NumGNets = 35057
[INFO] FillerInit: NumGPins = 112588
[INFO] TargetDensity = 0.380000
[INFO] AveragePlaceInstArea = 11525264
[INFO] IdealBinArea = 30329642
[INFO] IdealBinCnt = 58303
[INFO] TotalBinArea = 1768315955200
[INFO] BinCnt = (128, 128)
[INFO] BinSize = (16708, 6460)
[INFO] NumBins = 16384
[NesterovSolve] Iter: 1 overflow: 0.98658 HPWL: 539529604
[NesterovSolve] Iter: 10 overflow: 0.954426 HPWL: 727484346
[NesterovSolve] Iter: 20 overflow: 0.945508 HPWL: 780648538
[NesterovSolve] Iter: 30 overflow: 0.943354 HPWL: 790287796
[NesterovSolve] Iter: 40 overflow: 0.942983 HPWL: 783863694
[NesterovSolve] Iter: 50 overflow: 0.942622 HPWL: 782160946
[NesterovSolve] Iter: 60 overflow: 0.942724 HPWL: 784974733
[NesterovSolve] Iter: 70 overflow: 0.942654 HPWL: 787098893
[NesterovSolve] Iter: 80 overflow: 0.943008 HPWL: 787024253
[NesterovSolve] Iter: 90 overflow: 0.943304 HPWL: 784508389
[NesterovSolve] Iter: 100 overflow: 0.943478 HPWL: 780826531
[NesterovSolve] Iter: 110 overflow: 0.943476 HPWL: 776639680
[NesterovSolve] Iter: 120 overflow: 0.943256 HPWL: 772133513
[NesterovSolve] Iter: 130 overflow: 0.942815 HPWL: 767271041
[NesterovSolve] Iter: 140 overflow: 0.942341 HPWL: 762394052
[NesterovSolve] Iter: 150 overflow: 0.942082 HPWL: 758493605
[NesterovSolve] Iter: 160 overflow: 0.94166 HPWL: 757453293
[NesterovSolve] Iter: 170 overflow: 0.940815 HPWL: 761898795
[NesterovSolve] Iter: 180 overflow: 0.939264 HPWL: 773219596
[NesterovSolve] Iter: 190 overflow: 0.937111 HPWL: 791450074
[NesterovSolve] Iter: 200 overflow: 0.933948 HPWL: 817259823
[NesterovSolve] Iter: 210 overflow: 0.929397 HPWL: 852262386
[NesterovSolve] Iter: 220 overflow: 0.921866 HPWL: 894761738
[NesterovSolve] Iter: 230 overflow: 0.909359 HPWL: 949350547
[NesterovSolve] Iter: 240 overflow: 0.891258 HPWL: 1015461018
[NesterovSolve] Iter: 250 overflow: 0.869092 HPWL: 1103747634
[NesterovSolve] Iter: 260 overflow: 0.842424 HPWL: 1234974230
[NesterovSolve] Iter: 270 overflow: 0.816472 HPWL: 1347165464
[NesterovSolve] Iter: 280 overflow: 0.792645 HPWL: 1435456487
[NesterovSolve] Iter: 290 overflow: 0.764631 HPWL: 1505309269
[NesterovSolve] Iter: 300 overflow: 0.735063 HPWL: 1557087395
[NesterovSolve] Iter: 310 overflow: 0.705117 HPWL: 1605878269
[NesterovSolve] Iter: 320 overflow: 0.669423 HPWL: 1690647893
[NesterovSolve] Iter: 330 overflow: 0.625961 HPWL: 1768724268
[NesterovSolve] Iter: 340 overflow: 0.58974 HPWL: 1807484063
[NesterovSolve] Iter: 350 overflow: 0.542485 HPWL: 1873006044
[NesterovSolve] Iter: 360 overflow: 0.494635 HPWL: 1987264267
[NesterovSolve] Iter: 370 overflow: 0.443122 HPWL: 2056598447
[NesterovSolve] Iter: 380 overflow: 0.390993 HPWL: 2138036092
[NesterovSolve] Iter: 390 overflow: 0.348607 HPWL: 2211292253
[NesterovSolve] Iter: 400 overflow: 0.310125 HPWL: 2243309681
[NesterovSolve] Iter: 410 overflow: 0.282036 HPWL: 2256487439
[NesterovSolve] Iter: 420 overflow: 0.253206 HPWL: 2272943809
[NesterovSolve] Iter: 430 overflow: 0.223659 HPWL: 2258994928
[NesterovSolve] Iter: 440 overflow: 0.19922 HPWL: 2263908518
[NesterovSolve] Iter: 450 overflow: 0.177041 HPWL: 2287989815
[NesterovSolve] Iter: 460 overflow: 0.153613 HPWL: 2307081472
[NesterovSolve] Iter: 470 overflow: 0.13383 HPWL: 2320484541
[NesterovSolve] Iter: 480 overflow: 0.114223 HPWL: 2329035856
[NesterovSolve] Finished with Overflow: 0.0991105
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 10.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 10.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]