blob: ae41a3e320f667a7110547936914682f26692704 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN mgmt_protect ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 2000000 50000 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 4323 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 4323 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 4323 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 4323 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 4323 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 4323 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 4323 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 4323 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 4323 BY 1 STEP 460 0 ;
ROW ROW_9 unithd 5520 35360 N DO 4323 BY 1 STEP 460 0 ;
TRACKS X 230 DO 4348 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 147 STEP 340 LAYER li1 ;
TRACKS X 170 DO 5882 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 147 STEP 340 LAYER met1 ;
TRACKS X 230 DO 4348 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 109 STEP 460 LAYER met2 ;
TRACKS X 340 DO 2941 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 74 STEP 680 LAYER met3 ;
TRACKS X 460 DO 2174 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 54 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 588 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 15 STEP 3400 LAYER met5 ;
COMPONENTS 612 ;
- _330_ sky130_fd_sc_hd__inv_2 ;
- _331_ sky130_fd_sc_hd__inv_2 ;
- _332_ sky130_fd_sc_hd__inv_2 ;
- _333_ sky130_fd_sc_hd__inv_2 ;
- _334_ sky130_fd_sc_hd__inv_2 ;
- _335_ sky130_fd_sc_hd__inv_2 ;
- _336_ sky130_fd_sc_hd__inv_2 ;
- _337_ sky130_fd_sc_hd__inv_2 ;
- _338_ sky130_fd_sc_hd__inv_2 ;
- _339_ sky130_fd_sc_hd__inv_2 ;
- _340_ sky130_fd_sc_hd__inv_2 ;
- _341_ sky130_fd_sc_hd__inv_2 ;
- _342_ sky130_fd_sc_hd__inv_2 ;
- _343_ sky130_fd_sc_hd__inv_2 ;
- _344_ sky130_fd_sc_hd__inv_2 ;
- _345_ sky130_fd_sc_hd__inv_2 ;
- _346_ sky130_fd_sc_hd__inv_2 ;
- _347_ sky130_fd_sc_hd__inv_2 ;
- _348_ sky130_fd_sc_hd__inv_2 ;
- _349_ sky130_fd_sc_hd__inv_2 ;
- _350_ sky130_fd_sc_hd__inv_2 ;
- _351_ sky130_fd_sc_hd__inv_2 ;
- _352_ sky130_fd_sc_hd__inv_2 ;
- _353_ sky130_fd_sc_hd__inv_2 ;
- _354_ sky130_fd_sc_hd__inv_2 ;
- _355_ sky130_fd_sc_hd__inv_2 ;
- _356_ sky130_fd_sc_hd__inv_2 ;
- _357_ sky130_fd_sc_hd__inv_2 ;
- _358_ sky130_fd_sc_hd__inv_2 ;
- _359_ sky130_fd_sc_hd__inv_2 ;
- _360_ sky130_fd_sc_hd__inv_2 ;
- _361_ sky130_fd_sc_hd__inv_2 ;
- _362_ sky130_fd_sc_hd__inv_2 ;
- _363_ sky130_fd_sc_hd__inv_2 ;
- _364_ sky130_fd_sc_hd__inv_2 ;
- _365_ sky130_fd_sc_hd__inv_2 ;
- _366_ sky130_fd_sc_hd__inv_2 ;
- _367_ sky130_fd_sc_hd__inv_2 ;
- _368_ sky130_fd_sc_hd__inv_2 ;
- _369_ sky130_fd_sc_hd__inv_2 ;
- _370_ sky130_fd_sc_hd__inv_2 ;
- _371_ sky130_fd_sc_hd__inv_2 ;
- _372_ sky130_fd_sc_hd__inv_2 ;
- _373_ sky130_fd_sc_hd__inv_2 ;
- _374_ sky130_fd_sc_hd__inv_2 ;
- _375_ sky130_fd_sc_hd__inv_2 ;
- _376_ sky130_fd_sc_hd__inv_2 ;
- _377_ sky130_fd_sc_hd__inv_2 ;
- _378_ sky130_fd_sc_hd__inv_2 ;
- _379_ sky130_fd_sc_hd__inv_2 ;
- _380_ sky130_fd_sc_hd__inv_2 ;
- _381_ sky130_fd_sc_hd__inv_2 ;
- _382_ sky130_fd_sc_hd__inv_2 ;
- _383_ sky130_fd_sc_hd__inv_2 ;
- _384_ sky130_fd_sc_hd__inv_2 ;
- _385_ sky130_fd_sc_hd__inv_2 ;
- _386_ sky130_fd_sc_hd__inv_2 ;
- _387_ sky130_fd_sc_hd__inv_2 ;
- _388_ sky130_fd_sc_hd__inv_2 ;
- _389_ sky130_fd_sc_hd__inv_2 ;
- _390_ sky130_fd_sc_hd__inv_2 ;
- _391_ sky130_fd_sc_hd__inv_2 ;
- _392_ sky130_fd_sc_hd__inv_2 ;
- _393_ sky130_fd_sc_hd__inv_2 ;
- _394_ sky130_fd_sc_hd__inv_2 ;
- _395_ sky130_fd_sc_hd__inv_2 ;
- _396_ sky130_fd_sc_hd__inv_2 ;
- _397_ sky130_fd_sc_hd__inv_2 ;
- _398_ sky130_fd_sc_hd__inv_2 ;
- _399_ sky130_fd_sc_hd__inv_2 ;
- _400_ sky130_fd_sc_hd__inv_2 ;
- _401_ sky130_fd_sc_hd__inv_2 ;
- _402_ sky130_fd_sc_hd__inv_2 ;
- _403_ sky130_fd_sc_hd__inv_2 ;
- _404_ sky130_fd_sc_hd__inv_2 ;
- _405_ sky130_fd_sc_hd__inv_2 ;
- _406_ sky130_fd_sc_hd__inv_2 ;
- _407_ sky130_fd_sc_hd__inv_2 ;
- _408_ sky130_fd_sc_hd__inv_2 ;
- _409_ sky130_fd_sc_hd__inv_2 ;
- _410_ sky130_fd_sc_hd__inv_2 ;
- _411_ sky130_fd_sc_hd__inv_2 ;
- _412_ sky130_fd_sc_hd__inv_2 ;
- _413_ sky130_fd_sc_hd__inv_2 ;
- _414_ sky130_fd_sc_hd__inv_2 ;
- _415_ sky130_fd_sc_hd__inv_2 ;
- _416_ sky130_fd_sc_hd__inv_2 ;
- _417_ sky130_fd_sc_hd__inv_2 ;
- _418_ sky130_fd_sc_hd__inv_2 ;
- _419_ sky130_fd_sc_hd__inv_2 ;
- _420_ sky130_fd_sc_hd__inv_2 ;
- _421_ sky130_fd_sc_hd__inv_2 ;
- _422_ sky130_fd_sc_hd__inv_2 ;
- _423_ sky130_fd_sc_hd__inv_2 ;
- _424_ sky130_fd_sc_hd__inv_2 ;
- _425_ sky130_fd_sc_hd__inv_2 ;
- _426_ sky130_fd_sc_hd__inv_2 ;
- _427_ sky130_fd_sc_hd__inv_2 ;
- _428_ sky130_fd_sc_hd__inv_2 ;
- _429_ sky130_fd_sc_hd__inv_2 ;
- _430_ sky130_fd_sc_hd__inv_2 ;
- _431_ sky130_fd_sc_hd__inv_2 ;
- _432_ sky130_fd_sc_hd__inv_2 ;
- _433_ sky130_fd_sc_hd__inv_2 ;
- _434_ sky130_fd_sc_hd__inv_2 ;
- _435_ sky130_fd_sc_hd__inv_2 ;
- _436_ sky130_fd_sc_hd__inv_2 ;
- _437_ sky130_fd_sc_hd__inv_2 ;
- _438_ sky130_fd_sc_hd__inv_2 ;
- _439_ sky130_fd_sc_hd__inv_2 ;
- _440_ sky130_fd_sc_hd__inv_2 ;
- _441_ sky130_fd_sc_hd__inv_2 ;
- _442_ sky130_fd_sc_hd__inv_2 ;
- _443_ sky130_fd_sc_hd__inv_2 ;
- _444_ sky130_fd_sc_hd__inv_2 ;
- _445_ sky130_fd_sc_hd__inv_2 ;
- _446_ sky130_fd_sc_hd__inv_2 ;
- _447_ sky130_fd_sc_hd__inv_2 ;
- _448_ sky130_fd_sc_hd__inv_2 ;
- _449_ sky130_fd_sc_hd__inv_2 ;
- _450_ sky130_fd_sc_hd__inv_2 ;
- _451_ sky130_fd_sc_hd__inv_2 ;
- _452_ sky130_fd_sc_hd__inv_2 ;
- _453_ sky130_fd_sc_hd__inv_2 ;
- _454_ sky130_fd_sc_hd__inv_2 ;
- _455_ sky130_fd_sc_hd__inv_2 ;
- _456_ sky130_fd_sc_hd__inv_2 ;
- _457_ sky130_fd_sc_hd__inv_2 ;
- _458_ sky130_fd_sc_hd__inv_2 ;
- _459_ sky130_fd_sc_hd__inv_2 ;
- _460_ sky130_fd_sc_hd__inv_2 ;
- _461_ sky130_fd_sc_hd__inv_2 ;
- _462_ sky130_fd_sc_hd__inv_2 ;
- _463_ sky130_fd_sc_hd__inv_2 ;
- _464_ sky130_fd_sc_hd__inv_2 ;
- _465_ sky130_fd_sc_hd__inv_2 ;
- _466_ sky130_fd_sc_hd__inv_2 ;
- _467_ sky130_fd_sc_hd__inv_2 ;
- _468_ sky130_fd_sc_hd__inv_2 ;
- _469_ sky130_fd_sc_hd__inv_2 ;
- _470_ sky130_fd_sc_hd__inv_2 ;
- _471_ sky130_fd_sc_hd__inv_2 ;
- _472_ sky130_fd_sc_hd__inv_2 ;
- _473_ sky130_fd_sc_hd__inv_2 ;
- _474_ sky130_fd_sc_hd__inv_2 ;
- _475_ sky130_fd_sc_hd__inv_2 ;
- _476_ sky130_fd_sc_hd__inv_2 ;
- _477_ sky130_fd_sc_hd__inv_2 ;
- _478_ sky130_fd_sc_hd__inv_2 ;
- _479_ sky130_fd_sc_hd__inv_2 ;
- _480_ sky130_fd_sc_hd__inv_2 ;
- _481_ sky130_fd_sc_hd__inv_2 ;
- _482_ sky130_fd_sc_hd__inv_2 ;
- _483_ sky130_fd_sc_hd__inv_2 ;
- _484_ sky130_fd_sc_hd__inv_2 ;
- _485_ sky130_fd_sc_hd__inv_2 ;
- _486_ sky130_fd_sc_hd__inv_2 ;
- _487_ sky130_fd_sc_hd__inv_2 ;
- _488_ sky130_fd_sc_hd__inv_2 ;
- _489_ sky130_fd_sc_hd__inv_2 ;
- _490_ sky130_fd_sc_hd__inv_2 ;
- _491_ sky130_fd_sc_hd__inv_2 ;
- _492_ sky130_fd_sc_hd__inv_2 ;
- _493_ sky130_fd_sc_hd__inv_2 ;
- _494_ sky130_fd_sc_hd__inv_2 ;
- _495_ sky130_fd_sc_hd__inv_2 ;
- _496_ sky130_fd_sc_hd__inv_2 ;
- _497_ sky130_fd_sc_hd__inv_2 ;
- _498_ sky130_fd_sc_hd__inv_2 ;
- _499_ sky130_fd_sc_hd__inv_2 ;
- _500_ sky130_fd_sc_hd__inv_2 ;
- _501_ sky130_fd_sc_hd__inv_2 ;
- _502_ sky130_fd_sc_hd__inv_2 ;
- _503_ sky130_fd_sc_hd__inv_2 ;
- _504_ sky130_fd_sc_hd__inv_2 ;
- _505_ sky130_fd_sc_hd__inv_2 ;
- _506_ sky130_fd_sc_hd__inv_2 ;
- _507_ sky130_fd_sc_hd__inv_2 ;
- _508_ sky130_fd_sc_hd__inv_2 ;
- _509_ sky130_fd_sc_hd__inv_2 ;
- _510_ sky130_fd_sc_hd__inv_2 ;
- _511_ sky130_fd_sc_hd__inv_2 ;
- _512_ sky130_fd_sc_hd__inv_2 ;
- _513_ sky130_fd_sc_hd__inv_2 ;
- _514_ sky130_fd_sc_hd__inv_2 ;
- _515_ sky130_fd_sc_hd__inv_2 ;
- _516_ sky130_fd_sc_hd__inv_2 ;
- _517_ sky130_fd_sc_hd__inv_2 ;
- _518_ sky130_fd_sc_hd__inv_2 ;
- _519_ sky130_fd_sc_hd__inv_2 ;
- _520_ sky130_fd_sc_hd__inv_2 ;
- _521_ sky130_fd_sc_hd__inv_2 ;
- _522_ sky130_fd_sc_hd__inv_2 ;
- _523_ sky130_fd_sc_hd__inv_2 ;
- _524_ sky130_fd_sc_hd__inv_2 ;
- _525_ sky130_fd_sc_hd__inv_2 ;
- _526_ sky130_fd_sc_hd__inv_2 ;
- _527_ sky130_fd_sc_hd__inv_2 ;
- _528_ sky130_fd_sc_hd__inv_2 ;
- _529_ sky130_fd_sc_hd__inv_2 ;
- _530_ sky130_fd_sc_hd__inv_2 ;
- _531_ sky130_fd_sc_hd__inv_2 ;
- _532_ sky130_fd_sc_hd__inv_2 ;
- _533_ sky130_fd_sc_hd__inv_2 ;
- _534_ sky130_fd_sc_hd__inv_2 ;
- _535_ sky130_fd_sc_hd__inv_2 ;
- _536_ sky130_fd_sc_hd__inv_2 ;
- _537_ sky130_fd_sc_hd__inv_2 ;
- _538_ sky130_fd_sc_hd__inv_2 ;
- _539_ sky130_fd_sc_hd__inv_2 ;
- _540_ sky130_fd_sc_hd__inv_2 ;
- _541_ sky130_fd_sc_hd__inv_2 ;
- _542_ sky130_fd_sc_hd__inv_2 ;
- _543_ sky130_fd_sc_hd__inv_2 ;
- _544_ sky130_fd_sc_hd__inv_2 ;
- _545_ sky130_fd_sc_hd__inv_2 ;
- _546_ sky130_fd_sc_hd__inv_2 ;
- _547_ sky130_fd_sc_hd__inv_2 ;
- _548_ sky130_fd_sc_hd__inv_2 ;
- _549_ sky130_fd_sc_hd__inv_2 ;
- _550_ sky130_fd_sc_hd__inv_2 ;
- _551_ sky130_fd_sc_hd__inv_2 ;
- _552_ sky130_fd_sc_hd__inv_2 ;
- _553_ sky130_fd_sc_hd__inv_2 ;
- _554_ sky130_fd_sc_hd__inv_2 ;
- _555_ sky130_fd_sc_hd__inv_2 ;
- _556_ sky130_fd_sc_hd__inv_2 ;
- _557_ sky130_fd_sc_hd__inv_2 ;
- _558_ sky130_fd_sc_hd__inv_2 ;
- _559_ sky130_fd_sc_hd__inv_2 ;
- _560_ sky130_fd_sc_hd__inv_2 ;
- _561_ sky130_fd_sc_hd__inv_2 ;
- _562_ sky130_fd_sc_hd__inv_2 ;
- _563_ sky130_fd_sc_hd__inv_2 ;
- _564_ sky130_fd_sc_hd__inv_2 ;
- _565_ sky130_fd_sc_hd__inv_2 ;
- _566_ sky130_fd_sc_hd__inv_2 ;
- _567_ sky130_fd_sc_hd__inv_2 ;
- _568_ sky130_fd_sc_hd__inv_2 ;
- _569_ sky130_fd_sc_hd__inv_2 ;
- _570_ sky130_fd_sc_hd__inv_2 ;
- _571_ sky130_fd_sc_hd__inv_2 ;
- _572_ sky130_fd_sc_hd__inv_2 ;
- _573_ sky130_fd_sc_hd__inv_2 ;
- _574_ sky130_fd_sc_hd__inv_2 ;
- _575_ sky130_fd_sc_hd__inv_2 ;
- _576_ sky130_fd_sc_hd__inv_2 ;
- _577_ sky130_fd_sc_hd__inv_2 ;
- _578_ sky130_fd_sc_hd__inv_2 ;
- _579_ sky130_fd_sc_hd__inv_2 ;
- _580_ sky130_fd_sc_hd__inv_2 ;
- _581_ sky130_fd_sc_hd__inv_2 ;
- _582_ sky130_fd_sc_hd__inv_2 ;
- _583_ sky130_fd_sc_hd__inv_2 ;
- _584_ sky130_fd_sc_hd__inv_2 ;
- _585_ sky130_fd_sc_hd__inv_2 ;
- _586_ sky130_fd_sc_hd__inv_2 ;
- _587_ sky130_fd_sc_hd__inv_2 ;
- _588_ sky130_fd_sc_hd__inv_2 ;
- _589_ sky130_fd_sc_hd__inv_2 ;
- _590_ sky130_fd_sc_hd__inv_2 ;
- _591_ sky130_fd_sc_hd__inv_2 ;
- _592_ sky130_fd_sc_hd__inv_2 ;
- _593_ sky130_fd_sc_hd__inv_2 ;
- _594_ sky130_fd_sc_hd__inv_2 ;
- _595_ sky130_fd_sc_hd__inv_2 ;
- _596_ sky130_fd_sc_hd__inv_2 ;
- _597_ sky130_fd_sc_hd__inv_2 ;
- _598_ sky130_fd_sc_hd__inv_2 ;
- _599_ sky130_fd_sc_hd__inv_2 ;
- _600_ sky130_fd_sc_hd__inv_2 ;
- _601_ sky130_fd_sc_hd__inv_2 ;
- _602_ sky130_fd_sc_hd__inv_2 ;
- _603_ sky130_fd_sc_hd__inv_2 ;
- _604_ sky130_fd_sc_hd__inv_2 ;
- _605_ sky130_fd_sc_hd__inv_2 ;
- _606_ sky130_fd_sc_hd__inv_2 ;
- _607_ sky130_fd_sc_hd__inv_2 ;
- _608_ sky130_fd_sc_hd__inv_2 ;
- _609_ sky130_fd_sc_hd__inv_2 ;
- _610_ sky130_fd_sc_hd__inv_2 ;
- _611_ sky130_fd_sc_hd__inv_2 ;
- _612_ sky130_fd_sc_hd__inv_2 ;
- _613_ sky130_fd_sc_hd__inv_2 ;
- _614_ sky130_fd_sc_hd__inv_2 ;
- _615_ sky130_fd_sc_hd__inv_2 ;
- _616_ sky130_fd_sc_hd__inv_2 ;
- _617_ sky130_fd_sc_hd__inv_2 ;
- _618_ sky130_fd_sc_hd__inv_2 ;
- _619_ sky130_fd_sc_hd__inv_2 ;
- _620_ sky130_fd_sc_hd__inv_2 ;
- _621_ sky130_fd_sc_hd__inv_2 ;
- _622_ sky130_fd_sc_hd__inv_2 ;
- _623_ sky130_fd_sc_hd__inv_2 ;
- _624_ sky130_fd_sc_hd__inv_2 ;
- _625_ sky130_fd_sc_hd__inv_2 ;
- _626_ sky130_fd_sc_hd__inv_2 ;
- _627_ sky130_fd_sc_hd__inv_2 ;
- _628_ sky130_fd_sc_hd__inv_2 ;
- _629_ sky130_fd_sc_hd__inv_2 ;
- _630_ sky130_fd_sc_hd__inv_2 ;
- _631_ sky130_fd_sc_hd__inv_2 ;
- _632_ sky130_fd_sc_hd__inv_2 ;
- _633_ sky130_fd_sc_hd__inv_2 ;
- _634_ sky130_fd_sc_hd__inv_2 ;
- _635_ sky130_fd_sc_hd__inv_2 ;
- _636_ sky130_fd_sc_hd__inv_2 ;
- _637_ sky130_fd_sc_hd__inv_2 ;
- _638_ sky130_fd_sc_hd__inv_2 ;
- _639_ sky130_fd_sc_hd__inv_2 ;
- _640_ sky130_fd_sc_hd__inv_2 ;
- _641_ sky130_fd_sc_hd__inv_2 ;
- _642_ sky130_fd_sc_hd__inv_2 ;
- _643_ sky130_fd_sc_hd__inv_2 ;
- _644_ sky130_fd_sc_hd__inv_2 ;
- _645_ sky130_fd_sc_hd__inv_2 ;
- _646_ sky130_fd_sc_hd__inv_2 ;
- _647_ sky130_fd_sc_hd__inv_2 ;
- _648_ sky130_fd_sc_hd__inv_2 ;
- _649_ sky130_fd_sc_hd__inv_2 ;
- _650_ sky130_fd_sc_hd__inv_2 ;
- _651_ sky130_fd_sc_hd__inv_2 ;
- _652_ sky130_fd_sc_hd__inv_2 ;
- _653_ sky130_fd_sc_hd__inv_2 ;
- _654_ sky130_fd_sc_hd__inv_2 ;
- _655_ sky130_fd_sc_hd__inv_2 ;
- _656_ sky130_fd_sc_hd__inv_2 ;
- _657_ sky130_fd_sc_hd__inv_2 ;
- _658_ sky130_fd_sc_hd__inv_2 ;
- _659_ sky130_fd_sc_hd__inv_2 ;
- _660_ sky130_fd_sc_hd__conb_1 ;
- la_buf\[0\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[100\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[101\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[102\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[103\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[104\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[105\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[106\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[107\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[108\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[109\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[10\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[110\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[111\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[112\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[113\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[114\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[115\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[116\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[117\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[118\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[119\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[11\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[120\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[121\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[122\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[123\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[124\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[125\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[126\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[127\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[12\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[13\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[14\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[15\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[16\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[17\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[18\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[19\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[1\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[20\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[21\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[22\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[23\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[24\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[25\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[26\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[27\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[28\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[29\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[2\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[30\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[31\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[32\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[33\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[34\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[35\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[36\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[37\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[38\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[39\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[3\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[40\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[41\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[42\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[43\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[44\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[45\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[46\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[47\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[48\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[49\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[4\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[50\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[51\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[52\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[53\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[54\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[55\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[56\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[57\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[58\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[59\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[5\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[60\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[61\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[62\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[63\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[64\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[65\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[66\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[67\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[68\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[69\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[6\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[70\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[71\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[72\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[73\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[74\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[75\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[76\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[77\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[78\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[79\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[7\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[80\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[81\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[82\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[83\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[84\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[85\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[86\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[87\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[88\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[89\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[8\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[90\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[91\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[92\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[93\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[94\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[95\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[96\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[97\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[98\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[99\] sky130_fd_sc_hd__einvp_8 ;
- la_buf\[9\] sky130_fd_sc_hd__einvp_8 ;
- mprj2_logic_high sky130_fd_sc_hd__conb_1 ;
- mprj2_pwrgood sky130_fd_sc_hd__buf_8 ;
- mprj2_vdd_pwrgood sky130_fd_sc_hd__buf_8 ;
- mprj_adr_buf\[0\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[10\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[11\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[12\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[13\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[14\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[15\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[16\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[17\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[18\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[19\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[1\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[20\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[21\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[22\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[23\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[24\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[25\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[26\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[27\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[28\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[29\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[2\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[30\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[31\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[3\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[4\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[5\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[6\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[7\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[8\] sky130_fd_sc_hd__einvp_8 ;
- mprj_adr_buf\[9\] sky130_fd_sc_hd__einvp_8 ;
- mprj_clk2_buf sky130_fd_sc_hd__einvp_8 ;
- mprj_clk_buf sky130_fd_sc_hd__einvp_8 ;
- mprj_cyc_buf sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[0\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[10\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[11\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[12\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[13\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[14\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[15\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[16\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[17\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[18\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[19\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[1\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[20\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[21\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[22\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[23\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[24\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[25\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[26\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[27\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[28\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[29\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[2\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[30\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[31\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[3\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[4\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[5\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[6\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[7\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[8\] sky130_fd_sc_hd__einvp_8 ;
- mprj_dat_buf\[9\] sky130_fd_sc_hd__einvp_8 ;
- mprj_logic_high\[0\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[10\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[11\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[12\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[13\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[14\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[15\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[16\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[17\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[18\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[19\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[1\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[20\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[21\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[22\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[23\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[24\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[25\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[26\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[27\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[28\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[29\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[2\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[30\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[31\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[32\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[33\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[34\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[35\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[36\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[37\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[38\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[39\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[3\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[40\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[41\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[42\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[43\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[44\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[45\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[46\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[47\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[48\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[49\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[4\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[50\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[51\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[52\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[53\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[54\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[55\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[56\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[57\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[58\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[59\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[5\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[60\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[61\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[62\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[63\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[64\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[65\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[66\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[67\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[68\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[69\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[6\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[70\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[71\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[72\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[73\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[74\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[7\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[8\] sky130_fd_sc_hd__conb_1 ;
- mprj_logic_high\[9\] sky130_fd_sc_hd__conb_1 ;
- mprj_pwrgood sky130_fd_sc_hd__buf_8 ;
- mprj_rstn_buf sky130_fd_sc_hd__einvp_8 ;
- mprj_sel_buf\[0\] sky130_fd_sc_hd__einvp_8 ;
- mprj_sel_buf\[1\] sky130_fd_sc_hd__einvp_8 ;
- mprj_sel_buf\[2\] sky130_fd_sc_hd__einvp_8 ;
- mprj_sel_buf\[3\] sky130_fd_sc_hd__einvp_8 ;
- mprj_stb_buf sky130_fd_sc_hd__einvp_8 ;
- mprj_we_buf sky130_fd_sc_hd__einvp_8 ;
END COMPONENTS
PINS 546 ;
- caravel_clk + NET caravel_clk + DIRECTION INPUT + USE SIGNAL + PLACED ( 1998800 3060 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- caravel_clk2 + NET caravel_clk2 + DIRECTION INPUT + USE SIGNAL + PLACED ( 1998800 9180 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- caravel_rstn + NET caravel_rstn + DIRECTION INPUT + USE SIGNAL + PLACED ( 1998800 15300 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- la_data_in_mprj[0] + NET la_data_in_mprj[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 313030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[100] + NET la_data_in_mprj[100] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 751870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[101] + NET la_data_in_mprj[101] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 756470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[102] + NET la_data_in_mprj[102] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 760610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[103] + NET la_data_in_mprj[103] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 765210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[104] + NET la_data_in_mprj[104] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 769350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[105] + NET la_data_in_mprj[105] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 773950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[106] + NET la_data_in_mprj[106] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 778090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[107] + NET la_data_in_mprj[107] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 782690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[108] + NET la_data_in_mprj[108] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 786830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[109] + NET la_data_in_mprj[109] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 791430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[10] + NET la_data_in_mprj[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 357190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[110] + NET la_data_in_mprj[110] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 795570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[111] + NET la_data_in_mprj[111] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 800170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[112] + NET la_data_in_mprj[112] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 804310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[113] + NET la_data_in_mprj[113] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 808910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[114] + NET la_data_in_mprj[114] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 813050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[115] + NET la_data_in_mprj[115] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 817650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[116] + NET la_data_in_mprj[116] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 822250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[117] + NET la_data_in_mprj[117] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 826390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[118] + NET la_data_in_mprj[118] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 830990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[119] + NET la_data_in_mprj[119] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 835130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[11] + NET la_data_in_mprj[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 361330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[120] + NET la_data_in_mprj[120] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 839730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[121] + NET la_data_in_mprj[121] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 843870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[122] + NET la_data_in_mprj[122] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 848470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[123] + NET la_data_in_mprj[123] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 852610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[124] + NET la_data_in_mprj[124] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 857210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[125] + NET la_data_in_mprj[125] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 861350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[126] + NET la_data_in_mprj[126] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 865950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[127] + NET la_data_in_mprj[127] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 870090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[12] + NET la_data_in_mprj[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 365930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[13] + NET la_data_in_mprj[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 370070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[14] + NET la_data_in_mprj[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 374670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[15] + NET la_data_in_mprj[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 379270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[16] + NET la_data_in_mprj[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 383410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[17] + NET la_data_in_mprj[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 388010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[18] + NET la_data_in_mprj[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 392150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[19] + NET la_data_in_mprj[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 396750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[1] + NET la_data_in_mprj[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 317630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[20] + NET la_data_in_mprj[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 400890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[21] + NET la_data_in_mprj[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 405490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[22] + NET la_data_in_mprj[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 409630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[23] + NET la_data_in_mprj[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 414230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[24] + NET la_data_in_mprj[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 418370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[25] + NET la_data_in_mprj[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 422970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[26] + NET la_data_in_mprj[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 427110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[27] + NET la_data_in_mprj[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 431710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[28] + NET la_data_in_mprj[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 435850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[29] + NET la_data_in_mprj[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 440450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[2] + NET la_data_in_mprj[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 322230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[30] + NET la_data_in_mprj[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 445050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[31] + NET la_data_in_mprj[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 449190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[32] + NET la_data_in_mprj[32] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 453790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[33] + NET la_data_in_mprj[33] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 457930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[34] + NET la_data_in_mprj[34] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 462530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[35] + NET la_data_in_mprj[35] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 466670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[36] + NET la_data_in_mprj[36] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 471270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[37] + NET la_data_in_mprj[37] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 475410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[38] + NET la_data_in_mprj[38] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 480010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[39] + NET la_data_in_mprj[39] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 484150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[3] + NET la_data_in_mprj[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 326370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[40] + NET la_data_in_mprj[40] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 488750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[41] + NET la_data_in_mprj[41] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 492890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[42] + NET la_data_in_mprj[42] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 497490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[43] + NET la_data_in_mprj[43] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 502090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[44] + NET la_data_in_mprj[44] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 506230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[45] + NET la_data_in_mprj[45] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 510830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[46] + NET la_data_in_mprj[46] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 514970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[47] + NET la_data_in_mprj[47] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 519570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[48] + NET la_data_in_mprj[48] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 523710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[49] + NET la_data_in_mprj[49] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 528310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[4] + NET la_data_in_mprj[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 330970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[50] + NET la_data_in_mprj[50] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 532450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[51] + NET la_data_in_mprj[51] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 537050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[52] + NET la_data_in_mprj[52] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 541190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[53] + NET la_data_in_mprj[53] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 545790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[54] + NET la_data_in_mprj[54] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 549930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[55] + NET la_data_in_mprj[55] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 554530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[56] + NET la_data_in_mprj[56] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 558670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[57] + NET la_data_in_mprj[57] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 563270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[58] + NET la_data_in_mprj[58] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 567870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[59] + NET la_data_in_mprj[59] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 572010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[5] + NET la_data_in_mprj[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 335110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[60] + NET la_data_in_mprj[60] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 576610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[61] + NET la_data_in_mprj[61] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 580750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[62] + NET la_data_in_mprj[62] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 585350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[63] + NET la_data_in_mprj[63] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 589490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[64] + NET la_data_in_mprj[64] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 594090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[65] + NET la_data_in_mprj[65] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 598230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[66] + NET la_data_in_mprj[66] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 602830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[67] + NET la_data_in_mprj[67] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 606970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[68] + NET la_data_in_mprj[68] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 611570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[69] + NET la_data_in_mprj[69] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 615710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[6] + NET la_data_in_mprj[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 339710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[70] + NET la_data_in_mprj[70] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 620310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[71] + NET la_data_in_mprj[71] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 624450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[72] + NET la_data_in_mprj[72] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 629050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[73] + NET la_data_in_mprj[73] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 633650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[74] + NET la_data_in_mprj[74] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 637790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[75] + NET la_data_in_mprj[75] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 642390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[76] + NET la_data_in_mprj[76] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 646530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[77] + NET la_data_in_mprj[77] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 651130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[78] + NET la_data_in_mprj[78] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 655270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[79] + NET la_data_in_mprj[79] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 659870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[7] + NET la_data_in_mprj[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 343850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[80] + NET la_data_in_mprj[80] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 664010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[81] + NET la_data_in_mprj[81] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 668610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[82] + NET la_data_in_mprj[82] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 672750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[83] + NET la_data_in_mprj[83] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 677350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[84] + NET la_data_in_mprj[84] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 681490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[85] + NET la_data_in_mprj[85] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 686090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[86] + NET la_data_in_mprj[86] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 690690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[87] + NET la_data_in_mprj[87] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 694830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[88] + NET la_data_in_mprj[88] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 699430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[89] + NET la_data_in_mprj[89] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 703570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[8] + NET la_data_in_mprj[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 348450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[90] + NET la_data_in_mprj[90] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 708170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[91] + NET la_data_in_mprj[91] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 712310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[92] + NET la_data_in_mprj[92] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 716910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[93] + NET la_data_in_mprj[93] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 721050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[94] + NET la_data_in_mprj[94] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 725650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[95] + NET la_data_in_mprj[95] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 729790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[96] + NET la_data_in_mprj[96] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 734390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[97] + NET la_data_in_mprj[97] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 738530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[98] + NET la_data_in_mprj[98] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 743130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[99] + NET la_data_in_mprj[99] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 747270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_data_in_mprj[9] + NET la_data_in_mprj[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 352590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[0] + NET la_oen[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 874690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[100] + NET la_oen[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1313070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[101] + NET la_oen[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1317670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[102] + NET la_oen[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1322270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[103] + NET la_oen[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1326410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[104] + NET la_oen[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1331010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[105] + NET la_oen[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1335150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[106] + NET la_oen[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1339750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[107] + NET la_oen[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1343890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[108] + NET la_oen[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1348490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[109] + NET la_oen[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1352630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[10] + NET la_oen[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 918390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[110] + NET la_oen[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1357230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[111] + NET la_oen[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1361370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[112] + NET la_oen[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1365970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[113] + NET la_oen[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1370110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[114] + NET la_oen[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1374710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[115] + NET la_oen[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1379310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[116] + NET la_oen[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1383450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[117] + NET la_oen[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1388050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[118] + NET la_oen[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1392190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[119] + NET la_oen[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1396790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[11] + NET la_oen[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 922990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[120] + NET la_oen[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1400930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[121] + NET la_oen[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1405530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[122] + NET la_oen[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1409670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[123] + NET la_oen[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1414270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[124] + NET la_oen[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1418410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[125] + NET la_oen[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1423010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[126] + NET la_oen[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1427150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[127] + NET la_oen[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1431750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[12] + NET la_oen[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 927130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[13] + NET la_oen[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 931730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[14] + NET la_oen[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 935870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[15] + NET la_oen[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 940470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[16] + NET la_oen[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 945070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[17] + NET la_oen[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 949210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[18] + NET la_oen[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 953810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[19] + NET la_oen[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 957950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[1] + NET la_oen[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 879290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[20] + NET la_oen[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 962550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[21] + NET la_oen[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 966690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[22] + NET la_oen[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 971290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[23] + NET la_oen[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 975430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[24] + NET la_oen[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 980030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[25] + NET la_oen[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 984170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[26] + NET la_oen[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 988770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[27] + NET la_oen[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 992910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[28] + NET la_oen[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 997510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[29] + NET la_oen[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1002110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[2] + NET la_oen[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 883430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[30] + NET la_oen[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1006250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[31] + NET la_oen[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1010850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[32] + NET la_oen[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1014990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[33] + NET la_oen[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1019590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[34] + NET la_oen[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1023730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[35] + NET la_oen[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1028330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[36] + NET la_oen[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1032470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[37] + NET la_oen[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[38] + NET la_oen[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1041210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[39] + NET la_oen[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1045810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[3] + NET la_oen[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 888030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[40] + NET la_oen[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1049950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[41] + NET la_oen[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1054550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[42] + NET la_oen[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1058690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[43] + NET la_oen[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1063290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[44] + NET la_oen[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1067890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[45] + NET la_oen[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1072030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[46] + NET la_oen[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1076630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[47] + NET la_oen[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1080770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[48] + NET la_oen[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1085370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[49] + NET la_oen[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1089510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[4] + NET la_oen[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 892170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[50] + NET la_oen[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1094110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[51] + NET la_oen[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1098250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[52] + NET la_oen[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1102850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[53] + NET la_oen[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1106990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[54] + NET la_oen[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1111590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[55] + NET la_oen[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1115730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[56] + NET la_oen[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1120330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[57] + NET la_oen[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1124470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[58] + NET la_oen[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1129070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[59] + NET la_oen[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1133670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[5] + NET la_oen[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 896770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[60] + NET la_oen[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1137810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[61] + NET la_oen[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1142410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[62] + NET la_oen[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1146550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[63] + NET la_oen[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1151150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[64] + NET la_oen[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1155290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[65] + NET la_oen[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1159890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[66] + NET la_oen[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1164030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[67] + NET la_oen[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1168630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[68] + NET la_oen[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1172770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[69] + NET la_oen[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1177370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[6] + NET la_oen[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 900910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[70] + NET la_oen[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1181510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[71] + NET la_oen[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1186110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[72] + NET la_oen[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1190710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[73] + NET la_oen[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1194850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[74] + NET la_oen[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1199450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[75] + NET la_oen[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1203590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[76] + NET la_oen[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1208190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[77] + NET la_oen[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1212330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[78] + NET la_oen[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1216930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[79] + NET la_oen[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1221070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[7] + NET la_oen[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 905510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[80] + NET la_oen[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1225670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[81] + NET la_oen[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1229810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[82] + NET la_oen[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1234410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[83] + NET la_oen[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1238550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[84] + NET la_oen[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1243150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[85] + NET la_oen[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1247290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[86] + NET la_oen[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1251890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[87] + NET la_oen[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1256490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[88] + NET la_oen[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1260630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[89] + NET la_oen[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1265230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[8] + NET la_oen[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 909650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[90] + NET la_oen[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1269370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[91] + NET la_oen[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1273970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[92] + NET la_oen[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1278110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[93] + NET la_oen[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1282710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[94] + NET la_oen[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1286850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[95] + NET la_oen[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1291450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[96] + NET la_oen[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1295590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[97] + NET la_oen[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1300190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[98] + NET la_oen[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1304330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[99] + NET la_oen[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1308930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_oen[9] + NET la_oen[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 914250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[0] + NET la_output_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1435890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[100] + NET la_output_core[100] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1874730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[101] + NET la_output_core[101] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1879330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[102] + NET la_output_core[102] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1883470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[103] + NET la_output_core[103] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1888070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[104] + NET la_output_core[104] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1892210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[105] + NET la_output_core[105] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1896810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[106] + NET la_output_core[106] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1900950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[107] + NET la_output_core[107] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1905550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[108] + NET la_output_core[108] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1909690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[109] + NET la_output_core[109] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1914290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[10] + NET la_output_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1480050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[110] + NET la_output_core[110] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1918430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[111] + NET la_output_core[111] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1923030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[112] + NET la_output_core[112] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1927170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[113] + NET la_output_core[113] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1931770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[114] + NET la_output_core[114] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1935910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[115] + NET la_output_core[115] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1940510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[116] + NET la_output_core[116] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1945110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[117] + NET la_output_core[117] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1949250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[118] + NET la_output_core[118] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1953850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[119] + NET la_output_core[119] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1957990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[11] + NET la_output_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1484190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[120] + NET la_output_core[120] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1962590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[121] + NET la_output_core[121] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1966730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[122] + NET la_output_core[122] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1971330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[123] + NET la_output_core[123] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1975470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[124] + NET la_output_core[124] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1980070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[125] + NET la_output_core[125] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1984210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[126] + NET la_output_core[126] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1988810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[127] + NET la_output_core[127] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1992950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[12] + NET la_output_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1488790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[13] + NET la_output_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1492930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[14] + NET la_output_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1497530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[15] + NET la_output_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1502130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[16] + NET la_output_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1506270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[17] + NET la_output_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1510870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[18] + NET la_output_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1515010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[19] + NET la_output_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1519610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[1] + NET la_output_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1440490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[20] + NET la_output_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1523750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[21] + NET la_output_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1528350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[22] + NET la_output_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1532490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[23] + NET la_output_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1537090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[24] + NET la_output_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1541230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[25] + NET la_output_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1545830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[26] + NET la_output_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1549970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[27] + NET la_output_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1554570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[28] + NET la_output_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1558710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[29] + NET la_output_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1563310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[2] + NET la_output_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1445090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[30] + NET la_output_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1567910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[31] + NET la_output_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1572050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[32] + NET la_output_core[32] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1576650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[33] + NET la_output_core[33] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1580790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[34] + NET la_output_core[34] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1585390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[35] + NET la_output_core[35] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1589530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[36] + NET la_output_core[36] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1594130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[37] + NET la_output_core[37] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1598270 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[38] + NET la_output_core[38] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1602870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[39] + NET la_output_core[39] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1607010 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[3] + NET la_output_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1449230 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[40] + NET la_output_core[40] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1611610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[41] + NET la_output_core[41] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1615750 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[42] + NET la_output_core[42] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1620350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[43] + NET la_output_core[43] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1624490 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[44] + NET la_output_core[44] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1629090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[45] + NET la_output_core[45] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1633690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[46] + NET la_output_core[46] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1637830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[47] + NET la_output_core[47] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1642430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[48] + NET la_output_core[48] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1646570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[49] + NET la_output_core[49] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1651170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[4] + NET la_output_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1453830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[50] + NET la_output_core[50] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1655310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[51] + NET la_output_core[51] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1659910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[52] + NET la_output_core[52] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1664050 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[53] + NET la_output_core[53] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1668650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[54] + NET la_output_core[54] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1672790 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[55] + NET la_output_core[55] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1677390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[56] + NET la_output_core[56] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1681530 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[57] + NET la_output_core[57] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1686130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[58] + NET la_output_core[58] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1690730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[59] + NET la_output_core[59] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1694870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[5] + NET la_output_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1457970 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[60] + NET la_output_core[60] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1699470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[61] + NET la_output_core[61] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1703610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[62] + NET la_output_core[62] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1708210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[63] + NET la_output_core[63] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1712350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[64] + NET la_output_core[64] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1716950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[65] + NET la_output_core[65] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1721090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[66] + NET la_output_core[66] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1725690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[67] + NET la_output_core[67] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1729830 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[68] + NET la_output_core[68] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1734430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[69] + NET la_output_core[69] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1738570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[6] + NET la_output_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1462570 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[70] + NET la_output_core[70] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1743170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[71] + NET la_output_core[71] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1747310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[72] + NET la_output_core[72] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1751910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[73] + NET la_output_core[73] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[74] + NET la_output_core[74] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1760650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[75] + NET la_output_core[75] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1765250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[76] + NET la_output_core[76] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1769390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[77] + NET la_output_core[77] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1773990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[78] + NET la_output_core[78] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1778130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[79] + NET la_output_core[79] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1782730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[7] + NET la_output_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1466710 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[80] + NET la_output_core[80] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1786870 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[81] + NET la_output_core[81] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1791470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[82] + NET la_output_core[82] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1795610 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[83] + NET la_output_core[83] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1800210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[84] + NET la_output_core[84] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1804350 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[85] + NET la_output_core[85] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1808950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[86] + NET la_output_core[86] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1813090 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[87] + NET la_output_core[87] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1817690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[88] + NET la_output_core[88] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1822290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[89] + NET la_output_core[89] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1826430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[8] + NET la_output_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1471310 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[90] + NET la_output_core[90] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1831030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[91] + NET la_output_core[91] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1835170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[92] + NET la_output_core[92] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1839770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[93] + NET la_output_core[93] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1843910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[94] + NET la_output_core[94] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1848510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[95] + NET la_output_core[95] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1852650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[96] + NET la_output_core[96] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1857250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[97] + NET la_output_core[97] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1861390 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[98] + NET la_output_core[98] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1865990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[99] + NET la_output_core[99] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1870130 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- la_output_core[9] + NET la_output_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1475450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[0] + NET mprj_adr_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 12650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[10] + NET mprj_adr_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 268870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[11] + NET mprj_adr_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 294630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[12] + NET mprj_adr_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 319930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[13] + NET mprj_adr_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 345690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[14] + NET mprj_adr_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 371450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[15] + NET mprj_adr_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 397210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[16] + NET mprj_adr_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 422510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[17] + NET mprj_adr_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 448270 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[18] + NET mprj_adr_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 474030 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[19] + NET mprj_adr_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 499790 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[1] + NET mprj_adr_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 37950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[20] + NET mprj_adr_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 525090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[21] + NET mprj_adr_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 550850 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[22] + NET mprj_adr_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 576610 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[23] + NET mprj_adr_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 602370 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[24] + NET mprj_adr_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 627670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[25] + NET mprj_adr_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 653430 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[26] + NET mprj_adr_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 679190 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[27] + NET mprj_adr_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 704950 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[28] + NET mprj_adr_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 730250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[29] + NET mprj_adr_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 756010 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[2] + NET mprj_adr_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 63710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[30] + NET mprj_adr_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 781770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[31] + NET mprj_adr_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 807530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[3] + NET mprj_adr_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 89470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[4] + NET mprj_adr_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 114770 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[5] + NET mprj_adr_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 140530 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[6] + NET mprj_adr_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 166290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[7] + NET mprj_adr_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 192050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[8] + NET mprj_adr_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 217350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_core[9] + NET mprj_adr_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 243110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[0] + NET mprj_adr_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[10] + NET mprj_adr_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 45770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[11] + NET mprj_adr_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 49910 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[12] + NET mprj_adr_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 54510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[13] + NET mprj_adr_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 58650 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[14] + NET mprj_adr_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 63250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[15] + NET mprj_adr_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 67850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[16] + NET mprj_adr_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 71990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[17] + NET mprj_adr_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 76590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[18] + NET mprj_adr_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 80730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[19] + NET mprj_adr_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 85330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[1] + NET mprj_adr_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[20] + NET mprj_adr_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 89470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[21] + NET mprj_adr_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 94070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[22] + NET mprj_adr_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 98210 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[23] + NET mprj_adr_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 102810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[24] + NET mprj_adr_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 106950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[25] + NET mprj_adr_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 111550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[26] + NET mprj_adr_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 115690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[27] + NET mprj_adr_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 120290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[28] + NET mprj_adr_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 124430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[29] + NET mprj_adr_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 129030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[2] + NET mprj_adr_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 10810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[30] + NET mprj_adr_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 133630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[31] + NET mprj_adr_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 137770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[3] + NET mprj_adr_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 14950 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[4] + NET mprj_adr_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[5] + NET mprj_adr_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23690 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[6] + NET mprj_adr_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[7] + NET mprj_adr_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[8] + NET mprj_adr_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 37030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_adr_o_user[9] + NET mprj_adr_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 41170 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_cyc_o_core + NET mprj_cyc_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 832830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_cyc_o_user + NET mprj_cyc_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 142370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[0] + NET mprj_dat_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 858590 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[10] + NET mprj_dat_o_core[10] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1114810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[11] + NET mprj_dat_o_core[11] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1140570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[12] + NET mprj_dat_o_core[12] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1166330 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[13] + NET mprj_dat_o_core[13] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1192090 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[14] + NET mprj_dat_o_core[14] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1217390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[15] + NET mprj_dat_o_core[15] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1243150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[16] + NET mprj_dat_o_core[16] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1268910 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[17] + NET mprj_dat_o_core[17] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1294670 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[18] + NET mprj_dat_o_core[18] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1319970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[19] + NET mprj_dat_o_core[19] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1345730 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[1] + NET mprj_dat_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 884350 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[20] + NET mprj_dat_o_core[20] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1371490 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[21] + NET mprj_dat_o_core[21] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1397250 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[22] + NET mprj_dat_o_core[22] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1422550 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[23] + NET mprj_dat_o_core[23] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1448310 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[24] + NET mprj_dat_o_core[24] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1474070 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[25] + NET mprj_dat_o_core[25] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1499830 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[26] + NET mprj_dat_o_core[26] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1525130 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[27] + NET mprj_dat_o_core[27] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1550890 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[28] + NET mprj_dat_o_core[28] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1576650 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[29] + NET mprj_dat_o_core[29] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1602410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[2] + NET mprj_dat_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 910110 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[30] + NET mprj_dat_o_core[30] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1627710 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[31] + NET mprj_dat_o_core[31] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1653470 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[3] + NET mprj_dat_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 935410 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[4] + NET mprj_dat_o_core[4] + DIRECTION INPUT + USE SIGNAL + PLACED ( 961170 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[5] + NET mprj_dat_o_core[5] + DIRECTION INPUT + USE SIGNAL + PLACED ( 986930 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[6] + NET mprj_dat_o_core[6] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1012690 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[7] + NET mprj_dat_o_core[7] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1037990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[8] + NET mprj_dat_o_core[8] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1063750 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_core[9] + NET mprj_dat_o_core[9] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1089510 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[0] + NET mprj_dat_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 146510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[10] + NET mprj_dat_o_user[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 190670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[11] + NET mprj_dat_o_user[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 194810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[12] + NET mprj_dat_o_user[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 199410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[13] + NET mprj_dat_o_user[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 203550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[14] + NET mprj_dat_o_user[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 208150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[15] + NET mprj_dat_o_user[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 212290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[16] + NET mprj_dat_o_user[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 216890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[17] + NET mprj_dat_o_user[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 221030 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[18] + NET mprj_dat_o_user[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 225630 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[19] + NET mprj_dat_o_user[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 229770 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[1] + NET mprj_dat_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 151110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[20] + NET mprj_dat_o_user[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 234370 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[21] + NET mprj_dat_o_user[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 238510 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[22] + NET mprj_dat_o_user[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 243110 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[23] + NET mprj_dat_o_user[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 247250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[24] + NET mprj_dat_o_user[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 251850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[25] + NET mprj_dat_o_user[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 256450 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[26] + NET mprj_dat_o_user[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 260590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[27] + NET mprj_dat_o_user[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 265190 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[28] + NET mprj_dat_o_user[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 269330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[29] + NET mprj_dat_o_user[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 273930 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[2] + NET mprj_dat_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 155250 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[30] + NET mprj_dat_o_user[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 278070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[31] + NET mprj_dat_o_user[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 282670 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[3] + NET mprj_dat_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 159850 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[4] + NET mprj_dat_o_user[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 163990 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[5] + NET mprj_dat_o_user[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 168590 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[6] + NET mprj_dat_o_user[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 172730 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[7] + NET mprj_dat_o_user[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 177330 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[8] + NET mprj_dat_o_user[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 181470 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_dat_o_user[9] + NET mprj_dat_o_user[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 186070 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_core[0] + NET mprj_sel_o_core[0] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1679230 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_core[1] + NET mprj_sel_o_core[1] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1704990 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_core[2] + NET mprj_sel_o_core[2] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1730290 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_core[3] + NET mprj_sel_o_core[3] + DIRECTION INPUT + USE SIGNAL + PLACED ( 1756050 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_user[0] + NET mprj_sel_o_user[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 286810 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_user[1] + NET mprj_sel_o_user[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 291410 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_user[2] + NET mprj_sel_o_user[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 295550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_sel_o_user[3] + NET mprj_sel_o_user[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 300150 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_stb_o_core + NET mprj_stb_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1781810 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_stb_o_user + NET mprj_stb_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 304290 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_we_o_core + NET mprj_we_o_core + DIRECTION INPUT + USE SIGNAL + PLACED ( 1807570 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- mprj_we_o_user + NET mprj_we_o_user + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 308890 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- user1_vcc_powergood + NET user1_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1832870 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- user1_vdd_powergood + NET user1_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1858630 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- user2_vcc_powergood + NET user2_vcc_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1884390 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- user2_vdd_powergood + NET user2_vdd_powergood + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1910150 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- user_clock + NET user_clock + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1200 6460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_clock2 + NET user_clock2 + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1998800 21420 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- user_resetn + NET user_resetn + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 1998800 28220 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vccd + NET vccd + DIRECTION INOUT + USE SIGNAL + PLACED ( 1997550 48800 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- vccd1 + NET vccd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 18700 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vccd2 + NET vccd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1935450 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- vdda1 + NET vdda1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1961210 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- vdda2 + NET vdda2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1986970 1200 ) N + LAYER met2 ( -140 -1200 ) ( 140 1200 ) ;
- vssa1 + NET vssa1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1998800 34340 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vssa2 + NET vssa2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1998800 40460 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vssd + NET vssd + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 31620 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vssd1 + NET vssd1 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1998800 46580 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
- vssd2 + NET vssd2 + DIRECTION INOUT + USE SIGNAL + PLACED ( 1200 43860 ) N + LAYER met3 ( -1200 -300 ) ( 1200 300 ) ;
END PINS
NETS 954 ;
- caravel_clk ( PIN caravel_clk ) ( _394_ A ) + USE SIGNAL ;
- caravel_clk2 ( PIN caravel_clk2 ) ( _395_ A ) + USE SIGNAL ;
- caravel_rstn ( PIN caravel_rstn ) ( _393_ A ) + USE SIGNAL ;
- la_data_in_mprj[0] ( PIN la_data_in_mprj[0] ) ( la_buf\[0\] Z ) + USE SIGNAL ;
- la_data_in_mprj[100] ( PIN la_data_in_mprj[100] ) ( la_buf\[100\] Z ) + USE SIGNAL ;
- la_data_in_mprj[101] ( PIN la_data_in_mprj[101] ) ( la_buf\[101\] Z ) + USE SIGNAL ;
- la_data_in_mprj[102] ( PIN la_data_in_mprj[102] ) ( la_buf\[102\] Z ) + USE SIGNAL ;
- la_data_in_mprj[103] ( PIN la_data_in_mprj[103] ) ( la_buf\[103\] Z ) + USE SIGNAL ;
- la_data_in_mprj[104] ( PIN la_data_in_mprj[104] ) ( la_buf\[104\] Z ) + USE SIGNAL ;
- la_data_in_mprj[105] ( PIN la_data_in_mprj[105] ) ( la_buf\[105\] Z ) + USE SIGNAL ;
- la_data_in_mprj[106] ( PIN la_data_in_mprj[106] ) ( la_buf\[106\] Z ) + USE SIGNAL ;
- la_data_in_mprj[107] ( PIN la_data_in_mprj[107] ) ( la_buf\[107\] Z ) + USE SIGNAL ;
- la_data_in_mprj[108] ( PIN la_data_in_mprj[108] ) ( la_buf\[108\] Z ) + USE SIGNAL ;
- la_data_in_mprj[109] ( PIN la_data_in_mprj[109] ) ( la_buf\[109\] Z ) + USE SIGNAL ;
- la_data_in_mprj[10] ( PIN la_data_in_mprj[10] ) ( la_buf\[10\] Z ) + USE SIGNAL ;
- la_data_in_mprj[110] ( PIN la_data_in_mprj[110] ) ( la_buf\[110\] Z ) + USE SIGNAL ;
- la_data_in_mprj[111] ( PIN la_data_in_mprj[111] ) ( la_buf\[111\] Z ) + USE SIGNAL ;
- la_data_in_mprj[112] ( PIN la_data_in_mprj[112] ) ( la_buf\[112\] Z ) + USE SIGNAL ;
- la_data_in_mprj[113] ( PIN la_data_in_mprj[113] ) ( la_buf\[113\] Z ) + USE SIGNAL ;
- la_data_in_mprj[114] ( PIN la_data_in_mprj[114] ) ( la_buf\[114\] Z ) + USE SIGNAL ;
- la_data_in_mprj[115] ( PIN la_data_in_mprj[115] ) ( la_buf\[115\] Z ) + USE SIGNAL ;
- la_data_in_mprj[116] ( PIN la_data_in_mprj[116] ) ( la_buf\[116\] Z ) + USE SIGNAL ;
- la_data_in_mprj[117] ( PIN la_data_in_mprj[117] ) ( la_buf\[117\] Z ) + USE SIGNAL ;
- la_data_in_mprj[118] ( PIN la_data_in_mprj[118] ) ( la_buf\[118\] Z ) + USE SIGNAL ;
- la_data_in_mprj[119] ( PIN la_data_in_mprj[119] ) ( la_buf\[119\] Z ) + USE SIGNAL ;
- la_data_in_mprj[11] ( PIN la_data_in_mprj[11] ) ( la_buf\[11\] Z ) + USE SIGNAL ;
- la_data_in_mprj[120] ( PIN la_data_in_mprj[120] ) ( la_buf\[120\] Z ) + USE SIGNAL ;
- la_data_in_mprj[121] ( PIN la_data_in_mprj[121] ) ( la_buf\[121\] Z ) + USE SIGNAL ;
- la_data_in_mprj[122] ( PIN la_data_in_mprj[122] ) ( la_buf\[122\] Z ) + USE SIGNAL ;
- la_data_in_mprj[123] ( PIN la_data_in_mprj[123] ) ( la_buf\[123\] Z ) + USE SIGNAL ;
- la_data_in_mprj[124] ( PIN la_data_in_mprj[124] ) ( la_buf\[124\] Z ) + USE SIGNAL ;
- la_data_in_mprj[125] ( PIN la_data_in_mprj[125] ) ( la_buf\[125\] Z ) + USE SIGNAL ;
- la_data_in_mprj[126] ( PIN la_data_in_mprj[126] ) ( la_buf\[126\] Z ) + USE SIGNAL ;
- la_data_in_mprj[127] ( PIN la_data_in_mprj[127] ) ( la_buf\[127\] Z ) + USE SIGNAL ;
- la_data_in_mprj[12] ( PIN la_data_in_mprj[12] ) ( la_buf\[12\] Z ) + USE SIGNAL ;
- la_data_in_mprj[13] ( PIN la_data_in_mprj[13] ) ( la_buf\[13\] Z ) + USE SIGNAL ;
- la_data_in_mprj[14] ( PIN la_data_in_mprj[14] ) ( la_buf\[14\] Z ) + USE SIGNAL ;
- la_data_in_mprj[15] ( PIN la_data_in_mprj[15] ) ( la_buf\[15\] Z ) + USE SIGNAL ;
- la_data_in_mprj[16] ( PIN la_data_in_mprj[16] ) ( la_buf\[16\] Z ) + USE SIGNAL ;
- la_data_in_mprj[17] ( PIN la_data_in_mprj[17] ) ( la_buf\[17\] Z ) + USE SIGNAL ;
- la_data_in_mprj[18] ( PIN la_data_in_mprj[18] ) ( la_buf\[18\] Z ) + USE SIGNAL ;
- la_data_in_mprj[19] ( PIN la_data_in_mprj[19] ) ( la_buf\[19\] Z ) + USE SIGNAL ;
- la_data_in_mprj[1] ( PIN la_data_in_mprj[1] ) ( la_buf\[1\] Z ) + USE SIGNAL ;
- la_data_in_mprj[20] ( PIN la_data_in_mprj[20] ) ( la_buf\[20\] Z ) + USE SIGNAL ;
- la_data_in_mprj[21] ( PIN la_data_in_mprj[21] ) ( la_buf\[21\] Z ) + USE SIGNAL ;
- la_data_in_mprj[22] ( PIN la_data_in_mprj[22] ) ( la_buf\[22\] Z ) + USE SIGNAL ;
- la_data_in_mprj[23] ( PIN la_data_in_mprj[23] ) ( la_buf\[23\] Z ) + USE SIGNAL ;
- la_data_in_mprj[24] ( PIN la_data_in_mprj[24] ) ( la_buf\[24\] Z ) + USE SIGNAL ;
- la_data_in_mprj[25] ( PIN la_data_in_mprj[25] ) ( la_buf\[25\] Z ) + USE SIGNAL ;
- la_data_in_mprj[26] ( PIN la_data_in_mprj[26] ) ( la_buf\[26\] Z ) + USE SIGNAL ;
- la_data_in_mprj[27] ( PIN la_data_in_mprj[27] ) ( la_buf\[27\] Z ) + USE SIGNAL ;
- la_data_in_mprj[28] ( PIN la_data_in_mprj[28] ) ( la_buf\[28\] Z ) + USE SIGNAL ;
- la_data_in_mprj[29] ( PIN la_data_in_mprj[29] ) ( la_buf\[29\] Z ) + USE SIGNAL ;
- la_data_in_mprj[2] ( PIN la_data_in_mprj[2] ) ( la_buf\[2\] Z ) + USE SIGNAL ;
- la_data_in_mprj[30] ( PIN la_data_in_mprj[30] ) ( la_buf\[30\] Z ) + USE SIGNAL ;
- la_data_in_mprj[31] ( PIN la_data_in_mprj[31] ) ( la_buf\[31\] Z ) + USE SIGNAL ;
- la_data_in_mprj[32] ( PIN la_data_in_mprj[32] ) ( la_buf\[32\] Z ) + USE SIGNAL ;
- la_data_in_mprj[33] ( PIN la_data_in_mprj[33] ) ( la_buf\[33\] Z ) + USE SIGNAL ;
- la_data_in_mprj[34] ( PIN la_data_in_mprj[34] ) ( la_buf\[34\] Z ) + USE SIGNAL ;
- la_data_in_mprj[35] ( PIN la_data_in_mprj[35] ) ( la_buf\[35\] Z ) + USE SIGNAL ;
- la_data_in_mprj[36] ( PIN la_data_in_mprj[36] ) ( la_buf\[36\] Z ) + USE SIGNAL ;
- la_data_in_mprj[37] ( PIN la_data_in_mprj[37] ) ( la_buf\[37\] Z ) + USE SIGNAL ;
- la_data_in_mprj[38] ( PIN la_data_in_mprj[38] ) ( la_buf\[38\] Z ) + USE SIGNAL ;
- la_data_in_mprj[39] ( PIN la_data_in_mprj[39] ) ( la_buf\[39\] Z ) + USE SIGNAL ;
- la_data_in_mprj[3] ( PIN la_data_in_mprj[3] ) ( la_buf\[3\] Z ) + USE SIGNAL ;
- la_data_in_mprj[40] ( PIN la_data_in_mprj[40] ) ( la_buf\[40\] Z ) + USE SIGNAL ;
- la_data_in_mprj[41] ( PIN la_data_in_mprj[41] ) ( la_buf\[41\] Z ) + USE SIGNAL ;
- la_data_in_mprj[42] ( PIN la_data_in_mprj[42] ) ( la_buf\[42\] Z ) + USE SIGNAL ;
- la_data_in_mprj[43] ( PIN la_data_in_mprj[43] ) ( la_buf\[43\] Z ) + USE SIGNAL ;
- la_data_in_mprj[44] ( PIN la_data_in_mprj[44] ) ( la_buf\[44\] Z ) + USE SIGNAL ;
- la_data_in_mprj[45] ( PIN la_data_in_mprj[45] ) ( la_buf\[45\] Z ) + USE SIGNAL ;
- la_data_in_mprj[46] ( PIN la_data_in_mprj[46] ) ( la_buf\[46\] Z ) + USE SIGNAL ;
- la_data_in_mprj[47] ( PIN la_data_in_mprj[47] ) ( la_buf\[47\] Z ) + USE SIGNAL ;
- la_data_in_mprj[48] ( PIN la_data_in_mprj[48] ) ( la_buf\[48\] Z ) + USE SIGNAL ;
- la_data_in_mprj[49] ( PIN la_data_in_mprj[49] ) ( la_buf\[49\] Z ) + USE SIGNAL ;
- la_data_in_mprj[4] ( PIN la_data_in_mprj[4] ) ( la_buf\[4\] Z ) + USE SIGNAL ;
- la_data_in_mprj[50] ( PIN la_data_in_mprj[50] ) ( la_buf\[50\] Z ) + USE SIGNAL ;
- la_data_in_mprj[51] ( PIN la_data_in_mprj[51] ) ( la_buf\[51\] Z ) + USE SIGNAL ;
- la_data_in_mprj[52] ( PIN la_data_in_mprj[52] ) ( la_buf\[52\] Z ) + USE SIGNAL ;
- la_data_in_mprj[53] ( PIN la_data_in_mprj[53] ) ( la_buf\[53\] Z ) + USE SIGNAL ;
- la_data_in_mprj[54] ( PIN la_data_in_mprj[54] ) ( la_buf\[54\] Z ) + USE SIGNAL ;
- la_data_in_mprj[55] ( PIN la_data_in_mprj[55] ) ( la_buf\[55\] Z ) + USE SIGNAL ;
- la_data_in_mprj[56] ( PIN la_data_in_mprj[56] ) ( la_buf\[56\] Z ) + USE SIGNAL ;
- la_data_in_mprj[57] ( PIN la_data_in_mprj[57] ) ( la_buf\[57\] Z ) + USE SIGNAL ;
- la_data_in_mprj[58] ( PIN la_data_in_mprj[58] ) ( la_buf\[58\] Z ) + USE SIGNAL ;
- la_data_in_mprj[59] ( PIN la_data_in_mprj[59] ) ( la_buf\[59\] Z ) + USE SIGNAL ;
- la_data_in_mprj[5] ( PIN la_data_in_mprj[5] ) ( la_buf\[5\] Z ) + USE SIGNAL ;
- la_data_in_mprj[60] ( PIN la_data_in_mprj[60] ) ( la_buf\[60\] Z ) + USE SIGNAL ;
- la_data_in_mprj[61] ( PIN la_data_in_mprj[61] ) ( la_buf\[61\] Z ) + USE SIGNAL ;
- la_data_in_mprj[62] ( PIN la_data_in_mprj[62] ) ( la_buf\[62\] Z ) + USE SIGNAL ;
- la_data_in_mprj[63] ( PIN la_data_in_mprj[63] ) ( la_buf\[63\] Z ) + USE SIGNAL ;
- la_data_in_mprj[64] ( PIN la_data_in_mprj[64] ) ( la_buf\[64\] Z ) + USE SIGNAL ;
- la_data_in_mprj[65] ( PIN la_data_in_mprj[65] ) ( la_buf\[65\] Z ) + USE SIGNAL ;
- la_data_in_mprj[66] ( PIN la_data_in_mprj[66] ) ( la_buf\[66\] Z ) + USE SIGNAL ;
- la_data_in_mprj[67] ( PIN la_data_in_mprj[67] ) ( la_buf\[67\] Z ) + USE SIGNAL ;
- la_data_in_mprj[68] ( PIN la_data_in_mprj[68] ) ( la_buf\[68\] Z ) + USE SIGNAL ;
- la_data_in_mprj[69] ( PIN la_data_in_mprj[69] ) ( la_buf\[69\] Z ) + USE SIGNAL ;
- la_data_in_mprj[6] ( PIN la_data_in_mprj[6] ) ( la_buf\[6\] Z ) + USE SIGNAL ;
- la_data_in_mprj[70] ( PIN la_data_in_mprj[70] ) ( la_buf\[70\] Z ) + USE SIGNAL ;
- la_data_in_mprj[71] ( PIN la_data_in_mprj[71] ) ( la_buf\[71\] Z ) + USE SIGNAL ;
- la_data_in_mprj[72] ( PIN la_data_in_mprj[72] ) ( la_buf\[72\] Z ) + USE SIGNAL ;
- la_data_in_mprj[73] ( PIN la_data_in_mprj[73] ) ( la_buf\[73\] Z ) + USE SIGNAL ;
- la_data_in_mprj[74] ( PIN la_data_in_mprj[74] ) ( la_buf\[74\] Z ) + USE SIGNAL ;
- la_data_in_mprj[75] ( PIN la_data_in_mprj[75] ) ( la_buf\[75\] Z ) + USE SIGNAL ;
- la_data_in_mprj[76] ( PIN la_data_in_mprj[76] ) ( la_buf\[76\] Z ) + USE SIGNAL ;
- la_data_in_mprj[77] ( PIN la_data_in_mprj[77] ) ( la_buf\[77\] Z ) + USE SIGNAL ;
- la_data_in_mprj[78] ( PIN la_data_in_mprj[78] ) ( la_buf\[78\] Z ) + USE SIGNAL ;
- la_data_in_mprj[79] ( PIN la_data_in_mprj[79] ) ( la_buf\[79\] Z ) + USE SIGNAL ;
- la_data_in_mprj[7] ( PIN la_data_in_mprj[7] ) ( la_buf\[7\] Z ) + USE SIGNAL ;
- la_data_in_mprj[80] ( PIN la_data_in_mprj[80] ) ( la_buf\[80\] Z ) + USE SIGNAL ;
- la_data_in_mprj[81] ( PIN la_data_in_mprj[81] ) ( la_buf\[81\] Z ) + USE SIGNAL ;
- la_data_in_mprj[82] ( PIN la_data_in_mprj[82] ) ( la_buf\[82\] Z ) + USE SIGNAL ;
- la_data_in_mprj[83] ( PIN la_data_in_mprj[83] ) ( la_buf\[83\] Z ) + USE SIGNAL ;
- la_data_in_mprj[84] ( PIN la_data_in_mprj[84] ) ( la_buf\[84\] Z ) + USE SIGNAL ;
- la_data_in_mprj[85] ( PIN la_data_in_mprj[85] ) ( la_buf\[85\] Z ) + USE SIGNAL ;
- la_data_in_mprj[86] ( PIN la_data_in_mprj[86] ) ( la_buf\[86\] Z ) + USE SIGNAL ;
- la_data_in_mprj[87] ( PIN la_data_in_mprj[87] ) ( la_buf\[87\] Z ) + USE SIGNAL ;
- la_data_in_mprj[88] ( PIN la_data_in_mprj[88] ) ( la_buf\[88\] Z ) + USE SIGNAL ;
- la_data_in_mprj[89] ( PIN la_data_in_mprj[89] ) ( la_buf\[89\] Z ) + USE SIGNAL ;
- la_data_in_mprj[8] ( PIN la_data_in_mprj[8] ) ( la_buf\[8\] Z ) + USE SIGNAL ;
- la_data_in_mprj[90] ( PIN la_data_in_mprj[90] ) ( la_buf\[90\] Z ) + USE SIGNAL ;
- la_data_in_mprj[91] ( PIN la_data_in_mprj[91] ) ( la_buf\[91\] Z ) + USE SIGNAL ;
- la_data_in_mprj[92] ( PIN la_data_in_mprj[92] ) ( la_buf\[92\] Z ) + USE SIGNAL ;
- la_data_in_mprj[93] ( PIN la_data_in_mprj[93] ) ( la_buf\[93\] Z ) + USE SIGNAL ;
- la_data_in_mprj[94] ( PIN la_data_in_mprj[94] ) ( la_buf\[94\] Z ) + USE SIGNAL ;
- la_data_in_mprj[95] ( PIN la_data_in_mprj[95] ) ( la_buf\[95\] Z ) + USE SIGNAL ;
- la_data_in_mprj[96] ( PIN la_data_in_mprj[96] ) ( la_buf\[96\] Z ) + USE SIGNAL ;
- la_data_in_mprj[97] ( PIN la_data_in_mprj[97] ) ( la_buf\[97\] Z ) + USE SIGNAL ;
- la_data_in_mprj[98] ( PIN la_data_in_mprj[98] ) ( la_buf\[98\] Z ) + USE SIGNAL ;
- la_data_in_mprj[99] ( PIN la_data_in_mprj[99] ) ( la_buf\[99\] Z ) + USE SIGNAL ;
- la_data_in_mprj[9] ( PIN la_data_in_mprj[9] ) ( la_buf\[9\] Z ) + USE SIGNAL ;
- la_oen[0] ( PIN la_oen[0] ) ( _467_ A ) + USE SIGNAL ;
- la_oen[100] ( PIN la_oen[100] ) ( _567_ A ) + USE SIGNAL ;
- la_oen[101] ( PIN la_oen[101] ) ( _568_ A ) + USE SIGNAL ;
- la_oen[102] ( PIN la_oen[102] ) ( _569_ A ) + USE SIGNAL ;
- la_oen[103] ( PIN la_oen[103] ) ( _570_ A ) + USE SIGNAL ;
- la_oen[104] ( PIN la_oen[104] ) ( _571_ A ) + USE SIGNAL ;
- la_oen[105] ( PIN la_oen[105] ) ( _572_ A ) + USE SIGNAL ;
- la_oen[106] ( PIN la_oen[106] ) ( _573_ A ) + USE SIGNAL ;
- la_oen[107] ( PIN la_oen[107] ) ( _574_ A ) + USE SIGNAL ;
- la_oen[108] ( PIN la_oen[108] ) ( _575_ A ) + USE SIGNAL ;
- la_oen[109] ( PIN la_oen[109] ) ( _576_ A ) + USE SIGNAL ;
- la_oen[10] ( PIN la_oen[10] ) ( _477_ A ) + USE SIGNAL ;
- la_oen[110] ( PIN la_oen[110] ) ( _577_ A ) + USE SIGNAL ;
- la_oen[111] ( PIN la_oen[111] ) ( _578_ A ) + USE SIGNAL ;
- la_oen[112] ( PIN la_oen[112] ) ( _579_ A ) + USE SIGNAL ;
- la_oen[113] ( PIN la_oen[113] ) ( _580_ A ) + USE SIGNAL ;
- la_oen[114] ( PIN la_oen[114] ) ( _581_ A ) + USE SIGNAL ;
- la_oen[115] ( PIN la_oen[115] ) ( _582_ A ) + USE SIGNAL ;
- la_oen[116] ( PIN la_oen[116] ) ( _583_ A ) + USE SIGNAL ;
- la_oen[117] ( PIN la_oen[117] ) ( _584_ A ) + USE SIGNAL ;
- la_oen[118] ( PIN la_oen[118] ) ( _585_ A ) + USE SIGNAL ;
- la_oen[119] ( PIN la_oen[119] ) ( _586_ A ) + USE SIGNAL ;
- la_oen[11] ( PIN la_oen[11] ) ( _478_ A ) + USE SIGNAL ;
- la_oen[120] ( PIN la_oen[120] ) ( _587_ A ) + USE SIGNAL ;
- la_oen[121] ( PIN la_oen[121] ) ( _588_ A ) + USE SIGNAL ;
- la_oen[122] ( PIN la_oen[122] ) ( _589_ A ) + USE SIGNAL ;
- la_oen[123] ( PIN la_oen[123] ) ( _590_ A ) + USE SIGNAL ;
- la_oen[124] ( PIN la_oen[124] ) ( _591_ A ) + USE SIGNAL ;
- la_oen[125] ( PIN la_oen[125] ) ( _592_ A ) + USE SIGNAL ;
- la_oen[126] ( PIN la_oen[126] ) ( _593_ A ) + USE SIGNAL ;
- la_oen[127] ( PIN la_oen[127] ) ( _594_ A ) + USE SIGNAL ;
- la_oen[12] ( PIN la_oen[12] ) ( _479_ A ) + USE SIGNAL ;
- la_oen[13] ( PIN la_oen[13] ) ( _480_ A ) + USE SIGNAL ;
- la_oen[14] ( PIN la_oen[14] ) ( _481_ A ) + USE SIGNAL ;
- la_oen[15] ( PIN la_oen[15] ) ( _482_ A ) + USE SIGNAL ;
- la_oen[16] ( PIN la_oen[16] ) ( _483_ A ) + USE SIGNAL ;
- la_oen[17] ( PIN la_oen[17] ) ( _484_ A ) + USE SIGNAL ;
- la_oen[18] ( PIN la_oen[18] ) ( _485_ A ) + USE SIGNAL ;
- la_oen[19] ( PIN la_oen[19] ) ( _486_ A ) + USE SIGNAL ;
- la_oen[1] ( PIN la_oen[1] ) ( _468_ A ) + USE SIGNAL ;
- la_oen[20] ( PIN la_oen[20] ) ( _487_ A ) + USE SIGNAL ;
- la_oen[21] ( PIN la_oen[21] ) ( _488_ A ) + USE SIGNAL ;
- la_oen[22] ( PIN la_oen[22] ) ( _489_ A ) + USE SIGNAL ;
- la_oen[23] ( PIN la_oen[23] ) ( _490_ A ) + USE SIGNAL ;
- la_oen[24] ( PIN la_oen[24] ) ( _491_ A ) + USE SIGNAL ;
- la_oen[25] ( PIN la_oen[25] ) ( _492_ A ) + USE SIGNAL ;
- la_oen[26] ( PIN la_oen[26] ) ( _493_ A ) + USE SIGNAL ;
- la_oen[27] ( PIN la_oen[27] ) ( _494_ A ) + USE SIGNAL ;
- la_oen[28] ( PIN la_oen[28] ) ( _495_ A ) + USE SIGNAL ;
- la_oen[29] ( PIN la_oen[29] ) ( _496_ A ) + USE SIGNAL ;
- la_oen[2] ( PIN la_oen[2] ) ( _469_ A ) + USE SIGNAL ;
- la_oen[30] ( PIN la_oen[30] ) ( _497_ A ) + USE SIGNAL ;
- la_oen[31] ( PIN la_oen[31] ) ( _498_ A ) + USE SIGNAL ;
- la_oen[32] ( PIN la_oen[32] ) ( _499_ A ) + USE SIGNAL ;
- la_oen[33] ( PIN la_oen[33] ) ( _500_ A ) + USE SIGNAL ;
- la_oen[34] ( PIN la_oen[34] ) ( _501_ A ) + USE SIGNAL ;
- la_oen[35] ( PIN la_oen[35] ) ( _502_ A ) + USE SIGNAL ;
- la_oen[36] ( PIN la_oen[36] ) ( _503_ A ) + USE SIGNAL ;
- la_oen[37] ( PIN la_oen[37] ) ( _504_ A ) + USE SIGNAL ;
- la_oen[38] ( PIN la_oen[38] ) ( _505_ A ) + USE SIGNAL ;
- la_oen[39] ( PIN la_oen[39] ) ( _506_ A ) + USE SIGNAL ;
- la_oen[3] ( PIN la_oen[3] ) ( _470_ A ) + USE SIGNAL ;
- la_oen[40] ( PIN la_oen[40] ) ( _507_ A ) + USE SIGNAL ;
- la_oen[41] ( PIN la_oen[41] ) ( _508_ A ) + USE SIGNAL ;
- la_oen[42] ( PIN la_oen[42] ) ( _509_ A ) + USE SIGNAL ;
- la_oen[43] ( PIN la_oen[43] ) ( _510_ A ) + USE SIGNAL ;
- la_oen[44] ( PIN la_oen[44] ) ( _511_ A ) + USE SIGNAL ;
- la_oen[45] ( PIN la_oen[45] ) ( _512_ A ) + USE SIGNAL ;
- la_oen[46] ( PIN la_oen[46] ) ( _513_ A ) + USE SIGNAL ;
- la_oen[47] ( PIN la_oen[47] ) ( _514_ A ) + USE SIGNAL ;
- la_oen[48] ( PIN la_oen[48] ) ( _515_ A ) + USE SIGNAL ;
- la_oen[49] ( PIN la_oen[49] ) ( _516_ A ) + USE SIGNAL ;
- la_oen[4] ( PIN la_oen[4] ) ( _471_ A ) + USE SIGNAL ;
- la_oen[50] ( PIN la_oen[50] ) ( _517_ A ) + USE SIGNAL ;
- la_oen[51] ( PIN la_oen[51] ) ( _518_ A ) + USE SIGNAL ;
- la_oen[52] ( PIN la_oen[52] ) ( _519_ A ) + USE SIGNAL ;
- la_oen[53] ( PIN la_oen[53] ) ( _520_ A ) + USE SIGNAL ;
- la_oen[54] ( PIN la_oen[54] ) ( _521_ A ) + USE SIGNAL ;
- la_oen[55] ( PIN la_oen[55] ) ( _522_ A ) + USE SIGNAL ;
- la_oen[56] ( PIN la_oen[56] ) ( _523_ A ) + USE SIGNAL ;
- la_oen[57] ( PIN la_oen[57] ) ( _524_ A ) + USE SIGNAL ;
- la_oen[58] ( PIN la_oen[58] ) ( _525_ A ) + USE SIGNAL ;
- la_oen[59] ( PIN la_oen[59] ) ( _526_ A ) + USE SIGNAL ;
- la_oen[5] ( PIN la_oen[5] ) ( _472_ A ) + USE SIGNAL ;
- la_oen[60] ( PIN la_oen[60] ) ( _527_ A ) + USE SIGNAL ;
- la_oen[61] ( PIN la_oen[61] ) ( _528_ A ) + USE SIGNAL ;
- la_oen[62] ( PIN la_oen[62] ) ( _529_ A ) + USE SIGNAL ;
- la_oen[63] ( PIN la_oen[63] ) ( _530_ A ) + USE SIGNAL ;
- la_oen[64] ( PIN la_oen[64] ) ( _531_ A ) + USE SIGNAL ;
- la_oen[65] ( PIN la_oen[65] ) ( _532_ A ) + USE SIGNAL ;
- la_oen[66] ( PIN la_oen[66] ) ( _533_ A ) + USE SIGNAL ;
- la_oen[67] ( PIN la_oen[67] ) ( _534_ A ) + USE SIGNAL ;
- la_oen[68] ( PIN la_oen[68] ) ( _535_ A ) + USE SIGNAL ;
- la_oen[69] ( PIN la_oen[69] ) ( _536_ A ) + USE SIGNAL ;
- la_oen[6] ( PIN la_oen[6] ) ( _473_ A ) + USE SIGNAL ;
- la_oen[70] ( PIN la_oen[70] ) ( _537_ A ) + USE SIGNAL ;
- la_oen[71] ( PIN la_oen[71] ) ( _538_ A ) + USE SIGNAL ;
- la_oen[72] ( PIN la_oen[72] ) ( _539_ A ) + USE SIGNAL ;
- la_oen[73] ( PIN la_oen[73] ) ( _540_ A ) + USE SIGNAL ;
- la_oen[74] ( PIN la_oen[74] ) ( _541_ A ) + USE SIGNAL ;
- la_oen[75] ( PIN la_oen[75] ) ( _542_ A ) + USE SIGNAL ;
- la_oen[76] ( PIN la_oen[76] ) ( _543_ A ) + USE SIGNAL ;
- la_oen[77] ( PIN la_oen[77] ) ( _544_ A ) + USE SIGNAL ;
- la_oen[78] ( PIN la_oen[78] ) ( _545_ A ) + USE SIGNAL ;
- la_oen[79] ( PIN la_oen[79] ) ( _546_ A ) + USE SIGNAL ;
- la_oen[7] ( PIN la_oen[7] ) ( _474_ A ) + USE SIGNAL ;
- la_oen[80] ( PIN la_oen[80] ) ( _547_ A ) + USE SIGNAL ;
- la_oen[81] ( PIN la_oen[81] ) ( _548_ A ) + USE SIGNAL ;
- la_oen[82] ( PIN la_oen[82] ) ( _549_ A ) + USE SIGNAL ;
- la_oen[83] ( PIN la_oen[83] ) ( _550_ A ) + USE SIGNAL ;
- la_oen[84] ( PIN la_oen[84] ) ( _551_ A ) + USE SIGNAL ;
- la_oen[85] ( PIN la_oen[85] ) ( _552_ A ) + USE SIGNAL ;
- la_oen[86] ( PIN la_oen[86] ) ( _553_ A ) + USE SIGNAL ;
- la_oen[87] ( PIN la_oen[87] ) ( _554_ A ) + USE SIGNAL ;
- la_oen[88] ( PIN la_oen[88] ) ( _555_ A ) + USE SIGNAL ;
- la_oen[89] ( PIN la_oen[89] ) ( _556_ A ) + USE SIGNAL ;
- la_oen[8] ( PIN la_oen[8] ) ( _475_ A ) + USE SIGNAL ;
- la_oen[90] ( PIN la_oen[90] ) ( _557_ A ) + USE SIGNAL ;
- la_oen[91] ( PIN la_oen[91] ) ( _558_ A ) + USE SIGNAL ;
- la_oen[92] ( PIN la_oen[92] ) ( _559_ A ) + USE SIGNAL ;
- la_oen[93] ( PIN la_oen[93] ) ( _560_ A ) + USE SIGNAL ;
- la_oen[94] ( PIN la_oen[94] ) ( _561_ A ) + USE SIGNAL ;
- la_oen[95] ( PIN la_oen[95] ) ( _562_ A ) + USE SIGNAL ;
- la_oen[96] ( PIN la_oen[96] ) ( _563_ A ) + USE SIGNAL ;
- la_oen[97] ( PIN la_oen[97] ) ( _564_ A ) + USE SIGNAL ;
- la_oen[98] ( PIN la_oen[98] ) ( _565_ A ) + USE SIGNAL ;
- la_oen[99] ( PIN la_oen[99] ) ( _566_ A ) + USE SIGNAL ;
- la_oen[9] ( PIN la_oen[9] ) ( _476_ A ) + USE SIGNAL ;
- la_output_core[0] ( PIN la_output_core[0] ) ( _595_ A ) + USE SIGNAL ;
- la_output_core[100] ( PIN la_output_core[100] ) ( _365_ A ) + USE SIGNAL ;
- la_output_core[101] ( PIN la_output_core[101] ) ( _366_ A ) + USE SIGNAL ;
- la_output_core[102] ( PIN la_output_core[102] ) ( _367_ A ) + USE SIGNAL ;
- la_output_core[103] ( PIN la_output_core[103] ) ( _368_ A ) + USE SIGNAL ;
- la_output_core[104] ( PIN la_output_core[104] ) ( _369_ A ) + USE SIGNAL ;
- la_output_core[105] ( PIN la_output_core[105] ) ( _370_ A ) + USE SIGNAL ;
- la_output_core[106] ( PIN la_output_core[106] ) ( _371_ A ) + USE SIGNAL ;
- la_output_core[107] ( PIN la_output_core[107] ) ( _372_ A ) + USE SIGNAL ;
- la_output_core[108] ( PIN la_output_core[108] ) ( _373_ A ) + USE SIGNAL ;
- la_output_core[109] ( PIN la_output_core[109] ) ( _374_ A ) + USE SIGNAL ;
- la_output_core[10] ( PIN la_output_core[10] ) ( _605_ A ) + USE SIGNAL ;
- la_output_core[110] ( PIN la_output_core[110] ) ( _375_ A ) + USE SIGNAL ;
- la_output_core[111] ( PIN la_output_core[111] ) ( _376_ A ) + USE SIGNAL ;
- la_output_core[112] ( PIN la_output_core[112] ) ( _377_ A ) + USE SIGNAL ;
- la_output_core[113] ( PIN la_output_core[113] ) ( _378_ A ) + USE SIGNAL ;
- la_output_core[114] ( PIN la_output_core[114] ) ( _379_ A ) + USE SIGNAL ;
- la_output_core[115] ( PIN la_output_core[115] ) ( _380_ A ) + USE SIGNAL ;
- la_output_core[116] ( PIN la_output_core[116] ) ( _381_ A ) + USE SIGNAL ;
- la_output_core[117] ( PIN la_output_core[117] ) ( _382_ A ) + USE SIGNAL ;
- la_output_core[118] ( PIN la_output_core[118] ) ( _383_ A ) + USE SIGNAL ;
- la_output_core[119] ( PIN la_output_core[119] ) ( _384_ A ) + USE SIGNAL ;
- la_output_core[11] ( PIN la_output_core[11] ) ( _606_ A ) + USE SIGNAL ;
- la_output_core[120] ( PIN la_output_core[120] ) ( _385_ A ) + USE SIGNAL ;
- la_output_core[121] ( PIN la_output_core[121] ) ( _386_ A ) + USE SIGNAL ;
- la_output_core[122] ( PIN la_output_core[122] ) ( _387_ A ) + USE SIGNAL ;
- la_output_core[123] ( PIN la_output_core[123] ) ( _388_ A ) + USE SIGNAL ;
- la_output_core[124] ( PIN la_output_core[124] ) ( _389_ A ) + USE SIGNAL ;
- la_output_core[125] ( PIN la_output_core[125] ) ( _390_ A ) + USE SIGNAL ;
- la_output_core[126] ( PIN la_output_core[126] ) ( _391_ A ) + USE SIGNAL ;
- la_output_core[127] ( PIN la_output_core[127] ) ( _392_ A ) + USE SIGNAL ;
- la_output_core[12] ( PIN la_output_core[12] ) ( _607_ A ) + USE SIGNAL ;
- la_output_core[13] ( PIN la_output_core[13] ) ( _608_ A ) + USE SIGNAL ;
- la_output_core[14] ( PIN la_output_core[14] ) ( _609_ A ) + USE SIGNAL ;
- la_output_core[15] ( PIN la_output_core[15] ) ( _610_ A ) + USE SIGNAL ;
- la_output_core[16] ( PIN la_output_core[16] ) ( _611_ A ) + USE SIGNAL ;
- la_output_core[17] ( PIN la_output_core[17] ) ( _612_ A ) + USE SIGNAL ;
- la_output_core[18] ( PIN la_output_core[18] ) ( _613_ A ) + USE SIGNAL ;
- la_output_core[19] ( PIN la_output_core[19] ) ( _614_ A ) + USE SIGNAL ;
- la_output_core[1] ( PIN la_output_core[1] ) ( _596_ A ) + USE SIGNAL ;
- la_output_core[20] ( PIN la_output_core[20] ) ( _615_ A ) + USE SIGNAL ;
- la_output_core[21] ( PIN la_output_core[21] ) ( _616_ A ) + USE SIGNAL ;
- la_output_core[22] ( PIN la_output_core[22] ) ( _617_ A ) + USE SIGNAL ;
- la_output_core[23] ( PIN la_output_core[23] ) ( _618_ A ) + USE SIGNAL ;
- la_output_core[24] ( PIN la_output_core[24] ) ( _619_ A ) + USE SIGNAL ;
- la_output_core[25] ( PIN la_output_core[25] ) ( _620_ A ) + USE SIGNAL ;
- la_output_core[26] ( PIN la_output_core[26] ) ( _621_ A ) + USE SIGNAL ;
- la_output_core[27] ( PIN la_output_core[27] ) ( _622_ A ) + USE SIGNAL ;
- la_output_core[28] ( PIN la_output_core[28] ) ( _623_ A ) + USE SIGNAL ;
- la_output_core[29] ( PIN la_output_core[29] ) ( _624_ A ) + USE SIGNAL ;
- la_output_core[2] ( PIN la_output_core[2] ) ( _597_ A ) + USE SIGNAL ;
- la_output_core[30] ( PIN la_output_core[30] ) ( _625_ A ) + USE SIGNAL ;
- la_output_core[31] ( PIN la_output_core[31] ) ( _626_ A ) + USE SIGNAL ;
- la_output_core[32] ( PIN la_output_core[32] ) ( _627_ A ) + USE SIGNAL ;
- la_output_core[33] ( PIN la_output_core[33] ) ( _628_ A ) + USE SIGNAL ;
- la_output_core[34] ( PIN la_output_core[34] ) ( _629_ A ) + USE SIGNAL ;
- la_output_core[35] ( PIN la_output_core[35] ) ( _630_ A ) + USE SIGNAL ;
- la_output_core[36] ( PIN la_output_core[36] ) ( _631_ A ) + USE SIGNAL ;
- la_output_core[37] ( PIN la_output_core[37] ) ( _632_ A ) + USE SIGNAL ;
- la_output_core[38] ( PIN la_output_core[38] ) ( _633_ A ) + USE SIGNAL ;
- la_output_core[39] ( PIN la_output_core[39] ) ( _634_ A ) + USE SIGNAL ;
- la_output_core[3] ( PIN la_output_core[3] ) ( _598_ A ) + USE SIGNAL ;
- la_output_core[40] ( PIN la_output_core[40] ) ( _635_ A ) + USE SIGNAL ;
- la_output_core[41] ( PIN la_output_core[41] ) ( _636_ A ) + USE SIGNAL ;
- la_output_core[42] ( PIN la_output_core[42] ) ( _637_ A ) + USE SIGNAL ;
- la_output_core[43] ( PIN la_output_core[43] ) ( _638_ A ) + USE SIGNAL ;
- la_output_core[44] ( PIN la_output_core[44] ) ( _639_ A ) + USE SIGNAL ;
- la_output_core[45] ( PIN la_output_core[45] ) ( _640_ A ) + USE SIGNAL ;
- la_output_core[46] ( PIN la_output_core[46] ) ( _641_ A ) + USE SIGNAL ;
- la_output_core[47] ( PIN la_output_core[47] ) ( _642_ A ) + USE SIGNAL ;
- la_output_core[48] ( PIN la_output_core[48] ) ( _643_ A ) + USE SIGNAL ;
- la_output_core[49] ( PIN la_output_core[49] ) ( _644_ A ) + USE SIGNAL ;
- la_output_core[4] ( PIN la_output_core[4] ) ( _599_ A ) + USE SIGNAL ;
- la_output_core[50] ( PIN la_output_core[50] ) ( _645_ A ) + USE SIGNAL ;
- la_output_core[51] ( PIN la_output_core[51] ) ( _646_ A ) + USE SIGNAL ;
- la_output_core[52] ( PIN la_output_core[52] ) ( _647_ A ) + USE SIGNAL ;
- la_output_core[53] ( PIN la_output_core[53] ) ( _648_ A ) + USE SIGNAL ;
- la_output_core[54] ( PIN la_output_core[54] ) ( _649_ A ) + USE SIGNAL ;
- la_output_core[55] ( PIN la_output_core[55] ) ( _650_ A ) + USE SIGNAL ;
- la_output_core[56] ( PIN la_output_core[56] ) ( _651_ A ) + USE SIGNAL ;
- la_output_core[57] ( PIN la_output_core[57] ) ( _652_ A ) + USE SIGNAL ;
- la_output_core[58] ( PIN la_output_core[58] ) ( _653_ A ) + USE SIGNAL ;
- la_output_core[59] ( PIN la_output_core[59] ) ( _654_ A ) + USE SIGNAL ;
- la_output_core[5] ( PIN la_output_core[5] ) ( _600_ A ) + USE SIGNAL ;
- la_output_core[60] ( PIN la_output_core[60] ) ( _655_ A ) + USE SIGNAL ;
- la_output_core[61] ( PIN la_output_core[61] ) ( _656_ A ) + USE SIGNAL ;
- la_output_core[62] ( PIN la_output_core[62] ) ( _657_ A ) + USE SIGNAL ;
- la_output_core[63] ( PIN la_output_core[63] ) ( _658_ A ) + USE SIGNAL ;
- la_output_core[64] ( PIN la_output_core[64] ) ( _659_ A ) + USE SIGNAL ;
- la_output_core[65] ( PIN la_output_core[65] ) ( _330_ A ) + USE SIGNAL ;
- la_output_core[66] ( PIN la_output_core[66] ) ( _331_ A ) + USE SIGNAL ;
- la_output_core[67] ( PIN la_output_core[67] ) ( _332_ A ) + USE SIGNAL ;
- la_output_core[68] ( PIN la_output_core[68] ) ( _333_ A ) + USE SIGNAL ;
- la_output_core[69] ( PIN la_output_core[69] ) ( _334_ A ) + USE SIGNAL ;
- la_output_core[6] ( PIN la_output_core[6] ) ( _601_ A ) + USE SIGNAL ;
- la_output_core[70] ( PIN la_output_core[70] ) ( _335_ A ) + USE SIGNAL ;
- la_output_core[71] ( PIN la_output_core[71] ) ( _336_ A ) + USE SIGNAL ;
- la_output_core[72] ( PIN la_output_core[72] ) ( _337_ A ) + USE SIGNAL ;
- la_output_core[73] ( PIN la_output_core[73] ) ( _338_ A ) + USE SIGNAL ;
- la_output_core[74] ( PIN la_output_core[74] ) ( _339_ A ) + USE SIGNAL ;
- la_output_core[75] ( PIN la_output_core[75] ) ( _340_ A ) + USE SIGNAL ;
- la_output_core[76] ( PIN la_output_core[76] ) ( _341_ A ) + USE SIGNAL ;
- la_output_core[77] ( PIN la_output_core[77] ) ( _342_ A ) + USE SIGNAL ;
- la_output_core[78] ( PIN la_output_core[78] ) ( _343_ A ) + USE SIGNAL ;
- la_output_core[79] ( PIN la_output_core[79] ) ( _344_ A ) + USE SIGNAL ;
- la_output_core[7] ( PIN la_output_core[7] ) ( _602_ A ) + USE SIGNAL ;
- la_output_core[80] ( PIN la_output_core[80] ) ( _345_ A ) + USE SIGNAL ;
- la_output_core[81] ( PIN la_output_core[81] ) ( _346_ A ) + USE SIGNAL ;
- la_output_core[82] ( PIN la_output_core[82] ) ( _347_ A ) + USE SIGNAL ;
- la_output_core[83] ( PIN la_output_core[83] ) ( _348_ A ) + USE SIGNAL ;
- la_output_core[84] ( PIN la_output_core[84] ) ( _349_ A ) + USE SIGNAL ;
- la_output_core[85] ( PIN la_output_core[85] ) ( _350_ A ) + USE SIGNAL ;
- la_output_core[86] ( PIN la_output_core[86] ) ( _351_ A ) + USE SIGNAL ;
- la_output_core[87] ( PIN la_output_core[87] ) ( _352_ A ) + USE SIGNAL ;
- la_output_core[88] ( PIN la_output_core[88] ) ( _353_ A ) + USE SIGNAL ;
- la_output_core[89] ( PIN la_output_core[89] ) ( _354_ A ) + USE SIGNAL ;
- la_output_core[8] ( PIN la_output_core[8] ) ( _603_ A ) + USE SIGNAL ;
- la_output_core[90] ( PIN la_output_core[90] ) ( _355_ A ) + USE SIGNAL ;
- la_output_core[91] ( PIN la_output_core[91] ) ( _356_ A ) + USE SIGNAL ;
- la_output_core[92] ( PIN la_output_core[92] ) ( _357_ A ) + USE SIGNAL ;
- la_output_core[93] ( PIN la_output_core[93] ) ( _358_ A ) + USE SIGNAL ;
- la_output_core[94] ( PIN la_output_core[94] ) ( _359_ A ) + USE SIGNAL ;
- la_output_core[95] ( PIN la_output_core[95] ) ( _360_ A ) + USE SIGNAL ;
- la_output_core[96] ( PIN la_output_core[96] ) ( _361_ A ) + USE SIGNAL ;
- la_output_core[97] ( PIN la_output_core[97] ) ( _362_ A ) + USE SIGNAL ;
- la_output_core[98] ( PIN la_output_core[98] ) ( _363_ A ) + USE SIGNAL ;
- la_output_core[99] ( PIN la_output_core[99] ) ( _364_ A ) + USE SIGNAL ;
- la_output_core[9] ( PIN la_output_core[9] ) ( _604_ A ) + USE SIGNAL ;
- mprj_adr_o_core[0] ( PIN mprj_adr_o_core[0] ) ( _403_ A ) + USE SIGNAL ;
- mprj_adr_o_core[10] ( PIN mprj_adr_o_core[10] ) ( _413_ A ) + USE SIGNAL ;
- mprj_adr_o_core[11] ( PIN mprj_adr_o_core[11] ) ( _414_ A ) + USE SIGNAL ;
- mprj_adr_o_core[12] ( PIN mprj_adr_o_core[12] ) ( _415_ A ) + USE SIGNAL ;
- mprj_adr_o_core[13] ( PIN mprj_adr_o_core[13] ) ( _416_ A ) + USE SIGNAL ;
- mprj_adr_o_core[14] ( PIN mprj_adr_o_core[14] ) ( _417_ A ) + USE SIGNAL ;
- mprj_adr_o_core[15] ( PIN mprj_adr_o_core[15] ) ( _418_ A ) + USE SIGNAL ;
- mprj_adr_o_core[16] ( PIN mprj_adr_o_core[16] ) ( _419_ A ) + USE SIGNAL ;
- mprj_adr_o_core[17] ( PIN mprj_adr_o_core[17] ) ( _420_ A ) + USE SIGNAL ;
- mprj_adr_o_core[18] ( PIN mprj_adr_o_core[18] ) ( _421_ A ) + USE SIGNAL ;
- mprj_adr_o_core[19] ( PIN mprj_adr_o_core[19] ) ( _422_ A ) + USE SIGNAL ;
- mprj_adr_o_core[1] ( PIN mprj_adr_o_core[1] ) ( _404_ A ) + USE SIGNAL ;
- mprj_adr_o_core[20] ( PIN mprj_adr_o_core[20] ) ( _423_ A ) + USE SIGNAL ;
- mprj_adr_o_core[21] ( PIN mprj_adr_o_core[21] ) ( _424_ A ) + USE SIGNAL ;
- mprj_adr_o_core[22] ( PIN mprj_adr_o_core[22] ) ( _425_ A ) + USE SIGNAL ;
- mprj_adr_o_core[23] ( PIN mprj_adr_o_core[23] ) ( _426_ A ) + USE SIGNAL ;
- mprj_adr_o_core[24] ( PIN mprj_adr_o_core[24] ) ( _427_ A ) + USE SIGNAL ;
- mprj_adr_o_core[25] ( PIN mprj_adr_o_core[25] ) ( _428_ A ) + USE SIGNAL ;
- mprj_adr_o_core[26] ( PIN mprj_adr_o_core[26] ) ( _429_ A ) + USE SIGNAL ;
- mprj_adr_o_core[27] ( PIN mprj_adr_o_core[27] ) ( _430_ A ) + USE SIGNAL ;
- mprj_adr_o_core[28] ( PIN mprj_adr_o_core[28] ) ( _431_ A ) + USE SIGNAL ;
- mprj_adr_o_core[29] ( PIN mprj_adr_o_core[29] ) ( _432_ A ) + USE SIGNAL ;
- mprj_adr_o_core[2] ( PIN mprj_adr_o_core[2] ) ( _405_ A ) + USE SIGNAL ;
- mprj_adr_o_core[30] ( PIN mprj_adr_o_core[30] ) ( _433_ A ) + USE SIGNAL ;
- mprj_adr_o_core[31] ( PIN mprj_adr_o_core[31] ) ( _434_ A ) + USE SIGNAL ;
- mprj_adr_o_core[3] ( PIN mprj_adr_o_core[3] ) ( _406_ A ) + USE SIGNAL ;
- mprj_adr_o_core[4] ( PIN mprj_adr_o_core[4] ) ( _407_ A ) + USE SIGNAL ;
- mprj_adr_o_core[5] ( PIN mprj_adr_o_core[5] ) ( _408_ A ) + USE SIGNAL ;
- mprj_adr_o_core[6] ( PIN mprj_adr_o_core[6] ) ( _409_ A ) + USE SIGNAL ;
- mprj_adr_o_core[7] ( PIN mprj_adr_o_core[7] ) ( _410_ A ) + USE SIGNAL ;
- mprj_adr_o_core[8] ( PIN mprj_adr_o_core[8] ) ( _411_ A ) + USE SIGNAL ;
- mprj_adr_o_core[9] ( PIN mprj_adr_o_core[9] ) ( _412_ A ) + USE SIGNAL ;
- mprj_adr_o_user[0] ( PIN mprj_adr_o_user[0] ) ( mprj_adr_buf\[0\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[10] ( PIN mprj_adr_o_user[10] ) ( mprj_adr_buf\[10\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[11] ( PIN mprj_adr_o_user[11] ) ( mprj_adr_buf\[11\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[12] ( PIN mprj_adr_o_user[12] ) ( mprj_adr_buf\[12\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[13] ( PIN mprj_adr_o_user[13] ) ( mprj_adr_buf\[13\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[14] ( PIN mprj_adr_o_user[14] ) ( mprj_adr_buf\[14\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[15] ( PIN mprj_adr_o_user[15] ) ( mprj_adr_buf\[15\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[16] ( PIN mprj_adr_o_user[16] ) ( mprj_adr_buf\[16\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[17] ( PIN mprj_adr_o_user[17] ) ( mprj_adr_buf\[17\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[18] ( PIN mprj_adr_o_user[18] ) ( mprj_adr_buf\[18\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[19] ( PIN mprj_adr_o_user[19] ) ( mprj_adr_buf\[19\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[1] ( PIN mprj_adr_o_user[1] ) ( mprj_adr_buf\[1\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[20] ( PIN mprj_adr_o_user[20] ) ( mprj_adr_buf\[20\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[21] ( PIN mprj_adr_o_user[21] ) ( mprj_adr_buf\[21\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[22] ( PIN mprj_adr_o_user[22] ) ( mprj_adr_buf\[22\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[23] ( PIN mprj_adr_o_user[23] ) ( mprj_adr_buf\[23\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[24] ( PIN mprj_adr_o_user[24] ) ( mprj_adr_buf\[24\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[25] ( PIN mprj_adr_o_user[25] ) ( mprj_adr_buf\[25\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[26] ( PIN mprj_adr_o_user[26] ) ( mprj_adr_buf\[26\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[27] ( PIN mprj_adr_o_user[27] ) ( mprj_adr_buf\[27\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[28] ( PIN mprj_adr_o_user[28] ) ( mprj_adr_buf\[28\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[29] ( PIN mprj_adr_o_user[29] ) ( mprj_adr_buf\[29\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[2] ( PIN mprj_adr_o_user[2] ) ( mprj_adr_buf\[2\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[30] ( PIN mprj_adr_o_user[30] ) ( mprj_adr_buf\[30\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[31] ( PIN mprj_adr_o_user[31] ) ( mprj_adr_buf\[31\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[3] ( PIN mprj_adr_o_user[3] ) ( mprj_adr_buf\[3\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[4] ( PIN mprj_adr_o_user[4] ) ( mprj_adr_buf\[4\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[5] ( PIN mprj_adr_o_user[5] ) ( mprj_adr_buf\[5\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[6] ( PIN mprj_adr_o_user[6] ) ( mprj_adr_buf\[6\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[7] ( PIN mprj_adr_o_user[7] ) ( mprj_adr_buf\[7\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[8] ( PIN mprj_adr_o_user[8] ) ( mprj_adr_buf\[8\] Z ) + USE SIGNAL ;
- mprj_adr_o_user[9] ( PIN mprj_adr_o_user[9] ) ( mprj_adr_buf\[9\] Z ) + USE SIGNAL ;
- mprj_cyc_o_core ( PIN mprj_cyc_o_core ) ( _396_ A ) + USE SIGNAL ;
- mprj_cyc_o_user ( PIN mprj_cyc_o_user ) ( mprj_cyc_buf Z ) + USE SIGNAL ;
- mprj_dat_o_core[0] ( PIN mprj_dat_o_core[0] ) ( _435_ A ) + USE SIGNAL ;
- mprj_dat_o_core[10] ( PIN mprj_dat_o_core[10] ) ( _445_ A ) + USE SIGNAL ;
- mprj_dat_o_core[11] ( PIN mprj_dat_o_core[11] ) ( _446_ A ) + USE SIGNAL ;
- mprj_dat_o_core[12] ( PIN mprj_dat_o_core[12] ) ( _447_ A ) + USE SIGNAL ;
- mprj_dat_o_core[13] ( PIN mprj_dat_o_core[13] ) ( _448_ A ) + USE SIGNAL ;
- mprj_dat_o_core[14] ( PIN mprj_dat_o_core[14] ) ( _449_ A ) + USE SIGNAL ;
- mprj_dat_o_core[15] ( PIN mprj_dat_o_core[15] ) ( _450_ A ) + USE SIGNAL ;
- mprj_dat_o_core[16] ( PIN mprj_dat_o_core[16] ) ( _451_ A ) + USE SIGNAL ;
- mprj_dat_o_core[17] ( PIN mprj_dat_o_core[17] ) ( _452_ A ) + USE SIGNAL ;
- mprj_dat_o_core[18] ( PIN mprj_dat_o_core[18] ) ( _453_ A ) + USE SIGNAL ;
- mprj_dat_o_core[19] ( PIN mprj_dat_o_core[19] ) ( _454_ A ) + USE SIGNAL ;
- mprj_dat_o_core[1] ( PIN mprj_dat_o_core[1] ) ( _436_ A ) + USE SIGNAL ;
- mprj_dat_o_core[20] ( PIN mprj_dat_o_core[20] ) ( _455_ A ) + USE SIGNAL ;
- mprj_dat_o_core[21] ( PIN mprj_dat_o_core[21] ) ( _456_ A ) + USE SIGNAL ;
- mprj_dat_o_core[22] ( PIN mprj_dat_o_core[22] ) ( _457_ A ) + USE SIGNAL ;
- mprj_dat_o_core[23] ( PIN mprj_dat_o_core[23] ) ( _458_ A ) + USE SIGNAL ;
- mprj_dat_o_core[24] ( PIN mprj_dat_o_core[24] ) ( _459_ A ) + USE SIGNAL ;
- mprj_dat_o_core[25] ( PIN mprj_dat_o_core[25] ) ( _460_ A ) + USE SIGNAL ;
- mprj_dat_o_core[26] ( PIN mprj_dat_o_core[26] ) ( _461_ A ) + USE SIGNAL ;
- mprj_dat_o_core[27] ( PIN mprj_dat_o_core[27] ) ( _462_ A ) + USE SIGNAL ;
- mprj_dat_o_core[28] ( PIN mprj_dat_o_core[28] ) ( _463_ A ) + USE SIGNAL ;
- mprj_dat_o_core[29] ( PIN mprj_dat_o_core[29] ) ( _464_ A ) + USE SIGNAL ;
- mprj_dat_o_core[2] ( PIN mprj_dat_o_core[2] ) ( _437_ A ) + USE SIGNAL ;
- mprj_dat_o_core[30] ( PIN mprj_dat_o_core[30] ) ( _465_ A ) + USE SIGNAL ;
- mprj_dat_o_core[31] ( PIN mprj_dat_o_core[31] ) ( _466_ A ) + USE SIGNAL ;
- mprj_dat_o_core[3] ( PIN mprj_dat_o_core[3] ) ( _438_ A ) + USE SIGNAL ;
- mprj_dat_o_core[4] ( PIN mprj_dat_o_core[4] ) ( _439_ A ) + USE SIGNAL ;
- mprj_dat_o_core[5] ( PIN mprj_dat_o_core[5] ) ( _440_ A ) + USE SIGNAL ;
- mprj_dat_o_core[6] ( PIN mprj_dat_o_core[6] ) ( _441_ A ) + USE SIGNAL ;
- mprj_dat_o_core[7] ( PIN mprj_dat_o_core[7] ) ( _442_ A ) + USE SIGNAL ;
- mprj_dat_o_core[8] ( PIN mprj_dat_o_core[8] ) ( _443_ A ) + USE SIGNAL ;
- mprj_dat_o_core[9] ( PIN mprj_dat_o_core[9] ) ( _444_ A ) + USE SIGNAL ;
- mprj_dat_o_user[0] ( PIN mprj_dat_o_user[0] ) ( mprj_dat_buf\[0\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[10] ( PIN mprj_dat_o_user[10] ) ( mprj_dat_buf\[10\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[11] ( PIN mprj_dat_o_user[11] ) ( mprj_dat_buf\[11\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[12] ( PIN mprj_dat_o_user[12] ) ( mprj_dat_buf\[12\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[13] ( PIN mprj_dat_o_user[13] ) ( mprj_dat_buf\[13\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[14] ( PIN mprj_dat_o_user[14] ) ( mprj_dat_buf\[14\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[15] ( PIN mprj_dat_o_user[15] ) ( mprj_dat_buf\[15\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[16] ( PIN mprj_dat_o_user[16] ) ( mprj_dat_buf\[16\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[17] ( PIN mprj_dat_o_user[17] ) ( mprj_dat_buf\[17\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[18] ( PIN mprj_dat_o_user[18] ) ( mprj_dat_buf\[18\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[19] ( PIN mprj_dat_o_user[19] ) ( mprj_dat_buf\[19\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[1] ( PIN mprj_dat_o_user[1] ) ( mprj_dat_buf\[1\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[20] ( PIN mprj_dat_o_user[20] ) ( mprj_dat_buf\[20\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[21] ( PIN mprj_dat_o_user[21] ) ( mprj_dat_buf\[21\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[22] ( PIN mprj_dat_o_user[22] ) ( mprj_dat_buf\[22\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[23] ( PIN mprj_dat_o_user[23] ) ( mprj_dat_buf\[23\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[24] ( PIN mprj_dat_o_user[24] ) ( mprj_dat_buf\[24\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[25] ( PIN mprj_dat_o_user[25] ) ( mprj_dat_buf\[25\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[26] ( PIN mprj_dat_o_user[26] ) ( mprj_dat_buf\[26\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[27] ( PIN mprj_dat_o_user[27] ) ( mprj_dat_buf\[27\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[28] ( PIN mprj_dat_o_user[28] ) ( mprj_dat_buf\[28\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[29] ( PIN mprj_dat_o_user[29] ) ( mprj_dat_buf\[29\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[2] ( PIN mprj_dat_o_user[2] ) ( mprj_dat_buf\[2\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[30] ( PIN mprj_dat_o_user[30] ) ( mprj_dat_buf\[30\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[31] ( PIN mprj_dat_o_user[31] ) ( mprj_dat_buf\[31\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[3] ( PIN mprj_dat_o_user[3] ) ( mprj_dat_buf\[3\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[4] ( PIN mprj_dat_o_user[4] ) ( mprj_dat_buf\[4\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[5] ( PIN mprj_dat_o_user[5] ) ( mprj_dat_buf\[5\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[6] ( PIN mprj_dat_o_user[6] ) ( mprj_dat_buf\[6\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[7] ( PIN mprj_dat_o_user[7] ) ( mprj_dat_buf\[7\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[8] ( PIN mprj_dat_o_user[8] ) ( mprj_dat_buf\[8\] Z ) + USE SIGNAL ;
- mprj_dat_o_user[9] ( PIN mprj_dat_o_user[9] ) ( mprj_dat_buf\[9\] Z ) + USE SIGNAL ;
- mprj_sel_o_core[0] ( PIN mprj_sel_o_core[0] ) ( _399_ A ) + USE SIGNAL ;
- mprj_sel_o_core[1] ( PIN mprj_sel_o_core[1] ) ( _400_ A ) + USE SIGNAL ;
- mprj_sel_o_core[2] ( PIN mprj_sel_o_core[2] ) ( _401_ A ) + USE SIGNAL ;
- mprj_sel_o_core[3] ( PIN mprj_sel_o_core[3] ) ( _402_ A ) + USE SIGNAL ;
- mprj_sel_o_user[0] ( PIN mprj_sel_o_user[0] ) ( mprj_sel_buf\[0\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[1] ( PIN mprj_sel_o_user[1] ) ( mprj_sel_buf\[1\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[2] ( PIN mprj_sel_o_user[2] ) ( mprj_sel_buf\[2\] Z ) + USE SIGNAL ;
- mprj_sel_o_user[3] ( PIN mprj_sel_o_user[3] ) ( mprj_sel_buf\[3\] Z ) + USE SIGNAL ;
- mprj_stb_o_core ( PIN mprj_stb_o_core ) ( _397_ A ) + USE SIGNAL ;
- mprj_stb_o_user ( PIN mprj_stb_o_user ) ( mprj_stb_buf Z ) + USE SIGNAL ;
- mprj_we_o_core ( PIN mprj_we_o_core ) ( _398_ A ) + USE SIGNAL ;
- mprj_we_o_user ( PIN mprj_we_o_user ) ( mprj_we_buf Z ) + USE SIGNAL ;
- user1_vcc_powergood ( PIN user1_vcc_powergood ) ( mprj_pwrgood X ) + USE SIGNAL ;
- user1_vdd_powergood ( PIN user1_vdd_powergood ) ( _660_ LO ) + USE SIGNAL ;
- user2_vcc_powergood ( PIN user2_vcc_powergood ) ( mprj2_pwrgood X ) + USE SIGNAL ;
- user2_vdd_powergood ( PIN user2_vdd_powergood ) ( mprj2_vdd_pwrgood X ) + USE SIGNAL ;
- user_clock ( PIN user_clock ) ( mprj_clk_buf Z ) + USE SIGNAL ;
- user_clock2 ( PIN user_clock2 ) ( mprj_clk2_buf Z ) + USE SIGNAL ;
- user_resetn ( PIN user_resetn ) ( mprj_rstn_buf Z ) + USE SIGNAL ;
- vccd ( PIN vccd ) + USE SIGNAL ;
- vccd1 ( PIN vccd1 ) + USE SIGNAL ;
- vccd2 ( PIN vccd2 ) + USE SIGNAL ;
- vdda1 ( PIN vdda1 ) + USE SIGNAL ;
- vdda2 ( PIN vdda2 ) + USE SIGNAL ;
- vssa1 ( PIN vssa1 ) + USE SIGNAL ;
- vssa2 ( PIN vssa2 ) + USE SIGNAL ;
- vssd ( PIN vssd ) + USE SIGNAL ;
- vssd1 ( PIN vssd1 ) + USE SIGNAL ;
- vssd2 ( PIN vssd2 ) + USE SIGNAL ;
- _000_ ( mprj_rstn_buf A ) ( _393_ Y ) + USE SIGNAL ;
- _001_ ( mprj_clk_buf A ) ( _394_ Y ) + USE SIGNAL ;
- _002_ ( mprj_clk2_buf A ) ( _395_ Y ) + USE SIGNAL ;
- _003_ ( mprj_cyc_buf A ) ( _396_ Y ) + USE SIGNAL ;
- _004_ ( mprj_stb_buf A ) ( _397_ Y ) + USE SIGNAL ;
- _005_ ( mprj_we_buf A ) ( _398_ Y ) + USE SIGNAL ;
- _006_ ( mprj_sel_buf\[0\] A ) ( _399_ Y ) + USE SIGNAL ;
- _007_ ( mprj_sel_buf\[1\] A ) ( _400_ Y ) + USE SIGNAL ;
- _008_ ( mprj_sel_buf\[2\] A ) ( _401_ Y ) + USE SIGNAL ;
- _009_ ( mprj_sel_buf\[3\] A ) ( _402_ Y ) + USE SIGNAL ;
- _010_ ( mprj_adr_buf\[0\] A ) ( _403_ Y ) + USE SIGNAL ;
- _011_ ( mprj_adr_buf\[10\] A ) ( _413_ Y ) + USE SIGNAL ;
- _012_ ( mprj_adr_buf\[11\] A ) ( _414_ Y ) + USE SIGNAL ;
- _013_ ( mprj_adr_buf\[12\] A ) ( _415_ Y ) + USE SIGNAL ;
- _014_ ( mprj_adr_buf\[13\] A ) ( _416_ Y ) + USE SIGNAL ;
- _015_ ( mprj_adr_buf\[14\] A ) ( _417_ Y ) + USE SIGNAL ;
- _016_ ( mprj_adr_buf\[15\] A ) ( _418_ Y ) + USE SIGNAL ;
- _017_ ( mprj_adr_buf\[16\] A ) ( _419_ Y ) + USE SIGNAL ;
- _018_ ( mprj_adr_buf\[17\] A ) ( _420_ Y ) + USE SIGNAL ;
- _019_ ( mprj_adr_buf\[18\] A ) ( _421_ Y ) + USE SIGNAL ;
- _020_ ( mprj_adr_buf\[19\] A ) ( _422_ Y ) + USE SIGNAL ;
- _021_ ( mprj_adr_buf\[1\] A ) ( _404_ Y ) + USE SIGNAL ;
- _022_ ( mprj_adr_buf\[20\] A ) ( _423_ Y ) + USE SIGNAL ;
- _023_ ( mprj_adr_buf\[21\] A ) ( _424_ Y ) + USE SIGNAL ;
- _024_ ( mprj_adr_buf\[22\] A ) ( _425_ Y ) + USE SIGNAL ;
- _025_ ( mprj_adr_buf\[23\] A ) ( _426_ Y ) + USE SIGNAL ;
- _026_ ( mprj_adr_buf\[24\] A ) ( _427_ Y ) + USE SIGNAL ;
- _027_ ( mprj_adr_buf\[25\] A ) ( _428_ Y ) + USE SIGNAL ;
- _028_ ( mprj_adr_buf\[26\] A ) ( _429_ Y ) + USE SIGNAL ;
- _029_ ( mprj_adr_buf\[27\] A ) ( _430_ Y ) + USE SIGNAL ;
- _030_ ( mprj_adr_buf\[28\] A ) ( _431_ Y ) + USE SIGNAL ;
- _031_ ( mprj_adr_buf\[29\] A ) ( _432_ Y ) + USE SIGNAL ;
- _032_ ( mprj_adr_buf\[2\] A ) ( _405_ Y ) + USE SIGNAL ;
- _033_ ( mprj_adr_buf\[30\] A ) ( _433_ Y ) + USE SIGNAL ;
- _034_ ( mprj_adr_buf\[31\] A ) ( _434_ Y ) + USE SIGNAL ;
- _035_ ( mprj_adr_buf\[3\] A ) ( _406_ Y ) + USE SIGNAL ;
- _036_ ( mprj_adr_buf\[4\] A ) ( _407_ Y ) + USE SIGNAL ;
- _037_ ( mprj_adr_buf\[5\] A ) ( _408_ Y ) + USE SIGNAL ;
- _038_ ( mprj_adr_buf\[6\] A ) ( _409_ Y ) + USE SIGNAL ;
- _039_ ( mprj_adr_buf\[7\] A ) ( _410_ Y ) + USE SIGNAL ;
- _040_ ( mprj_adr_buf\[8\] A ) ( _411_ Y ) + USE SIGNAL ;
- _041_ ( mprj_adr_buf\[9\] A ) ( _412_ Y ) + USE SIGNAL ;
- _042_ ( mprj_dat_buf\[0\] A ) ( _435_ Y ) + USE SIGNAL ;
- _043_ ( mprj_dat_buf\[10\] A ) ( _445_ Y ) + USE SIGNAL ;
- _044_ ( mprj_dat_buf\[11\] A ) ( _446_ Y ) + USE SIGNAL ;
- _045_ ( mprj_dat_buf\[12\] A ) ( _447_ Y ) + USE SIGNAL ;
- _046_ ( mprj_dat_buf\[13\] A ) ( _448_ Y ) + USE SIGNAL ;
- _047_ ( mprj_dat_buf\[14\] A ) ( _449_ Y ) + USE SIGNAL ;
- _048_ ( mprj_dat_buf\[15\] A ) ( _450_ Y ) + USE SIGNAL ;
- _049_ ( mprj_dat_buf\[16\] A ) ( _451_ Y ) + USE SIGNAL ;
- _050_ ( mprj_dat_buf\[17\] A ) ( _452_ Y ) + USE SIGNAL ;
- _051_ ( mprj_dat_buf\[18\] A ) ( _453_ Y ) + USE SIGNAL ;
- _052_ ( mprj_dat_buf\[19\] A ) ( _454_ Y ) + USE SIGNAL ;
- _053_ ( mprj_dat_buf\[1\] A ) ( _436_ Y ) + USE SIGNAL ;
- _054_ ( mprj_dat_buf\[20\] A ) ( _455_ Y ) + USE SIGNAL ;
- _055_ ( mprj_dat_buf\[21\] A ) ( _456_ Y ) + USE SIGNAL ;
- _056_ ( mprj_dat_buf\[22\] A ) ( _457_ Y ) + USE SIGNAL ;
- _057_ ( mprj_dat_buf\[23\] A ) ( _458_ Y ) + USE SIGNAL ;
- _058_ ( mprj_dat_buf\[24\] A ) ( _459_ Y ) + USE SIGNAL ;
- _059_ ( mprj_dat_buf\[25\] A ) ( _460_ Y ) + USE SIGNAL ;
- _060_ ( mprj_dat_buf\[26\] A ) ( _461_ Y ) + USE SIGNAL ;
- _061_ ( mprj_dat_buf\[27\] A ) ( _462_ Y ) + USE SIGNAL ;
- _062_ ( mprj_dat_buf\[28\] A ) ( _463_ Y ) + USE SIGNAL ;
- _063_ ( mprj_dat_buf\[29\] A ) ( _464_ Y ) + USE SIGNAL ;
- _064_ ( mprj_dat_buf\[2\] A ) ( _437_ Y ) + USE SIGNAL ;
- _065_ ( mprj_dat_buf\[30\] A ) ( _465_ Y ) + USE SIGNAL ;
- _066_ ( mprj_dat_buf\[31\] A ) ( _466_ Y ) + USE SIGNAL ;
- _067_ ( mprj_dat_buf\[3\] A ) ( _438_ Y ) + USE SIGNAL ;
- _068_ ( mprj_dat_buf\[4\] A ) ( _439_ Y ) + USE SIGNAL ;
- _069_ ( mprj_dat_buf\[5\] A ) ( _440_ Y ) + USE SIGNAL ;
- _070_ ( mprj_dat_buf\[6\] A ) ( _441_ Y ) + USE SIGNAL ;
- _071_ ( mprj_dat_buf\[7\] A ) ( _442_ Y ) + USE SIGNAL ;
- _072_ ( mprj_dat_buf\[8\] A ) ( _443_ Y ) + USE SIGNAL ;
- _073_ ( mprj_dat_buf\[9\] A ) ( _444_ Y ) + USE SIGNAL ;
- _074_ ( la_buf\[0\] A ) ( _595_ Y ) + USE SIGNAL ;
- _075_ ( la_buf\[100\] A ) ( _365_ Y ) + USE SIGNAL ;
- _076_ ( la_buf\[101\] A ) ( _366_ Y ) + USE SIGNAL ;
- _077_ ( la_buf\[102\] A ) ( _367_ Y ) + USE SIGNAL ;
- _078_ ( la_buf\[103\] A ) ( _368_ Y ) + USE SIGNAL ;
- _079_ ( la_buf\[104\] A ) ( _369_ Y ) + USE SIGNAL ;
- _080_ ( la_buf\[105\] A ) ( _370_ Y ) + USE SIGNAL ;
- _081_ ( la_buf\[106\] A ) ( _371_ Y ) + USE SIGNAL ;
- _082_ ( la_buf\[107\] A ) ( _372_ Y ) + USE SIGNAL ;
- _083_ ( la_buf\[108\] A ) ( _373_ Y ) + USE SIGNAL ;
- _084_ ( la_buf\[109\] A ) ( _374_ Y ) + USE SIGNAL ;
- _085_ ( la_buf\[10\] A ) ( _605_ Y ) + USE SIGNAL ;
- _086_ ( la_buf\[110\] A ) ( _375_ Y ) + USE SIGNAL ;
- _087_ ( la_buf\[111\] A ) ( _376_ Y ) + USE SIGNAL ;
- _088_ ( la_buf\[112\] A ) ( _377_ Y ) + USE SIGNAL ;
- _089_ ( la_buf\[113\] A ) ( _378_ Y ) + USE SIGNAL ;
- _090_ ( la_buf\[114\] A ) ( _379_ Y ) + USE SIGNAL ;
- _091_ ( la_buf\[115\] A ) ( _380_ Y ) + USE SIGNAL ;
- _092_ ( la_buf\[116\] A ) ( _381_ Y ) + USE SIGNAL ;
- _093_ ( la_buf\[117\] A ) ( _382_ Y ) + USE SIGNAL ;
- _094_ ( la_buf\[118\] A ) ( _383_ Y ) + USE SIGNAL ;
- _095_ ( la_buf\[119\] A ) ( _384_ Y ) + USE SIGNAL ;
- _096_ ( la_buf\[11\] A ) ( _606_ Y ) + USE SIGNAL ;
- _097_ ( la_buf\[120\] A ) ( _385_ Y ) + USE SIGNAL ;
- _098_ ( la_buf\[121\] A ) ( _386_ Y ) + USE SIGNAL ;
- _099_ ( la_buf\[122\] A ) ( _387_ Y ) + USE SIGNAL ;
- _100_ ( la_buf\[123\] A ) ( _388_ Y ) + USE SIGNAL ;
- _101_ ( la_buf\[124\] A ) ( _389_ Y ) + USE SIGNAL ;
- _102_ ( la_buf\[125\] A ) ( _390_ Y ) + USE SIGNAL ;
- _103_ ( la_buf\[126\] A ) ( _391_ Y ) + USE SIGNAL ;
- _104_ ( la_buf\[127\] A ) ( _392_ Y ) + USE SIGNAL ;
- _105_ ( la_buf\[12\] A ) ( _607_ Y ) + USE SIGNAL ;
- _106_ ( la_buf\[13\] A ) ( _608_ Y ) + USE SIGNAL ;
- _107_ ( la_buf\[14\] A ) ( _609_ Y ) + USE SIGNAL ;
- _108_ ( la_buf\[15\] A ) ( _610_ Y ) + USE SIGNAL ;
- _109_ ( la_buf\[16\] A ) ( _611_ Y ) + USE SIGNAL ;
- _110_ ( la_buf\[17\] A ) ( _612_ Y ) + USE SIGNAL ;
- _111_ ( la_buf\[18\] A ) ( _613_ Y ) + USE SIGNAL ;
- _112_ ( la_buf\[19\] A ) ( _614_ Y ) + USE SIGNAL ;
- _113_ ( la_buf\[1\] A ) ( _596_ Y ) + USE SIGNAL ;
- _114_ ( la_buf\[20\] A ) ( _615_ Y ) + USE SIGNAL ;
- _115_ ( la_buf\[21\] A ) ( _616_ Y ) + USE SIGNAL ;
- _116_ ( la_buf\[22\] A ) ( _617_ Y ) + USE SIGNAL ;
- _117_ ( la_buf\[23\] A ) ( _618_ Y ) + USE SIGNAL ;
- _118_ ( la_buf\[24\] A ) ( _619_ Y ) + USE SIGNAL ;
- _119_ ( la_buf\[25\] A ) ( _620_ Y ) + USE SIGNAL ;
- _120_ ( la_buf\[26\] A ) ( _621_ Y ) + USE SIGNAL ;
- _121_ ( la_buf\[27\] A ) ( _622_ Y ) + USE SIGNAL ;
- _122_ ( la_buf\[28\] A ) ( _623_ Y ) + USE SIGNAL ;
- _123_ ( la_buf\[29\] A ) ( _624_ Y ) + USE SIGNAL ;
- _124_ ( la_buf\[2\] A ) ( _597_ Y ) + USE SIGNAL ;
- _125_ ( la_buf\[30\] A ) ( _625_ Y ) + USE SIGNAL ;
- _126_ ( la_buf\[31\] A ) ( _626_ Y ) + USE SIGNAL ;
- _127_ ( la_buf\[32\] A ) ( _627_ Y ) + USE SIGNAL ;
- _128_ ( la_buf\[33\] A ) ( _628_ Y ) + USE SIGNAL ;
- _129_ ( la_buf\[34\] A ) ( _629_ Y ) + USE SIGNAL ;
- _130_ ( la_buf\[35\] A ) ( _630_ Y ) + USE SIGNAL ;
- _131_ ( la_buf\[36\] A ) ( _631_ Y ) + USE SIGNAL ;
- _132_ ( la_buf\[37\] A ) ( _632_ Y ) + USE SIGNAL ;
- _133_ ( la_buf\[38\] A ) ( _633_ Y ) + USE SIGNAL ;
- _134_ ( la_buf\[39\] A ) ( _634_ Y ) + USE SIGNAL ;
- _135_ ( la_buf\[3\] A ) ( _598_ Y ) + USE SIGNAL ;
- _136_ ( la_buf\[40\] A ) ( _635_ Y ) + USE SIGNAL ;
- _137_ ( la_buf\[41\] A ) ( _636_ Y ) + USE SIGNAL ;
- _138_ ( la_buf\[42\] A ) ( _637_ Y ) + USE SIGNAL ;
- _139_ ( la_buf\[43\] A ) ( _638_ Y ) + USE SIGNAL ;
- _140_ ( la_buf\[44\] A ) ( _639_ Y ) + USE SIGNAL ;
- _141_ ( la_buf\[45\] A ) ( _640_ Y ) + USE SIGNAL ;
- _142_ ( la_buf\[46\] A ) ( _641_ Y ) + USE SIGNAL ;
- _143_ ( la_buf\[47\] A ) ( _642_ Y ) + USE SIGNAL ;
- _144_ ( la_buf\[48\] A ) ( _643_ Y ) + USE SIGNAL ;
- _145_ ( la_buf\[49\] A ) ( _644_ Y ) + USE SIGNAL ;
- _146_ ( la_buf\[4\] A ) ( _599_ Y ) + USE SIGNAL ;
- _147_ ( la_buf\[50\] A ) ( _645_ Y ) + USE SIGNAL ;
- _148_ ( la_buf\[51\] A ) ( _646_ Y ) + USE SIGNAL ;
- _149_ ( la_buf\[52\] A ) ( _647_ Y ) + USE SIGNAL ;
- _150_ ( la_buf\[53\] A ) ( _648_ Y ) + USE SIGNAL ;
- _151_ ( la_buf\[54\] A ) ( _649_ Y ) + USE SIGNAL ;
- _152_ ( la_buf\[55\] A ) ( _650_ Y ) + USE SIGNAL ;
- _153_ ( la_buf\[56\] A ) ( _651_ Y ) + USE SIGNAL ;
- _154_ ( la_buf\[57\] A ) ( _652_ Y ) + USE SIGNAL ;
- _155_ ( la_buf\[58\] A ) ( _653_ Y ) + USE SIGNAL ;
- _156_ ( la_buf\[59\] A ) ( _654_ Y ) + USE SIGNAL ;
- _157_ ( la_buf\[5\] A ) ( _600_ Y ) + USE SIGNAL ;
- _158_ ( la_buf\[60\] A ) ( _655_ Y ) + USE SIGNAL ;
- _159_ ( la_buf\[61\] A ) ( _656_ Y ) + USE SIGNAL ;
- _160_ ( la_buf\[62\] A ) ( _657_ Y ) + USE SIGNAL ;
- _161_ ( la_buf\[63\] A ) ( _658_ Y ) + USE SIGNAL ;
- _162_ ( la_buf\[64\] A ) ( _659_ Y ) + USE SIGNAL ;
- _163_ ( la_buf\[65\] A ) ( _330_ Y ) + USE SIGNAL ;
- _164_ ( la_buf\[66\] A ) ( _331_ Y ) + USE SIGNAL ;
- _165_ ( la_buf\[67\] A ) ( _332_ Y ) + USE SIGNAL ;
- _166_ ( la_buf\[68\] A ) ( _333_ Y ) + USE SIGNAL ;
- _167_ ( la_buf\[69\] A ) ( _334_ Y ) + USE SIGNAL ;
- _168_ ( la_buf\[6\] A ) ( _601_ Y ) + USE SIGNAL ;
- _169_ ( la_buf\[70\] A ) ( _335_ Y ) + USE SIGNAL ;
- _170_ ( la_buf\[71\] A ) ( _336_ Y ) + USE SIGNAL ;
- _171_ ( la_buf\[72\] A ) ( _337_ Y ) + USE SIGNAL ;
- _172_ ( la_buf\[73\] A ) ( _338_ Y ) + USE SIGNAL ;
- _173_ ( la_buf\[74\] A ) ( _339_ Y ) + USE SIGNAL ;
- _174_ ( la_buf\[75\] A ) ( _340_ Y ) + USE SIGNAL ;
- _175_ ( la_buf\[76\] A ) ( _341_ Y ) + USE SIGNAL ;
- _176_ ( la_buf\[77\] A ) ( _342_ Y ) + USE SIGNAL ;
- _177_ ( la_buf\[78\] A ) ( _343_ Y ) + USE SIGNAL ;
- _178_ ( la_buf\[79\] A ) ( _344_ Y ) + USE SIGNAL ;
- _179_ ( la_buf\[7\] A ) ( _602_ Y ) + USE SIGNAL ;
- _180_ ( la_buf\[80\] A ) ( _345_ Y ) + USE SIGNAL ;
- _181_ ( la_buf\[81\] A ) ( _346_ Y ) + USE SIGNAL ;
- _182_ ( la_buf\[82\] A ) ( _347_ Y ) + USE SIGNAL ;
- _183_ ( la_buf\[83\] A ) ( _348_ Y ) + USE SIGNAL ;
- _184_ ( la_buf\[84\] A ) ( _349_ Y ) + USE SIGNAL ;
- _185_ ( la_buf\[85\] A ) ( _350_ Y ) + USE SIGNAL ;
- _186_ ( la_buf\[86\] A ) ( _351_ Y ) + USE SIGNAL ;
- _187_ ( la_buf\[87\] A ) ( _352_ Y ) + USE SIGNAL ;
- _188_ ( la_buf\[88\] A ) ( _353_ Y ) + USE SIGNAL ;
- _189_ ( la_buf\[89\] A ) ( _354_ Y ) + USE SIGNAL ;
- _190_ ( la_buf\[8\] A ) ( _603_ Y ) + USE SIGNAL ;
- _191_ ( la_buf\[90\] A ) ( _355_ Y ) + USE SIGNAL ;
- _192_ ( la_buf\[91\] A ) ( _356_ Y ) + USE SIGNAL ;
- _193_ ( la_buf\[92\] A ) ( _357_ Y ) + USE SIGNAL ;
- _194_ ( la_buf\[93\] A ) ( _358_ Y ) + USE SIGNAL ;
- _195_ ( la_buf\[94\] A ) ( _359_ Y ) + USE SIGNAL ;
- _196_ ( la_buf\[95\] A ) ( _360_ Y ) + USE SIGNAL ;
- _197_ ( la_buf\[96\] A ) ( _361_ Y ) + USE SIGNAL ;
- _198_ ( la_buf\[97\] A ) ( _362_ Y ) + USE SIGNAL ;
- _199_ ( la_buf\[98\] A ) ( _363_ Y ) + USE SIGNAL ;
- _200_ ( la_buf\[99\] A ) ( _364_ Y ) + USE SIGNAL ;
- _201_ ( la_buf\[9\] A ) ( _604_ Y ) + USE SIGNAL ;
- _202_ ( la_buf\[0\] TE ) ( _467_ Y ) + USE SIGNAL ;
- _203_ ( la_buf\[100\] TE ) ( _567_ Y ) + USE SIGNAL ;
- _204_ ( la_buf\[101\] TE ) ( _568_ Y ) + USE SIGNAL ;
- _205_ ( la_buf\[102\] TE ) ( _569_ Y ) + USE SIGNAL ;
- _206_ ( la_buf\[103\] TE ) ( _570_ Y ) + USE SIGNAL ;
- _207_ ( la_buf\[104\] TE ) ( _571_ Y ) + USE SIGNAL ;
- _208_ ( la_buf\[105\] TE ) ( _572_ Y ) + USE SIGNAL ;
- _209_ ( la_buf\[106\] TE ) ( _573_ Y ) + USE SIGNAL ;
- _210_ ( la_buf\[107\] TE ) ( _574_ Y ) + USE SIGNAL ;
- _211_ ( la_buf\[108\] TE ) ( _575_ Y ) + USE SIGNAL ;
- _212_ ( la_buf\[109\] TE ) ( _576_ Y ) + USE SIGNAL ;
- _213_ ( la_buf\[10\] TE ) ( _477_ Y ) + USE SIGNAL ;
- _214_ ( la_buf\[110\] TE ) ( _577_ Y ) + USE SIGNAL ;
- _215_ ( la_buf\[111\] TE ) ( _578_ Y ) + USE SIGNAL ;
- _216_ ( la_buf\[112\] TE ) ( _579_ Y ) + USE SIGNAL ;
- _217_ ( la_buf\[113\] TE ) ( _580_ Y ) + USE SIGNAL ;
- _218_ ( la_buf\[114\] TE ) ( _581_ Y ) + USE SIGNAL ;
- _219_ ( la_buf\[115\] TE ) ( _582_ Y ) + USE SIGNAL ;
- _220_ ( la_buf\[116\] TE ) ( _583_ Y ) + USE SIGNAL ;
- _221_ ( la_buf\[117\] TE ) ( _584_ Y ) + USE SIGNAL ;
- _222_ ( la_buf\[118\] TE ) ( _585_ Y ) + USE SIGNAL ;
- _223_ ( la_buf\[119\] TE ) ( _586_ Y ) + USE SIGNAL ;
- _224_ ( la_buf\[11\] TE ) ( _478_ Y ) + USE SIGNAL ;
- _225_ ( la_buf\[120\] TE ) ( _587_ Y ) + USE SIGNAL ;
- _226_ ( la_buf\[121\] TE ) ( _588_ Y ) + USE SIGNAL ;
- _227_ ( la_buf\[122\] TE ) ( _589_ Y ) + USE SIGNAL ;
- _228_ ( la_buf\[123\] TE ) ( _590_ Y ) + USE SIGNAL ;
- _229_ ( la_buf\[124\] TE ) ( _591_ Y ) + USE SIGNAL ;
- _230_ ( la_buf\[125\] TE ) ( _592_ Y ) + USE SIGNAL ;
- _231_ ( la_buf\[126\] TE ) ( _593_ Y ) + USE SIGNAL ;
- _232_ ( la_buf\[127\] TE ) ( _594_ Y ) + USE SIGNAL ;
- _233_ ( la_buf\[12\] TE ) ( _479_ Y ) + USE SIGNAL ;
- _234_ ( la_buf\[13\] TE ) ( _480_ Y ) + USE SIGNAL ;
- _235_ ( la_buf\[14\] TE ) ( _481_ Y ) + USE SIGNAL ;
- _236_ ( la_buf\[15\] TE ) ( _482_ Y ) + USE SIGNAL ;
- _237_ ( la_buf\[16\] TE ) ( _483_ Y ) + USE SIGNAL ;
- _238_ ( la_buf\[17\] TE ) ( _484_ Y ) + USE SIGNAL ;
- _239_ ( la_buf\[18\] TE ) ( _485_ Y ) + USE SIGNAL ;
- _240_ ( la_buf\[19\] TE ) ( _486_ Y ) + USE SIGNAL ;
- _241_ ( la_buf\[1\] TE ) ( _468_ Y ) + USE SIGNAL ;
- _242_ ( la_buf\[20\] TE ) ( _487_ Y ) + USE SIGNAL ;
- _243_ ( la_buf\[21\] TE ) ( _488_ Y ) + USE SIGNAL ;
- _244_ ( la_buf\[22\] TE ) ( _489_ Y ) + USE SIGNAL ;
- _245_ ( la_buf\[23\] TE ) ( _490_ Y ) + USE SIGNAL ;
- _246_ ( la_buf\[24\] TE ) ( _491_ Y ) + USE SIGNAL ;
- _247_ ( la_buf\[25\] TE ) ( _492_ Y ) + USE SIGNAL ;
- _248_ ( la_buf\[26\] TE ) ( _493_ Y ) + USE SIGNAL ;
- _249_ ( la_buf\[27\] TE ) ( _494_ Y ) + USE SIGNAL ;
- _250_ ( la_buf\[28\] TE ) ( _495_ Y ) + USE SIGNAL ;
- _251_ ( la_buf\[29\] TE ) ( _496_ Y ) + USE SIGNAL ;
- _252_ ( la_buf\[2\] TE ) ( _469_ Y ) + USE SIGNAL ;
- _253_ ( la_buf\[30\] TE ) ( _497_ Y ) + USE SIGNAL ;
- _254_ ( la_buf\[31\] TE ) ( _498_ Y ) + USE SIGNAL ;
- _255_ ( la_buf\[32\] TE ) ( _499_ Y ) + USE SIGNAL ;
- _256_ ( la_buf\[33\] TE ) ( _500_ Y ) + USE SIGNAL ;
- _257_ ( la_buf\[34\] TE ) ( _501_ Y ) + USE SIGNAL ;
- _258_ ( la_buf\[35\] TE ) ( _502_ Y ) + USE SIGNAL ;
- _259_ ( la_buf\[36\] TE ) ( _503_ Y ) + USE SIGNAL ;
- _260_ ( la_buf\[37\] TE ) ( _504_ Y ) + USE SIGNAL ;
- _261_ ( la_buf\[38\] TE ) ( _505_ Y ) + USE SIGNAL ;
- _262_ ( la_buf\[39\] TE ) ( _506_ Y ) + USE SIGNAL ;
- _263_ ( la_buf\[3\] TE ) ( _470_ Y ) + USE SIGNAL ;
- _264_ ( la_buf\[40\] TE ) ( _507_ Y ) + USE SIGNAL ;
- _265_ ( la_buf\[41\] TE ) ( _508_ Y ) + USE SIGNAL ;
- _266_ ( la_buf\[42\] TE ) ( _509_ Y ) + USE SIGNAL ;
- _267_ ( la_buf\[43\] TE ) ( _510_ Y ) + USE SIGNAL ;
- _268_ ( la_buf\[44\] TE ) ( _511_ Y ) + USE SIGNAL ;
- _269_ ( la_buf\[45\] TE ) ( _512_ Y ) + USE SIGNAL ;
- _270_ ( la_buf\[46\] TE ) ( _513_ Y ) + USE SIGNAL ;
- _271_ ( la_buf\[47\] TE ) ( _514_ Y ) + USE SIGNAL ;
- _272_ ( la_buf\[48\] TE ) ( _515_ Y ) + USE SIGNAL ;
- _273_ ( la_buf\[49\] TE ) ( _516_ Y ) + USE SIGNAL ;
- _274_ ( la_buf\[4\] TE ) ( _471_ Y ) + USE SIGNAL ;
- _275_ ( la_buf\[50\] TE ) ( _517_ Y ) + USE SIGNAL ;
- _276_ ( la_buf\[51\] TE ) ( _518_ Y ) + USE SIGNAL ;
- _277_ ( la_buf\[52\] TE ) ( _519_ Y ) + USE SIGNAL ;
- _278_ ( la_buf\[53\] TE ) ( _520_ Y ) + USE SIGNAL ;
- _279_ ( la_buf\[54\] TE ) ( _521_ Y ) + USE SIGNAL ;
- _280_ ( la_buf\[55\] TE ) ( _522_ Y ) + USE SIGNAL ;
- _281_ ( la_buf\[56\] TE ) ( _523_ Y ) + USE SIGNAL ;
- _282_ ( la_buf\[57\] TE ) ( _524_ Y ) + USE SIGNAL ;
- _283_ ( la_buf\[58\] TE ) ( _525_ Y ) + USE SIGNAL ;
- _284_ ( la_buf\[59\] TE ) ( _526_ Y ) + USE SIGNAL ;
- _285_ ( la_buf\[5\] TE ) ( _472_ Y ) + USE SIGNAL ;
- _286_ ( la_buf\[60\] TE ) ( _527_ Y ) + USE SIGNAL ;
- _287_ ( la_buf\[61\] TE ) ( _528_ Y ) + USE SIGNAL ;
- _288_ ( la_buf\[62\] TE ) ( _529_ Y ) + USE SIGNAL ;
- _289_ ( la_buf\[63\] TE ) ( _530_ Y ) + USE SIGNAL ;
- _290_ ( la_buf\[64\] TE ) ( _531_ Y ) + USE SIGNAL ;
- _291_ ( la_buf\[65\] TE ) ( _532_ Y ) + USE SIGNAL ;
- _292_ ( la_buf\[66\] TE ) ( _533_ Y ) + USE SIGNAL ;
- _293_ ( la_buf\[67\] TE ) ( _534_ Y ) + USE SIGNAL ;
- _294_ ( la_buf\[68\] TE ) ( _535_ Y ) + USE SIGNAL ;
- _295_ ( la_buf\[69\] TE ) ( _536_ Y ) + USE SIGNAL ;
- _296_ ( la_buf\[6\] TE ) ( _473_ Y ) + USE SIGNAL ;
- _297_ ( la_buf\[70\] TE ) ( _537_ Y ) + USE SIGNAL ;
- _298_ ( la_buf\[71\] TE ) ( _538_ Y ) + USE SIGNAL ;
- _299_ ( la_buf\[72\] TE ) ( _539_ Y ) + USE SIGNAL ;
- _300_ ( la_buf\[73\] TE ) ( _540_ Y ) + USE SIGNAL ;
- _301_ ( la_buf\[74\] TE ) ( _541_ Y ) + USE SIGNAL ;
- _302_ ( la_buf\[75\] TE ) ( _542_ Y ) + USE SIGNAL ;
- _303_ ( la_buf\[76\] TE ) ( _543_ Y ) + USE SIGNAL ;
- _304_ ( la_buf\[77\] TE ) ( _544_ Y ) + USE SIGNAL ;
- _305_ ( la_buf\[78\] TE ) ( _545_ Y ) + USE SIGNAL ;
- _306_ ( la_buf\[79\] TE ) ( _546_ Y ) + USE SIGNAL ;
- _307_ ( la_buf\[7\] TE ) ( _474_ Y ) + USE SIGNAL ;
- _308_ ( la_buf\[80\] TE ) ( _547_ Y ) + USE SIGNAL ;
- _309_ ( la_buf\[81\] TE ) ( _548_ Y ) + USE SIGNAL ;
- _310_ ( la_buf\[82\] TE ) ( _549_ Y ) + USE SIGNAL ;
- _311_ ( la_buf\[83\] TE ) ( _550_ Y ) + USE SIGNAL ;
- _312_ ( la_buf\[84\] TE ) ( _551_ Y ) + USE SIGNAL ;
- _313_ ( la_buf\[85\] TE ) ( _552_ Y ) + USE SIGNAL ;
- _314_ ( la_buf\[86\] TE ) ( _553_ Y ) + USE SIGNAL ;
- _315_ ( la_buf\[87\] TE ) ( _554_ Y ) + USE SIGNAL ;
- _316_ ( la_buf\[88\] TE ) ( _555_ Y ) + USE SIGNAL ;
- _317_ ( la_buf\[89\] TE ) ( _556_ Y ) + USE SIGNAL ;
- _318_ ( la_buf\[8\] TE ) ( _475_ Y ) + USE SIGNAL ;
- _319_ ( la_buf\[90\] TE ) ( _557_ Y ) + USE SIGNAL ;
- _320_ ( la_buf\[91\] TE ) ( _558_ Y ) + USE SIGNAL ;
- _321_ ( la_buf\[92\] TE ) ( _559_ Y ) + USE SIGNAL ;
- _322_ ( la_buf\[93\] TE ) ( _560_ Y ) + USE SIGNAL ;
- _323_ ( la_buf\[94\] TE ) ( _561_ Y ) + USE SIGNAL ;
- _324_ ( la_buf\[95\] TE ) ( _562_ Y ) + USE SIGNAL ;
- _325_ ( la_buf\[96\] TE ) ( _563_ Y ) + USE SIGNAL ;
- _326_ ( la_buf\[97\] TE ) ( _564_ Y ) + USE SIGNAL ;
- _327_ ( la_buf\[98\] TE ) ( _565_ Y ) + USE SIGNAL ;
- _328_ ( la_buf\[99\] TE ) ( _566_ Y ) + USE SIGNAL ;
- _329_ ( la_buf\[9\] TE ) ( _476_ Y ) + USE SIGNAL ;
- mprj2_logic1 ( mprj2_pwrgood A ) ( mprj2_logic_high HI ) + USE SIGNAL ;
- mprj2_vdd_logic1 ( mprj2_vdd_pwrgood A ) + USE SIGNAL ;
- mprj2_vdd_logic1_h + USE SIGNAL ;
- mprj_logic1\[0\] ( mprj_rstn_buf TE ) ( mprj_logic_high\[0\] HI ) + USE SIGNAL ;
- mprj_logic1\[10\] ( mprj_logic_high\[10\] HI ) ( mprj_adr_buf\[0\] TE ) + USE SIGNAL ;
- mprj_logic1\[11\] ( mprj_logic_high\[11\] HI ) ( mprj_adr_buf\[1\] TE ) + USE SIGNAL ;
- mprj_logic1\[12\] ( mprj_logic_high\[12\] HI ) ( mprj_adr_buf\[2\] TE ) + USE SIGNAL ;
- mprj_logic1\[13\] ( mprj_logic_high\[13\] HI ) ( mprj_adr_buf\[3\] TE ) + USE SIGNAL ;
- mprj_logic1\[14\] ( mprj_logic_high\[14\] HI ) ( mprj_adr_buf\[4\] TE ) + USE SIGNAL ;
- mprj_logic1\[15\] ( mprj_logic_high\[15\] HI ) ( mprj_adr_buf\[5\] TE ) + USE SIGNAL ;
- mprj_logic1\[16\] ( mprj_logic_high\[16\] HI ) ( mprj_adr_buf\[6\] TE ) + USE SIGNAL ;
- mprj_logic1\[17\] ( mprj_logic_high\[17\] HI ) ( mprj_adr_buf\[7\] TE ) + USE SIGNAL ;
- mprj_logic1\[18\] ( mprj_logic_high\[18\] HI ) ( mprj_adr_buf\[8\] TE ) + USE SIGNAL ;
- mprj_logic1\[19\] ( mprj_logic_high\[19\] HI ) ( mprj_adr_buf\[9\] TE ) + USE SIGNAL ;
- mprj_logic1\[1\] ( mprj_logic_high\[1\] HI ) ( mprj_clk_buf TE ) + USE SIGNAL ;
- mprj_logic1\[20\] ( mprj_logic_high\[20\] HI ) ( mprj_adr_buf\[10\] TE ) + USE SIGNAL ;
- mprj_logic1\[21\] ( mprj_logic_high\[21\] HI ) ( mprj_adr_buf\[11\] TE ) + USE SIGNAL ;
- mprj_logic1\[22\] ( mprj_logic_high\[22\] HI ) ( mprj_adr_buf\[12\] TE ) + USE SIGNAL ;
- mprj_logic1\[23\] ( mprj_logic_high\[23\] HI ) ( mprj_adr_buf\[13\] TE ) + USE SIGNAL ;
- mprj_logic1\[24\] ( mprj_logic_high\[24\] HI ) ( mprj_adr_buf\[14\] TE ) + USE SIGNAL ;
- mprj_logic1\[25\] ( mprj_logic_high\[25\] HI ) ( mprj_adr_buf\[15\] TE ) + USE SIGNAL ;
- mprj_logic1\[26\] ( mprj_logic_high\[26\] HI ) ( mprj_adr_buf\[16\] TE ) + USE SIGNAL ;
- mprj_logic1\[27\] ( mprj_logic_high\[27\] HI ) ( mprj_adr_buf\[17\] TE ) + USE SIGNAL ;
- mprj_logic1\[28\] ( mprj_logic_high\[28\] HI ) ( mprj_adr_buf\[18\] TE ) + USE SIGNAL ;
- mprj_logic1\[29\] ( mprj_logic_high\[29\] HI ) ( mprj_adr_buf\[19\] TE ) + USE SIGNAL ;
- mprj_logic1\[2\] ( mprj_logic_high\[2\] HI ) ( mprj_clk2_buf TE ) + USE SIGNAL ;
- mprj_logic1\[30\] ( mprj_logic_high\[30\] HI ) ( mprj_adr_buf\[20\] TE ) + USE SIGNAL ;
- mprj_logic1\[31\] ( mprj_logic_high\[31\] HI ) ( mprj_adr_buf\[21\] TE ) + USE SIGNAL ;
- mprj_logic1\[32\] ( mprj_logic_high\[32\] HI ) ( mprj_adr_buf\[22\] TE ) + USE SIGNAL ;
- mprj_logic1\[33\] ( mprj_logic_high\[33\] HI ) ( mprj_adr_buf\[23\] TE ) + USE SIGNAL ;
- mprj_logic1\[34\] ( mprj_logic_high\[34\] HI ) ( mprj_adr_buf\[24\] TE ) + USE SIGNAL ;
- mprj_logic1\[35\] ( mprj_logic_high\[35\] HI ) ( mprj_adr_buf\[25\] TE ) + USE SIGNAL ;
- mprj_logic1\[36\] ( mprj_logic_high\[36\] HI ) ( mprj_adr_buf\[26\] TE ) + USE SIGNAL ;
- mprj_logic1\[37\] ( mprj_logic_high\[37\] HI ) ( mprj_adr_buf\[27\] TE ) + USE SIGNAL ;
- mprj_logic1\[38\] ( mprj_logic_high\[38\] HI ) ( mprj_adr_buf\[28\] TE ) + USE SIGNAL ;
- mprj_logic1\[39\] ( mprj_logic_high\[39\] HI ) ( mprj_adr_buf\[29\] TE ) + USE SIGNAL ;
- mprj_logic1\[3\] ( mprj_logic_high\[3\] HI ) ( mprj_cyc_buf TE ) + USE SIGNAL ;
- mprj_logic1\[40\] ( mprj_logic_high\[40\] HI ) ( mprj_adr_buf\[30\] TE ) + USE SIGNAL ;
- mprj_logic1\[41\] ( mprj_logic_high\[41\] HI ) ( mprj_adr_buf\[31\] TE ) + USE SIGNAL ;
- mprj_logic1\[42\] ( mprj_logic_high\[42\] HI ) ( mprj_dat_buf\[0\] TE ) + USE SIGNAL ;
- mprj_logic1\[43\] ( mprj_logic_high\[43\] HI ) ( mprj_dat_buf\[1\] TE ) + USE SIGNAL ;
- mprj_logic1\[44\] ( mprj_logic_high\[44\] HI ) ( mprj_dat_buf\[2\] TE ) + USE SIGNAL ;
- mprj_logic1\[45\] ( mprj_logic_high\[45\] HI ) ( mprj_dat_buf\[3\] TE ) + USE SIGNAL ;
- mprj_logic1\[46\] ( mprj_logic_high\[46\] HI ) ( mprj_dat_buf\[4\] TE ) + USE SIGNAL ;
- mprj_logic1\[47\] ( mprj_logic_high\[47\] HI ) ( mprj_dat_buf\[5\] TE ) + USE SIGNAL ;
- mprj_logic1\[48\] ( mprj_logic_high\[48\] HI ) ( mprj_dat_buf\[6\] TE ) + USE SIGNAL ;
- mprj_logic1\[49\] ( mprj_logic_high\[49\] HI ) ( mprj_dat_buf\[7\] TE ) + USE SIGNAL ;
- mprj_logic1\[4\] ( mprj_stb_buf TE ) ( mprj_logic_high\[4\] HI ) + USE SIGNAL ;
- mprj_logic1\[50\] ( mprj_logic_high\[50\] HI ) ( mprj_dat_buf\[8\] TE ) + USE SIGNAL ;
- mprj_logic1\[51\] ( mprj_logic_high\[51\] HI ) ( mprj_dat_buf\[9\] TE ) + USE SIGNAL ;
- mprj_logic1\[52\] ( mprj_logic_high\[52\] HI ) ( mprj_dat_buf\[10\] TE ) + USE SIGNAL ;
- mprj_logic1\[53\] ( mprj_logic_high\[53\] HI ) ( mprj_dat_buf\[11\] TE ) + USE SIGNAL ;
- mprj_logic1\[54\] ( mprj_logic_high\[54\] HI ) ( mprj_dat_buf\[12\] TE ) + USE SIGNAL ;
- mprj_logic1\[55\] ( mprj_logic_high\[55\] HI ) ( mprj_dat_buf\[13\] TE ) + USE SIGNAL ;
- mprj_logic1\[56\] ( mprj_logic_high\[56\] HI ) ( mprj_dat_buf\[14\] TE ) + USE SIGNAL ;
- mprj_logic1\[57\] ( mprj_logic_high\[57\] HI ) ( mprj_dat_buf\[15\] TE ) + USE SIGNAL ;
- mprj_logic1\[58\] ( mprj_logic_high\[58\] HI ) ( mprj_dat_buf\[16\] TE ) + USE SIGNAL ;
- mprj_logic1\[59\] ( mprj_logic_high\[59\] HI ) ( mprj_dat_buf\[17\] TE ) + USE SIGNAL ;
- mprj_logic1\[5\] ( mprj_we_buf TE ) ( mprj_logic_high\[5\] HI ) + USE SIGNAL ;
- mprj_logic1\[60\] ( mprj_logic_high\[60\] HI ) ( mprj_dat_buf\[18\] TE ) + USE SIGNAL ;
- mprj_logic1\[61\] ( mprj_logic_high\[61\] HI ) ( mprj_dat_buf\[19\] TE ) + USE SIGNAL ;
- mprj_logic1\[62\] ( mprj_logic_high\[62\] HI ) ( mprj_dat_buf\[20\] TE ) + USE SIGNAL ;
- mprj_logic1\[63\] ( mprj_logic_high\[63\] HI ) ( mprj_dat_buf\[21\] TE ) + USE SIGNAL ;
- mprj_logic1\[64\] ( mprj_logic_high\[64\] HI ) ( mprj_dat_buf\[22\] TE ) + USE SIGNAL ;
- mprj_logic1\[65\] ( mprj_logic_high\[65\] HI ) ( mprj_dat_buf\[23\] TE ) + USE SIGNAL ;
- mprj_logic1\[66\] ( mprj_logic_high\[66\] HI ) ( mprj_dat_buf\[24\] TE ) + USE SIGNAL ;
- mprj_logic1\[67\] ( mprj_logic_high\[67\] HI ) ( mprj_dat_buf\[25\] TE ) + USE SIGNAL ;
- mprj_logic1\[68\] ( mprj_logic_high\[68\] HI ) ( mprj_dat_buf\[26\] TE ) + USE SIGNAL ;
- mprj_logic1\[69\] ( mprj_logic_high\[69\] HI ) ( mprj_dat_buf\[27\] TE ) + USE SIGNAL ;
- mprj_logic1\[6\] ( mprj_sel_buf\[0\] TE ) ( mprj_logic_high\[6\] HI ) + USE SIGNAL ;
- mprj_logic1\[70\] ( mprj_logic_high\[70\] HI ) ( mprj_dat_buf\[28\] TE ) + USE SIGNAL ;
- mprj_logic1\[71\] ( mprj_logic_high\[71\] HI ) ( mprj_dat_buf\[29\] TE ) + USE SIGNAL ;
- mprj_logic1\[72\] ( mprj_logic_high\[72\] HI ) ( mprj_dat_buf\[30\] TE ) + USE SIGNAL ;
- mprj_logic1\[73\] ( mprj_logic_high\[73\] HI ) ( mprj_dat_buf\[31\] TE ) + USE SIGNAL ;
- mprj_logic1\[74\] ( mprj_pwrgood A ) ( mprj_logic_high\[74\] HI ) + USE SIGNAL ;
- mprj_logic1\[7\] ( mprj_sel_buf\[1\] TE ) ( mprj_logic_high\[7\] HI ) + USE SIGNAL ;
- mprj_logic1\[8\] ( mprj_sel_buf\[2\] TE ) ( mprj_logic_high\[8\] HI ) + USE SIGNAL ;
- mprj_logic1\[9\] ( mprj_sel_buf\[3\] TE ) ( mprj_logic_high\[9\] HI ) + USE SIGNAL ;
END NETS
END DESIGN