caravel_fix
diff --git a/gds/caravel_00010006_b.gds.gz b/gds/caravel_00010006_b.gds.gz
index 5b34de9..42ed82e 100644
--- a/gds/caravel_00010006_b.gds.gz
+++ b/gds/caravel_00010006_b.gds.gz
Binary files differ
diff --git a/signoff/caravel_fix b/signoff/caravel_fix
index a5efc03..3414f09 100644
--- a/signoff/caravel_fix
+++ b/signoff/caravel_fix
@@ -1 +1 @@
-cae35b27249680cf728b019e71f8e629343e34b8  ./gds/caravel_00010006_b.gds
+bec8d3d57865f81891065b986cd3e69820654430  ./gds/caravel_00010006_b.gds
diff --git a/signoff/caravel_fix_xor.out b/signoff/caravel_fix_xor.out
index e369250..23f15a4 100644
--- a/signoff/caravel_fix_xor.out
+++ b/signoff/caravel_fix_xor.out
@@ -11,7 +11,7 @@
 Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 10
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 107/24 ---
@@ -20,13 +20,13 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 10
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 11/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -41,7 +41,7 @@
 Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 10
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 122/16 ---
@@ -50,28 +50,28 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 3397520
+Elapsed: 28.610s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 1.770s
+Elapsed: 0.010s
 --- Running XOR for 125/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 109
+Elapsed: 0.020s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 125/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 5945
+"^" in: xor.drc:38
+Elapsed: 0.110s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 20/0 ---
@@ -86,11 +86,11 @@
 Elapsed: 0.000s
 --- Running XOR for 21/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -100,90 +100,90 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 22/21 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 238680
+"^" in: xor.drc:38
+Elapsed: 1.550s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.080s
+Elapsed: 0.000s
 --- Running XOR for 22/22 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.330s
-XOR differences: 68640
+Elapsed: 0.540s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.020s
+Elapsed: 0.000s
 --- Running XOR for 22/24 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 4
+Elapsed: 0.000s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 23/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 23/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 2.320s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 235/0 ---
-"_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 2.350s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 235/0 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 102960
+Elapsed: 0.930s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.060s
+Elapsed: 0.000s
 --- Running XOR for 235/4 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 4.150s
+Elapsed: 8.190s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 236/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 2078588
+"^" in: xor.drc:38
+Elapsed: 19.890s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 1.170s
+Elapsed: 0.020s
 --- Running XOR for 25/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -193,12 +193,12 @@
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 27/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
@@ -208,39 +208,39 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 28/28 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 8.680s
+Elapsed: 8.400s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 30/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 32/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -250,20 +250,20 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 823680
+Elapsed: 8.450s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.350s
+Elapsed: 0.010s
 --- Running XOR for 33/43 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 1020240
+Elapsed: 8.430s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.320s
+Elapsed: 0.010s
 --- Running XOR for 34/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -280,7 +280,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 37.000s
+Elapsed: 35.020s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -296,21 +296,21 @@
 Elapsed: 0.000s
 --- Running XOR for 36/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 36/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 53.720s
+Elapsed: 53.430s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -358,32 +358,32 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 66.180s
+Elapsed: 66.430s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 43/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 44/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 46/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -393,20 +393,20 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 48/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 49/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -426,21 +426,21 @@
 Elapsed: 0.000s
 --- Running XOR for 51/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 51/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 35.840s
+Elapsed: 35.940s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -453,27 +453,27 @@
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 56/28 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 4.520s
+Elapsed: 4.560s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 58/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 59/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -490,7 +490,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.020s
+Elapsed: 1.030s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -503,67 +503,67 @@
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 62/24 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 10
-"_output" in: xor.drc:41
 Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
 --- Running XOR for 64/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 3397520
+Elapsed: 28.840s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 1.740s
+Elapsed: 0.010s
 --- Running XOR for 64/18 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 533
+Elapsed: 0.020s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 64/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 3766835
+Elapsed: 56.320s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 1.980s
+Elapsed: 0.010s
 --- Running XOR for 64/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.020s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 7.990s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.840s
+Elapsed: 0.000s
 --- Running XOR for 64/59 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 8.020s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.850s
+Elapsed: 0.000s
 --- Running XOR for 65/13 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -571,7 +571,7 @@
 Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 109
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 65/14 ---
@@ -581,7 +581,7 @@
 Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 75
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 65/16 ---
@@ -590,118 +590,118 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.160s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.030s
+Elapsed: 0.010s
 --- Running XOR for 65/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 24.670s
-XOR differences: 2245655
+Elapsed: 37.120s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.450s
+Elapsed: 0.010s
 --- Running XOR for 65/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 668863
+Elapsed: 5.640s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.630s
+Elapsed: 0.000s
 --- Running XOR for 66/13 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 3279
+"^" in: xor.drc:38
+Elapsed: 0.040s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/14 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 1900
+Elapsed: 0.030s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 1934
+"^" in: xor.drc:38
+Elapsed: 1.090s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.190s
+Elapsed: 0.010s
 --- Running XOR for 66/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.210s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.040s
+Elapsed: 0.010s
 --- Running XOR for 66/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 4655817
-"_output" in: xor.drc:41
-Elapsed: 4.070s
---- Running XOR for 66/44 ---
-"_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 32414713
-"_output" in: xor.drc:41
-Elapsed: 7.040s
---- Running XOR for 66/83 ---
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 76.730s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.250s
+Elapsed: 0.000s
+--- Running XOR for 66/44 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 227.370s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 66/83 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.310s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
 --- Running XOR for 66/9 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 92
-"_output" in: xor.drc:41
+"^" in: xor.drc:38
 Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
 --- Running XOR for 67/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 182
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 67/16 ---
@@ -710,140 +710,140 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 9076986
+Elapsed: 50.060s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.350s
+Elapsed: 0.010s
 --- Running XOR for 67/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 12686239
+"^" in: xor.drc:38
+Elapsed: 233.760s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 9.830s
+Elapsed: 0.010s
 --- Running XOR for 67/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 37412063
+Elapsed: 249.100s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 14.030s
+Elapsed: 0.000s
 --- Running XOR for 67/5 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 5.580s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 6.940s
+Elapsed: 0.010s
 --- Running XOR for 68/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 6122
+Elapsed: 0.080s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.020s
+Elapsed: 0.010s
 --- Running XOR for 68/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 7435248
+"^" in: xor.drc:38
+Elapsed: 57.460s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.710s
+Elapsed: 0.010s
 --- Running XOR for 68/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 82.950s
-XOR differences: 1021303
+Elapsed: 168.310s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.180s
+Elapsed: 0.010s
 --- Running XOR for 68/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 9.090s
-XOR differences: 2127794
+Elapsed: 13.270s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.360s
+Elapsed: 0.010s
 --- Running XOR for 68/5 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 45
+"^" in: xor.drc:38
+Elapsed: 10.050s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 4.940s
+Elapsed: 0.010s
 --- Running XOR for 69/15 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 3510
+Elapsed: 0.030s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 69/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.430s
-XOR differences: 70129
+Elapsed: 0.740s
+XOR differences: 4
 "_output" in: xor.drc:41
-Elapsed: 0.030s
+Elapsed: 0.010s
 --- Running XOR for 69/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 24.560s
-XOR differences: 499717
+Elapsed: 38.250s
+XOR differences: 2
 "_output" in: xor.drc:41
-Elapsed: 0.100s
+Elapsed: 0.000s
 --- Running XOR for 69/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 3.850s
-XOR differences: 964439
+Elapsed: 6.270s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.150s
+Elapsed: 0.010s
 --- Running XOR for 69/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 5330
+"^" in: xor.drc:38
+Elapsed: 1.160s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.340s
+Elapsed: 0.000s
 --- Running XOR for 70/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -851,7 +851,7 @@
 Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 88
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 70/16 ---
@@ -860,177 +860,177 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.100s
-XOR differences: 342
+Elapsed: 0.200s
+XOR differences: 1
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 70/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 3.560s
-XOR differences: 77723
+Elapsed: 6.340s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.020s
+Elapsed: 0.010s
 --- Running XOR for 70/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 5.510s
-XOR differences: 1128341
+Elapsed: 8.160s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.210s
+Elapsed: 0.010s
 --- Running XOR for 70/5 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 1856
+Elapsed: 1.120s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.290s
+Elapsed: 0.010s
 --- Running XOR for 71/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 88
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 71/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 8726
+Elapsed: 0.210s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.030s
+Elapsed: 0.000s
 --- Running XOR for 71/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 3.180s
-XOR differences: 9362
+Elapsed: 5.990s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 71/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.500s
-XOR differences: 191806
+Elapsed: 2.500s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.040s
+Elapsed: 0.010s
 --- Running XOR for 71/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 25814
+"^" in: xor.drc:38
+Elapsed: 1.270s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.230s
+Elapsed: 0.000s
 --- Running XOR for 72/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 18
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 72/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.020s
-XOR differences: 1805
+Elapsed: 0.030s
+XOR differences: 58
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 72/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.690s
-XOR differences: 1238
+Elapsed: 1.370s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 72/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 21787
+"^" in: xor.drc:38
+Elapsed: 1.170s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.200s
+Elapsed: 0.000s
 --- Running XOR for 75/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 53374
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 1.560s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.060s
+Elapsed: 0.010s
 --- Running XOR for 76/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 107
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 78/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 3658167
+"^" in: xor.drc:38
+Elapsed: 32.520s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 1.900s
+Elapsed: 0.010s
 --- Running XOR for 79/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.010s
-XOR differences: 1
-"_output" in: xor.drc:41
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
 --- Running XOR for 81/1 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -1038,9 +1038,9 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.530s
+Elapsed: 1.060s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1048,59 +1048,59 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 981
+"^" in: xor.drc:38
+Elapsed: 0.020s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/2 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 17680
+"^" in: xor.drc:38
+Elapsed: 0.400s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.050s
+Elapsed: 0.010s
 --- Running XOR for 81/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 107
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/23 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 608169
+Elapsed: 4.830s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.430s
+Elapsed: 0.010s
 --- Running XOR for 81/4 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 4007071
+"^" in: xor.drc:38
+Elapsed: 41.040s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.120s
+Elapsed: 0.010s
 --- Running XOR for 81/51 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1116,94 +1116,94 @@
 Elapsed: 0.000s
 --- Running XOR for 81/6 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 3799
+Elapsed: 0.030s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/8 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 2520
-"_output" in: xor.drc:41
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.020s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
 --- Running XOR for 83/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 48
+"^" in: xor.drc:38
+Elapsed: 9.340s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 3.820s
+Elapsed: 0.010s
 --- Running XOR for 88/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 89/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 1
+Elapsed: 0.010s
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 93/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
-XOR differences: 4174313
+"^" in: xor.drc:38
+Elapsed: 50.750s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.100s
+Elapsed: 0.000s
 --- Running XOR for 94/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 4112871
+"^" in: xor.drc:38
+Elapsed: 54.480s
+XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 2.100s
+Elapsed: 0.000s
 --- Running XOR for 95/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 1622241
-"_output" in: xor.drc:41
-Elapsed: 1.500s
---- Running XOR for 96/0 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 19.600s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
+--- Running XOR for 96/0 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
 --- Running XOR for 97/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -1213,26 +1213,26 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 97/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
-XOR differences: 1
+XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 98/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 Writing layout file: ./gds/caravel_00010006_fix_xor.gds ..
-Total run time: 633.300s
+Total run time: 1850.520s
diff --git a/signoff/run_metal_fix.out b/signoff/run_metal_fix.out
index 3bc0ee9..c85fb4a 100644
--- a/signoff/run_metal_fix.out
+++ b/signoff/run_metal_fix.out
@@ -12,5 +12,54 @@
 Info:  Structure caravel at 3182553740 to 3194563592 will be replaced by alternate data.
 Prefixing caravel subcells in the user GDS
 Running:  /usr/share/pdk/bin/change_gds_string.py user_id_textblock id_user_id_textblock copyright_block id_copyright_block open_source id_open_source storage id_storage sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped id_sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped user_id_programming id_user_id_programming simple_por id_simple_por gpio_control_block id_gpio_control_block mgmt_core id_mgmt_core mgmt_protect id_mgmt_protect chip_io id_chip_io user_project_wrapper id_user_project_wrapper ./gds/caravel_00010006_b.gds -debug -verbatim
-/mnt/share/open_mpw/sak/run_metal_fix.sh: line 58: 25213 Killed                  ${PDK_ROOT}/bin/change_gds_string.py user_id_textblock ${pfix}user_id_textblock copyright_block ${pfix}copyright_block open_source ${pfix}open_source storage ${pfix}storage sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped ${pfix}sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped user_id_programming ${pfix}user_id_programming simple_por ${pfix}simple_por gpio_control_block ${pfix}gpio_control_block mgmt_core ${pfix}mgmt_core mgmt_protect ${pfix}mgmt_protect chip_io ${pfix}chip_io user_project_wrapper ${pfix}user_project_wrapper ${user_fixed} -debug -verbatim
+Original data length = 3194564314
+Replaced b'open_source\x00' with b'id_open_source'
+Replaced b'user_id_textblock\x00' with b'id_user_id_textblock'
+Replaced b'copyright_block\x00' with b'id_copyright_block'
+Replaced b'storage\x00' with b'id_storage'
+Replaced b'sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped' with b'id_sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped\x00'
+Replaced b'user_id_programming\x00' with b'id_user_id_programming'
+Replaced b'simple_por' with b'id_simple_por\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'mgmt_core\x00' with b'id_mgmt_core'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'mgmt_protect' with b'id_mgmt_protect\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'gpio_control_block' with b'id_gpio_control_block\x00'
+Replaced b'chip_io\x00' with b'id_chip_io'
+Replaced b'user_project_wrapper' with b'id_user_project_wrapper\x00'
 Finished.