caravel_fix
diff --git a/gds/caravel_00010005_b.gds.gz b/gds/caravel_00010005_b.gds.gz
new file mode 100644
index 0000000..463fb1b
--- /dev/null
+++ b/gds/caravel_00010005_b.gds.gz
Binary files differ
diff --git a/signoff/caravel_fix_xor.out b/signoff/caravel_fix_xor.out
index f3127f8..99c4923 100644
--- a/signoff/caravel_fix_xor.out
+++ b/signoff/caravel_fix_xor.out
@@ -23,54 +23,54 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 11/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 112/4 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 122/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 16.860s
+Elapsed: 16.960s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 125/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 125/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.110s
+Elapsed: 0.120s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -83,32 +83,32 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 21/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 22/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 22/21 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 1.560s
 XOR differences: 0
@@ -116,11 +116,11 @@
 Elapsed: 0.010s
 --- Running XOR for 22/22 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.540s
+Elapsed: 0.530s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -138,19 +138,19 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 23/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 1.020s
+Elapsed: 1.040s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -160,30 +160,30 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.940s
+Elapsed: 0.930s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 235/4 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 6.000s
+Elapsed: 6.050s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 236/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 14.350s
+Elapsed: 14.500s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.020s
+Elapsed: 0.010s
 --- Running XOR for 25/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -196,31 +196,31 @@
 Elapsed: 0.000s
 --- Running XOR for 27/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 28/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 28/28 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 7.860s
+Elapsed: 7.930s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -248,9 +248,9 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 8.350s
+Elapsed: 8.360s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -260,30 +260,30 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 8.380s
+Elapsed: 8.420s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 34/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 34/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 44.040s
+Elapsed: 44.350s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 35/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -306,14 +306,14 @@
 Elapsed: 0.000s
 --- Running XOR for 36/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 49.610s
+Elapsed: 50.130s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 37/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -326,41 +326,41 @@
 Elapsed: 0.000s
 --- Running XOR for 39/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 40/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 41/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 41/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 57.290s
+Elapsed: 57.880s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -380,30 +380,30 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 46/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 48/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 49/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -416,19 +416,19 @@
 Elapsed: 0.000s
 --- Running XOR for 50/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 51/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
@@ -440,7 +440,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 36.470s
+Elapsed: 36.720s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -453,14 +453,14 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 56/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 3.200s
+Elapsed: 3.260s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -478,22 +478,22 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 59/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.030s
+Elapsed: 1.060s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 61/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -506,41 +506,41 @@
 Elapsed: 0.000s
 --- Running XOR for 62/24 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 64/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 15.270s
+Elapsed: 15.410s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 64/18 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.020s
+Elapsed: 0.030s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 64/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 37.820s
+Elapsed: 38.250s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -550,17 +550,17 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 4.230s
+Elapsed: 4.310s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 64/59 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 4.240s
+Elapsed: 4.290s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -573,7 +573,7 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 65/14 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -586,9 +586,9 @@
 Elapsed: 0.000s
 --- Running XOR for 65/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.160s
 XOR differences: 0
@@ -600,25 +600,25 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 34.610s
+Elapsed: 35.060s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 65/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 5.280s
+Elapsed: 5.320s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/13 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.040s
 XOR differences: 0
@@ -640,27 +640,27 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.910s
+Elapsed: 0.900s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 66/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.210s
+Elapsed: 0.220s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 66/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 89.300s
+Elapsed: 90.040s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -670,23 +670,23 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 228.890s
+Elapsed: 230.210s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 66/83 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.310s
+Elapsed: 0.320s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 66/9 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -696,11 +696,11 @@
 Elapsed: 0.000s
 --- Running XOR for 67/15 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -710,27 +710,27 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 35.200s
+Elapsed: 35.450s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 67/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 214.920s
+Elapsed: 215.810s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 67/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 208.880s
+Elapsed: 210.500s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -740,7 +740,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 4.170s
+Elapsed: 4.190s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -748,79 +748,79 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.080s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 68/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 30.440s
+Elapsed: 30.700s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 68/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 132.090s
+Elapsed: 132.710s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 68/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 13.670s
+Elapsed: 13.780s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 68/5 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 5.620s
+Elapsed: 5.690s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 69/15 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.040s
+Elapsed: 0.030s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 69/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.750s
+Elapsed: 0.740s
 XOR differences: 4
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 69/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 54.290s
+Elapsed: 54.550s
 XOR differences: 2
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -828,25 +828,25 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 6.190s
+Elapsed: 6.260s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 69/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.980s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 70/15 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -856,49 +856,49 @@
 Elapsed: 0.000s
 --- Running XOR for 70/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.200s
+Elapsed: 0.220s
 XOR differences: 1
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 70/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 7.630s
+Elapsed: 7.650s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 70/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 7.780s
+Elapsed: 7.890s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 70/5 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.940s
+Elapsed: 0.930s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 71/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
 XOR differences: 0
@@ -906,31 +906,31 @@
 Elapsed: 0.000s
 --- Running XOR for 71/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.200s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 71/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 6.260s
+Elapsed: 6.310s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 71/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 1.550s
+Elapsed: 1.570s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -940,7 +940,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 1.080s
+Elapsed: 1.090s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -953,14 +953,14 @@
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 72/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.030s
+Elapsed: 0.040s
 XOR differences: 58
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -970,7 +970,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.430s
+Elapsed: 0.440s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -993,7 +993,7 @@
 Elapsed: 1.570s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 76/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -1010,7 +1010,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 21.120s
+Elapsed: 21.170s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1018,9 +1018,9 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -1040,13 +1040,13 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.880s
+Elapsed: 0.910s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 81/19 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -1058,75 +1058,75 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.400s
+Elapsed: 0.390s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 81/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.020s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/23 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 2.950s
+Elapsed: 2.940s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 81/4 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 28.600s
+Elapsed: 28.670s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 81/51 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 81/52 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/6 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.030s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/8 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -1138,49 +1138,49 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 5.480s
+Elapsed: 5.540s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 88/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 89/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 93/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 35.170s
+Elapsed: 35.230s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 94/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 38.060s
+Elapsed: 38.170s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1190,23 +1190,23 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 19.410s
+Elapsed: 19.580s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 96/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 97/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -1235,4 +1235,4 @@
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 Writing layout file: ./gds/caravel_00010005_fix_xor.gds ..
-Total run time: 1602.180s
+Total run time: 1612.360s