caravel_fix
diff --git a/signoff/caravel_fix_xor.out b/signoff/caravel_fix_xor.out
index 54db5fc..f3127f8 100644
--- a/signoff/caravel_fix_xor.out
+++ b/signoff/caravel_fix_xor.out
@@ -6,9 +6,9 @@
 Reading ./gds/caravel_00010005_b.gds ..
 --- Running XOR for 105/52 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
 XOR differences: 0
@@ -16,7 +16,7 @@
 Elapsed: 0.000s
 --- Running XOR for 107/24 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
@@ -30,10 +30,10 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 112/4 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -63,12 +63,12 @@
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 125/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.110s
 XOR differences: 0
@@ -78,7 +78,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
@@ -110,7 +110,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.580s
+Elapsed: 1.560s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -120,57 +120,57 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.550s
+Elapsed: 0.540s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 22/24 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 23/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 23/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.050s
+Elapsed: 1.020s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 235/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.940s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 235/4 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 6.110s
+Elapsed: 6.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -180,13 +180,13 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 14.380s
+Elapsed: 14.350s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.020s
 --- Running XOR for 25/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -198,29 +198,29 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 28/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 28/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 7.890s
+Elapsed: 7.860s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -230,27 +230,27 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 32/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 33/42 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 8.330s
+Elapsed: 8.350s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -263,44 +263,44 @@
 Elapsed: 8.380s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 34/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 34/28 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 44.000s
+Elapsed: 44.040s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 35/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 36/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -310,20 +310,20 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 49.660s
+Elapsed: 49.610s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 37/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 39/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -333,7 +333,7 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 40/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -343,12 +343,12 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 41/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
@@ -358,19 +358,19 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 57.390s
+Elapsed: 57.290s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 43/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -380,13 +380,13 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 46/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -403,7 +403,7 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 49/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -416,31 +416,31 @@
 Elapsed: 0.000s
 --- Running XOR for 50/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 51/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 51/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 36.460s
+Elapsed: 36.470s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -450,7 +450,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
@@ -458,52 +458,52 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 3.240s
+Elapsed: 3.200s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 58/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 59/0 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 59/28 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 1.070s
+Elapsed: 1.030s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 61/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
-"^" in: xor.drc:38
+"_input" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 62/24 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -520,60 +520,60 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 15.290s
+Elapsed: 15.270s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 64/18 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.020s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 64/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 37.940s
+Elapsed: 37.820s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 64/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 4.250s
+Elapsed: 4.230s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 64/59 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 4.300s
+Elapsed: 4.240s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 65/13 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 65/14 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -586,46 +586,36 @@
 Elapsed: 0.000s
 --- Running XOR for 65/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.170s
+Elapsed: 0.160s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 65/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 34.730s
+Elapsed: 34.610s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 65/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 5.270s
+Elapsed: 5.280s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/13 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.050s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.000s
---- Running XOR for 66/14 ---
-"_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -634,103 +624,113 @@
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
---- Running XOR for 66/15 ---
+--- Running XOR for 66/14 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.900s
+Elapsed: 0.030s
 XOR differences: 0
 "_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 66/15 ---
+"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.910s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
 --- Running XOR for 66/16 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.220s
+Elapsed: 0.210s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 66/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 89.920s
+Elapsed: 89.300s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 229.060s
+Elapsed: 228.890s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 66/83 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.320s
+Elapsed: 0.310s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 66/9 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 67/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 67/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 35.220s
+Elapsed: 35.200s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 67/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 224.730s
+Elapsed: 214.920s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 67/44 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 208.910s
+Elapsed: 208.880s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -740,7 +740,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 4.160s
+Elapsed: 4.170s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -753,24 +753,24 @@
 Elapsed: 0.080s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 68/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 30.390s
+Elapsed: 30.440s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 68/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 132.330s
+Elapsed: 132.090s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -780,25 +780,25 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 13.730s
+Elapsed: 13.670s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 68/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 5.610s
+Elapsed: 5.620s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 69/15 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.040s
 XOR differences: 0
@@ -806,11 +806,11 @@
 Elapsed: 0.000s
 --- Running XOR for 69/16 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 0.760s
+Elapsed: 0.750s
 XOR differences: 4
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -820,12 +820,102 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 54.330s
+Elapsed: 54.290s
 XOR differences: 2
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 69/44 ---
 "_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 6.190s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 69/5 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.980s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 70/15 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 70/16 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.200s
+XOR differences: 1
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 70/20 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 7.630s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.010s
+--- Running XOR for 70/44 ---
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 7.780s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 70/5 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.940s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 71/15 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.010s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 71/16 ---
+"_input" in: xor.drc:38
+Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.200s
+XOR differences: 0
+"_output" in: xor.drc:41
+Elapsed: 0.000s
+--- Running XOR for 71/20 ---
+"_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -834,103 +924,13 @@
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
---- Running XOR for 69/5 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
-Elapsed: 0.970s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 70/15 ---
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 70/16 ---
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
-Elapsed: 0.200s
-XOR differences: 1
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 70/20 ---
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 7.740s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 70/44 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
-Elapsed: 7.940s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 70/5 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.920s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 71/15 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.000s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
---- Running XOR for 71/16 ---
-"_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 0.210s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.000s
---- Running XOR for 71/20 ---
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
-Elapsed: 6.360s
-XOR differences: 0
-"_output" in: xor.drc:41
-Elapsed: 0.010s
 --- Running XOR for 71/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.580s
+Elapsed: 1.550s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -940,10 +940,10 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 1.070s
+Elapsed: 1.080s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 72/15 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -958,7 +958,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.030s
 XOR differences: 58
@@ -973,12 +973,12 @@
 Elapsed: 0.430s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 72/5 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.260s
 XOR differences: 0
@@ -990,10 +990,10 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 1.580s
+Elapsed: 1.570s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 76/20 ---
 "_input" in: xor.drc:38
 Elapsed: 0.010s
@@ -1008,9 +1008,9 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 20.990s
+Elapsed: 21.120s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1018,12 +1018,12 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/1 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
@@ -1033,14 +1033,14 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/14 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.870s
+Elapsed: 0.880s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1056,31 +1056,31 @@
 Elapsed: 0.000s
 --- Running XOR for 81/2 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.400s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.020s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/23 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 2.920s
+Elapsed: 2.950s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1090,7 +1090,7 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 28.770s
+Elapsed: 28.600s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1100,33 +1100,33 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 81/52 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"^" in: xor.drc:38
 Elapsed: 0.010s
+"^" in: xor.drc:38
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 81/6 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
-"_input" in: xor.drc:38
 Elapsed: 0.010s
+"_input" in: xor.drc:38
+Elapsed: 0.000s
 "^" in: xor.drc:38
 Elapsed: 0.030s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 81/8 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
@@ -1138,9 +1138,9 @@
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 5.490s
+Elapsed: 5.480s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1170,27 +1170,27 @@
 "_input" in: xor.drc:38
 Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 35.270s
+Elapsed: 35.170s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 94/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 38.200s
+Elapsed: 38.060s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
 --- Running XOR for 95/20 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
-Elapsed: 19.450s
+Elapsed: 19.410s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.010s
@@ -1203,36 +1203,36 @@
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 --- Running XOR for 97/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.000s
+Elapsed: 0.010s
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "^" in: xor.drc:38
-Elapsed: 0.010s
+Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.000s
+Elapsed: 0.010s
 --- Running XOR for 97/44 ---
 "_input" in: xor.drc:38
 Elapsed: 0.000s
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"^" in: xor.drc:38
 Elapsed: 0.000s
+"^" in: xor.drc:38
+Elapsed: 0.010s
 XOR differences: 0
 "_output" in: xor.drc:41
 Elapsed: 0.000s
 --- Running XOR for 98/0 ---
 "_input" in: xor.drc:38
-Elapsed: 0.010s
-"_input" in: xor.drc:38
 Elapsed: 0.000s
+"_input" in: xor.drc:38
+Elapsed: 0.010s
 "^" in: xor.drc:38
 Elapsed: 0.000s
 XOR differences: 0
 "_output" in: xor.drc:41
-Elapsed: 0.010s
+Elapsed: 0.000s
 Writing layout file: ./gds/caravel_00010005_fix_xor.gds ..
-Total run time: 1614.950s
+Total run time: 1602.180s