Added the DAC to the user_project wrapper and wired it to pads
diff --git a/mag/10good.mag b/mag/10good.mag
index 72b49a8..4a220fc 100644
--- a/mag/10good.mag
+++ b/mag/10good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607402147
+timestamp 1607535698
 << metal1 >>
 rect 36077 24683 36179 24689
 rect 36077 24624 36087 24683
@@ -522,18 +522,18 @@
 rect 18759 20110 20417 20186
 rect 28739 20130 30397 20206
 rect 8944 20078 10466 20087
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 38534 0 1 22730
-box -70 45 891 509
-use 9good  9good_0
-timestamp 1607400580
-transform 1 0 2 0 1 1
-box -3 -1 19911 23259
 use 9good  9good_1
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 19934 0 1 3
 box -3 -1 19911 23259
+use 9good  9good_0
+timestamp 1607535698
+transform 1 0 2 0 1 1
+box -3 -1 19911 23259
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 38534 0 1 22730
+box -70 45 891 509
 << labels >>
 rlabel metal4 -339 21054 -292 21094 1 GND
 rlabel metal2 -349 20793 -302 20833 1 VDD
diff --git a/mag/2good.mag b/mag/2good.mag
index 64f6e0d..6cfb584 100644
--- a/mag/2good.mag
+++ b/mag/2good.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607400580
+timestamp 1607535698
 << locali >>
 rect -180 1366 -114 1382
 rect -184 1324 -174 1366
@@ -119,32 +119,32 @@
 rect 638 -224 708 75
 rect 633 -294 2671 -224
 rect 638 -1126 708 -294
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 124 0 1 -86
-box -140 90 1782 1018
-use Sw-1  Sw-1_1
-timestamp 1607400580
-transform 1 0 110 0 1 -1292
-box -140 90 1782 1018
-use Sw-1  Sw-1_2
-timestamp 1607400580
-transform 1 0 2068 0 1 -460
-box -140 90 1782 1018
-use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
+use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
 timestamp 1606707439
-transform 1 0 -147 0 1 1176
-box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_i65fu2  sky130_fd_pr__res_generic_po_i65fu2_0
-timestamp 1606707439
-transform 1 0 -147 0 1 -742
+transform 1 0 -67 0 1 -150
 box -33 -244 33 244
 use sky130_fd_pr__res_generic_po_kabjgr  sky130_fd_pr__res_generic_po_kabjgr_0
 timestamp 1606707439
 transform 1 0 -151 0 1 556
 box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
+use sky130_fd_pr__res_generic_po_i65fu2  sky130_fd_pr__res_generic_po_i65fu2_0
 timestamp 1606707439
-transform 1 0 -67 0 1 -150
+transform 1 0 -147 0 1 -742
 box -33 -244 33 244
+use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
+timestamp 1606707439
+transform 1 0 -147 0 1 1176
+box -33 -244 33 244
+use Sw-1  Sw-1_2
+timestamp 1607535698
+transform 1 0 2068 0 1 -460
+box -140 90 1782 1018
+use Sw-1  Sw-1_1
+timestamp 1607535698
+transform 1 0 110 0 1 -1292
+box -140 90 1782 1018
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 124 0 1 -86
+box -140 90 1782 1018
 << end >>
diff --git a/mag/3good.mag b/mag/3good.mag
index 630fad9..45340f6 100644
--- a/mag/3good.mag
+++ b/mag/3good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 1992 1550 2030 2024
 rect 1766 1532 2030 1550
@@ -32,16 +32,16 @@
 << metal4 >>
 rect 443 1164 488 1310
 rect 472 1083 1491 1121
-use 2good  2good_0
-timestamp 1607400580
-transform 1 0 98 0 1 604
-box -97 -601 1925 738
-use 2good  2good_1
-timestamp 1607400580
-transform 1 0 103 0 1 1850
-box -97 -601 1925 738
 use Sw-1  Sw-1_0
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 1185 0 1 1008
 box -70 45 891 509
+use 2good  2good_1
+timestamp 1607535698
+transform 1 0 103 0 1 1850
+box -97 -601 1925 738
+use 2good  2good_0
+timestamp 1607535698
+transform 1 0 98 0 1 604
+box -97 -601 1925 738
 << end >>
diff --git a/mag/4good.mag b/mag/4good.mag
index ef07e56..211dd97 100644
--- a/mag/4good.mag
+++ b/mag/4good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 2051 2833 2083 3926
 rect 1750 2814 2083 2833
@@ -41,16 +41,16 @@
 rect 1403 1503 1582 1522
 << metal4 >>
 rect 435 2401 473 2578
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 1173 0 1 2246
-box -70 45 891 509
-use 3good  3good_0
-timestamp 1607400580
-transform 1 0 -2 0 1 -1
-box 1 3 2076 2588
 use 3good  3good_1
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 4 0 1 2517
 box 1 3 2076 2588
+use 3good  3good_0
+timestamp 1607535698
+transform 1 0 -2 0 1 -1
+box 1 3 2076 2588
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 1173 0 1 2246
+box -70 45 891 509
 << end >>
diff --git a/mag/5good.mag b/mag/5good.mag
index bf73380..6f84c15 100644
--- a/mag/5good.mag
+++ b/mag/5good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 2106 8299 2213 8307
 rect 2106 8285 2216 8299
@@ -50,16 +50,16 @@
 rect 479 5506 1641 5545
 rect 479 5503 521 5506
 rect 516 2943 1528 2982
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 1336 0 1 5441
-box -70 45 891 509
-use 4good  4good_0
-timestamp 1607400580
-transform 1 0 48 0 1 614
-box -1 2 2115 5105
 use 4good  4good_1
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 48 0 1 5663
 box -1 2 2115 5105
+use 4good  4good_0
+timestamp 1607535698
+transform 1 0 48 0 1 614
+box -1 2 2115 5105
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 1336 0 1 5441
+box -70 45 891 509
 << end >>
diff --git a/mag/6good.mag b/mag/6good.mag
index e5e7f87..fcb78ad 100644
--- a/mag/6good.mag
+++ b/mag/6good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 2192 15324 2211 15325
 rect 2174 15302 2211 15324
@@ -59,16 +59,16 @@
 rect 425 10001 1608 10043
 rect 425 9954 515 10001
 rect 465 9951 515 9954
-use 5good  5good_0
-timestamp 1607400580
-transform 1 0 -48 0 1 -616
-box 47 616 2227 10768
-use 5good  5good_1
-timestamp 1607400580
-transform 1 0 -50 0 1 9485
-box 47 616 2227 10768
 use Sw-1  Sw-1_0
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 1308 0 1 9932
 box -70 45 891 509
+use 5good  5good_1
+timestamp 1607535698
+transform 1 0 -50 0 1 9485
+box 47 616 2227 10768
+use 5good  5good_0
+timestamp 1607535698
+transform 1 0 -48 0 1 -616
+box 47 616 2227 10768
 << end >>
diff --git a/mag/7good.mag b/mag/7good.mag
index 5d610ac..2fee7b4 100644
--- a/mag/7good.mag
+++ b/mag/7good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 2292 20720 3540 20735
 rect 1958 20696 2034 20702
@@ -303,16 +303,16 @@
 rect 2845 9892 2852 9932
 rect 2271 9883 2852 9892
 rect 2271 9880 2317 9883
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 3529 0 1 20226
-box -70 45 891 509
-use 6good  6good_1
-timestamp 1607400580
-transform 1 0 2537 0 1 -28
-box -3 0 2218 20253
 use 6good  6good_0
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 2 0 1 0
 box -3 0 2218 20253
+use 6good  6good_1
+timestamp 1607535698
+transform 1 0 2537 0 1 -28
+box -3 0 2218 20253
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 3529 0 1 20226
+box -70 45 891 509
 << end >>
diff --git a/mag/8good.mag b/mag/8good.mag
index b5b2326..60384db 100644
--- a/mag/8good.mag
+++ b/mag/8good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 4387 21952 4421 21979
 rect 5884 21966 6423 21971
@@ -307,16 +307,16 @@
 rect 3803 20291 5579 20343
 rect 8913 20329 8970 20343
 rect 8913 20262 8956 20329
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 8660 0 1 21115
-box -70 45 891 509
-use 7good  7good_1
-timestamp 1607400580
-transform 1 0 5108 0 1 20
-box -1 -28 4820 20841
 use 7good  7good_0
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 0 0 1 28
 box -1 -28 4820 20841
+use 7good  7good_1
+timestamp 1607535698
+transform 1 0 5108 0 1 20
+box -1 -28 4820 20841
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 8660 0 1 21115
+box -70 45 891 509
 << end >>
diff --git a/mag/9good.mag b/mag/9good.mag
index 996cdc6..520eed5 100644
--- a/mag/9good.mag
+++ b/mag/9good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607400580
+timestamp 1607535698
 << metal1 >>
 rect 16202 22417 16247 23211
 rect 16171 22409 16265 22417
@@ -288,16 +288,16 @@
 rect 18018 21419 18605 21464
 << metal4 >>
 rect 18916 21220 18958 22150
-use Sw-1  Sw-1_0
-timestamp 1607400580
-transform 1 0 18665 0 1 22033
-box -70 45 891 509
-use 8good  8good_1
-timestamp 1607400580
-transform 1 0 9983 0 1 10
-box -1 -8 9928 21979
 use 8good  8good_0
-timestamp 1607400580
+timestamp 1607535698
 transform 1 0 -2 0 1 7
 box -1 -8 9928 21979
+use 8good  8good_1
+timestamp 1607535698
+transform 1 0 9983 0 1 10
+box -1 -8 9928 21979
+use Sw-1  Sw-1_0
+timestamp 1607535698
+transform 1 0 18665 0 1 22033
+box -70 45 891 509
 << end >>
diff --git a/mag/Sw-1.mag b/mag/Sw-1.mag
index 3834ead..254c1bc 100644
--- a/mag/Sw-1.mag
+++ b/mag/Sw-1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607400580
+timestamp 1607535698
 << nwell >>
 rect 10 760 664 822
 rect 10 758 248 760
@@ -31,10 +31,10 @@
 rect 36 272 492 274
 rect 686 272 1214 274
 << psubdiff >>
-rect 256 482 352 506
-rect 256 436 280 482
-rect 328 436 352 482
-rect 256 412 352 436
+rect 260 448 366 458
+rect 260 406 292 448
+rect 336 406 366 448
+rect 260 398 366 406
 << nsubdiff >>
 rect 966 910 1076 938
 rect 966 866 998 910
@@ -49,7 +49,7 @@
 rect 328 690 356 734
 rect 254 660 356 690
 << psubdiffcont >>
-rect 280 436 328 482
+rect 292 406 336 448
 << nsubdiffcont >>
 rect 998 866 1046 910
 rect 1530 850 1578 894
@@ -96,17 +96,15 @@
 rect 126 522 140 564
 rect 178 522 190 564
 rect 126 470 190 522
-rect 264 482 346 500
-rect 264 436 280 482
-rect 328 436 346 482
+rect 276 406 292 448
+rect 336 406 352 448
 rect 414 444 448 584
 rect 502 546 712 592
 rect 502 466 574 546
-rect 264 420 346 436
+rect 424 414 448 444
 rect 120 368 180 386
 rect 120 332 196 368
-rect 298 332 342 420
-rect 424 414 448 444
+rect 298 332 342 406
 rect 414 396 448 414
 rect 678 404 712 546
 rect 762 552 1104 602
@@ -151,7 +149,6 @@
 rect 1178 764 1236 822
 rect 412 584 450 626
 rect 140 522 178 564
-rect 280 436 328 482
 rect 1230 380 1268 422
 rect 1702 752 1740 794
 rect 1456 608 1494 650
@@ -285,14 +282,14 @@
 timestamp 1606234862
 transform 1 0 125 0 1 719
 box -109 -123 137 95
-use sky130_fd_pr__nfet_01v8_raze6j  sky130_fd_pr__nfet_01v8_raze6j_0
-timestamp 1606234862
-transform 1 0 121 0 1 120
-box 0 0 1 1
 use sky130_fd_pr__nfet_01v8_p8bhg1  sky130_fd_pr__nfet_01v8_p8bhg1_0
 timestamp 1606234862
 transform 1 0 73 0 1 99
 box 0 0 1 1
+use sky130_fd_pr__nfet_01v8_raze6j  sky130_fd_pr__nfet_01v8_raze6j_0
+timestamp 1606234862
+transform 1 0 121 0 1 120
+box 0 0 1 1
 use sky130_fd_pr__nfet_01v8_j74adr  sky130_fd_pr__nfet_01v8_j74adr_0
 timestamp 1606234862
 transform 1 0 377 0 1 97
diff --git a/mag/inverter.mag b/mag/inverter.mag
index 9b92bed..840f189 100644
--- a/mag/inverter.mag
+++ b/mag/inverter.mag
@@ -72,14 +72,14 @@
 rect 128 -276 186 -234
 rect 226 -276 242 -234
 rect -32 -282 242 -276
-use sky130_fd_pr__nfet_01v8_8mr83b  sky130_fd_pr__nfet_01v8_8mr83b_0
-timestamp 1604286783
-transform 1 0 109 0 1 -130
-box -73 -68 73 68
 use sky130_fd_pr__pfet_01v8_ykwexw  sky130_fd_pr__pfet_01v8_ykwexw_0
 timestamp 1604286783
 transform 1 0 109 0 1 104
 box -109 -104 109 104
+use sky130_fd_pr__nfet_01v8_8mr83b  sky130_fd_pr__nfet_01v8_8mr83b_0
+timestamp 1604286783
+transform 1 0 109 0 1 -130
+box -73 -68 73 68
 << labels >>
 flabel locali -120 -4 -114 2 0 FreeSans 800 0 0 0 in
 port 0 nsew
diff --git a/mag/neuron-labeled-extended-opamp.mag b/mag/neuron-labeled-extended-opamp.mag
index 6d829d3..8d331ff 100644
--- a/mag/neuron-labeled-extended-opamp.mag
+++ b/mag/neuron-labeled-extended-opamp.mag
@@ -397,26 +397,26 @@
 rect 3926 178 4928 328
 rect -1011 141 4928 178
 rect 3878 138 4120 141
-use neuron-labeled  neuron-labeled_0
-timestamp 1604452313
-transform 1 0 364 0 1 678
-box -364 -678 3630 2294
-use pmos-diff-amp  pmos-diff-amp_0
+use pmos-diff-amp  pmos-diff-amp_3
 timestamp 1606516043
-transform 1 0 6001 0 1 1657
-box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_1
-timestamp 1606516043
-transform 1 0 7251 0 1 1657
+transform 1 0 9749 0 1 1657
 box -231 -477 703 691
 use pmos-diff-amp  pmos-diff-amp_2
 timestamp 1606516043
 transform 1 0 8477 0 1 1657
 box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_3
+use pmos-diff-amp  pmos-diff-amp_1
 timestamp 1606516043
-transform 1 0 9749 0 1 1657
+transform 1 0 7251 0 1 1657
 box -231 -477 703 691
+use pmos-diff-amp  pmos-diff-amp_0
+timestamp 1606516043
+transform 1 0 6001 0 1 1657
+box -231 -477 703 691
+use neuron-labeled  neuron-labeled_0
+timestamp 1604452313
+transform 1 0 364 0 1 678
+box -364 -678 3630 2294
 << labels >>
 flabel metal1 -598 3840 -548 3886 0 FreeSans 800 0 0 0 v
 port 0 nsew
diff --git a/mag/neuron-labeled.mag b/mag/neuron-labeled.mag
index 1f6bc72..f0070b9 100644
--- a/mag/neuron-labeled.mag
+++ b/mag/neuron-labeled.mag
@@ -995,30 +995,6 @@
 rect 559 -319 1720 -285
 rect 3001 -392 3035 -169
 rect 257 -426 3035 -392
-use sky130_fd_pr__nfet_01v8_dlksd1  M10
-timestamp 1604306825
-transform 1 0 2585 0 1 -155
-box -98 -68 98 68
-use sky130_fd_pr__nfet_01v8_wpylm8  Cu
-timestamp 1604306825
-transform 1 0 1617 0 1 661
-box -388 -426 388 426
-use sky130_fd_pr__nfet_01v8_tb02ql  Ca
-timestamp 1604306825
-transform 1 0 2868 0 1 916
-box -618 -826 618 826
-use sky130_fd_pr__pfet_01v8_2vaynq  M6
-timestamp 1604306825
-transform 1 0 1454 0 1 1432
-box -134 -142 134 142
-use sky130_fd_pr__nfet_01v8_9i6r5e  M7
-timestamp 1604306825
-transform 1 0 1414 0 1 -65
-box -98 -68 98 68
-use sky130_fd_pr__pfet_01v8_6z4qh8  M9
-timestamp 1604306825
-transform 1 0 1863 0 1 1432
-box -134 -142 134 142
 use sky130_fd_pr__pfet_01v8_h2n75u  M3
 timestamp 1604306825
 transform 0 1 -8 -1 0 1537
@@ -1055,6 +1031,30 @@
 timestamp 1604306825
 transform 1 0 1065 0 1 1460
 box -134 -182 134 182
+use sky130_fd_pr__nfet_01v8_wpylm8  Cu
+timestamp 1604306825
+transform 1 0 1617 0 1 661
+box -388 -426 388 426
+use sky130_fd_pr__nfet_01v8_tb02ql  Ca
+timestamp 1604306825
+transform 1 0 2868 0 1 916
+box -618 -826 618 826
+use sky130_fd_pr__pfet_01v8_2vaynq  M6
+timestamp 1604306825
+transform 1 0 1454 0 1 1432
+box -134 -142 134 142
+use sky130_fd_pr__nfet_01v8_9i6r5e  M7
+timestamp 1604306825
+transform 1 0 1414 0 1 -65
+box -98 -68 98 68
+use sky130_fd_pr__pfet_01v8_6z4qh8  M9
+timestamp 1604306825
+transform 1 0 1863 0 1 1432
+box -134 -142 134 142
+use sky130_fd_pr__nfet_01v8_dlksd1  M10
+timestamp 1604306825
+transform 1 0 2585 0 1 -155
+box -98 -68 98 68
 << labels >>
 flabel metal1 -210 2026 -128 2114 0 FreeSans 240 0 0 0 VPWR
 port 0 nsew
diff --git a/mag/one-way.mag b/mag/one-way.mag
index 38ebc4b..ad03bc7 100644
--- a/mag/one-way.mag
+++ b/mag/one-way.mag
@@ -20,12 +20,12 @@
 rect 12 168 46 314
 rect 100 166 134 312
 rect 12 16 46 162
-use sky130_fd_pr__nfet_01v8_63vi9a  sky130_fd_pr__nfet_01v8_63vi9a_0
-timestamp 1606105257
-transform 1 0 73 0 1 99
-box -73 -99 73 99
 use sky130_fd_pr__pfet_01v8_owy61o  sky130_fd_pr__pfet_01v8_owy61o_0
 timestamp 1606105257
 transform 1 0 73 0 1 371
 box -109 -123 109 123
+use sky130_fd_pr__nfet_01v8_63vi9a  sky130_fd_pr__nfet_01v8_63vi9a_0
+timestamp 1606105257
+transform 1 0 73 0 1 99
+box -73 -99 73 99
 << end >>
diff --git a/mag/pass-gate-inv-2.mag b/mag/pass-gate-inv-2.mag
index a0bfdc9..a612f75 100644
--- a/mag/pass-gate-inv-2.mag
+++ b/mag/pass-gate-inv-2.mag
@@ -60,18 +60,18 @@
 rect 706 754 778 851
 rect 624 682 778 754
 rect 706 -156 778 682
-use pass-gate  pass-gate_0
-timestamp 1605929851
-transform 1 0 210 0 1 218
-box -210 -218 503 586
-use pass-gate  pass-gate_1
-timestamp 1605929851
-transform 1 0 210 0 -1 1385
-box -210 -218 503 586
 use inverter  inverter_0
 timestamp 1606603647
 transform 1 0 -332 0 1 446
 box -126 -478 242 448
+use pass-gate  pass-gate_1
+timestamp 1605929851
+transform 1 0 210 0 -1 1385
+box -210 -218 503 586
+use pass-gate  pass-gate_0
+timestamp 1605929851
+transform 1 0 210 0 1 218
+box -210 -218 503 586
 << labels >>
 flabel metal1 -652 344 -640 362 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/pass-gate.mag b/mag/pass-gate.mag
index f15345b..6be09f7 100644
--- a/mag/pass-gate.mag
+++ b/mag/pass-gate.mag
@@ -90,14 +90,14 @@
 rect 411 464 503 534
 rect 411 458 422 464
 rect 326 446 422 458
-use sky130_fd_pr__nfet_01v8_5mkfxl  sky130_fd_pr__nfet_01v8_5mkfxl_0
-timestamp 1605923309
-transform 0 1 130 -1 0 73
-box -73 -130 73 130
 use sky130_fd_pr__pfet_01v8_pa2hmj  sky130_fd_pr__pfet_01v8_pa2hmj_0
 timestamp 1605923309
 transform 0 1 128 -1 0 327
 box -109 -180 109 180
+use sky130_fd_pr__nfet_01v8_5mkfxl  sky130_fd_pr__nfet_01v8_5mkfxl_0
+timestamp 1605923309
+transform 0 1 130 -1 0 73
+box -73 -130 73 130
 << labels >>
 flabel locali -201 61 -189 79 0 FreeSans 640 0 0 0 clk
 port 0 nsew
diff --git a/mag/pmos-diff-amp.mag b/mag/pmos-diff-amp.mag
index 48aae57..d4bea91 100644
--- a/mag/pmos-diff-amp.mag
+++ b/mag/pmos-diff-amp.mag
@@ -78,30 +78,30 @@
 rect 306 -419 424 -377
 rect 467 -419 703 -377
 rect -215 -477 703 -419
-use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_1
-timestamp 1606512719
-transform 0 1 392 -1 0 134
-box -134 -148 134 114
-use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
-timestamp 1606512719
-transform 0 -1 110 1 0 134
-box -134 -148 134 114
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
-timestamp 1606512719
-transform 0 -1 325 1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
-timestamp 1606512719
-transform 0 1 177 -1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
-timestamp 1606515282
-transform 0 -1 340 1 0 395
-box -144 -198 144 164
 use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_1
 timestamp 1606515282
 transform 0 1 84 -1 0 395
 box -144 -198 144 164
+use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
+timestamp 1606515282
+transform 0 -1 340 1 0 395
+box -144 -198 144 164
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
+timestamp 1606512719
+transform 0 1 177 -1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
+timestamp 1606512719
+transform 0 -1 325 1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
+timestamp 1606512719
+transform 0 -1 110 1 0 134
+box -134 -148 134 114
+use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_1
+timestamp 1606512719
+transform 0 1 392 -1 0 134
+box -134 -148 134 114
 << labels >>
 flabel metal1 -200 128 -186 138 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index a76d484..fdac6ff 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1606719267
+timestamp 1607535698
 << error_p >>
 rect -7836 710700 -6596 710702
 rect 11284 710700 12524 710702
@@ -470,7 +470,7 @@
 rect 576804 704860 577404 704862
 rect 585320 704860 585920 704862
 rect 18484 704858 19724 704860
-rect 54484 704858 55494 704860
+rect 54484 704858 55724 704860
 rect 90484 704858 91724 704860
 rect 126484 704858 127724 704860
 rect 162484 704858 163724 704860
@@ -536,7 +536,7 @@
 rect 486804 -1244 487404 -1242
 rect 522804 -1244 523404 -1242
 rect 558804 -1244 559404 -1242
-rect -2316 -1246 -1260 -1244
+rect -2316 -1246 -1076 -1244
 rect 484 -1246 1724 -1244
 rect 36484 -1246 37724 -1244
 rect 72484 -1246 73724 -1244
@@ -967,6 +967,22 @@
 rect 515284 -6766 516524 -6764
 rect 551284 -6766 552524 -6764
 rect 590520 -6766 591760 -6764
+<< metal1 >>
+rect 142378 275806 142532 277754
+rect 142314 275784 142532 275806
+rect 142314 275662 142484 275784
+rect 142314 275558 142340 275662
+rect 142448 275558 142484 275662
+rect 142314 275530 142484 275558
+rect 63662 274224 63776 274248
+rect 63566 274206 63776 274224
+rect 63566 274150 63576 274206
+rect 63640 274152 63776 274206
+rect 63640 274150 63666 274152
+rect 63566 274142 63666 274150
+<< via1 >>
+rect 142340 275558 142448 275662
+rect 63576 274150 63640 274206
 << metal2 >>
 rect 8086 703520 8198 704960
 rect 24278 703520 24390 704960
@@ -1007,6 +1023,23 @@
 rect 316286 575532 316464 575592
 rect 316524 575532 316533 575592
 rect 316286 574432 316398 575532
+rect 142076 275692 142176 278820
+rect 142076 275662 142518 275692
+rect 142076 275558 142340 275662
+rect 142448 275558 142518 275662
+rect 142076 275474 142512 275558
+rect 142326 275470 142512 275474
+rect 142326 275338 142350 275470
+rect 142482 275338 142512 275470
+rect 142326 275314 142512 275338
+rect 63570 274206 63648 274214
+rect 63570 274150 63576 274206
+rect 63640 274150 63648 274206
+rect 63570 272772 63648 274150
+rect 63562 272550 63764 272772
+rect 63562 272370 63592 272550
+rect 63726 272370 63764 272550
+rect 63562 272344 63764 272370
 rect 315538 5927 315590 474312
 rect 316232 52869 316284 474312
 rect 318336 99769 318388 474312
@@ -1596,6 +1629,8 @@
 rect 251614 583134 251674 583194
 rect 332056 696586 332168 696698
 rect 316464 575532 316524 575592
+rect 142350 275338 142482 275470
+rect 63592 272370 63726 272550
 rect 337266 662728 337382 662844
 rect 336808 615826 336924 615942
 rect 336336 568882 336448 568994
@@ -1675,7 +1710,33 @@
 rect 354373 640263 354495 640268
 rect 445899 640265 446021 640270
 rect 583520 639284 584960 639524
-rect -960 639012 480 639252
+rect -960 639012 39992 639252
+rect -960 624732 480 624972
+rect -960 610316 480 610556
+rect -960 595900 480 596140
+rect -960 581620 480 581860
+rect -960 567204 480 567444
+rect -960 552924 480 553164
+rect -960 538508 480 538748
+rect -960 524092 480 524332
+rect -960 509812 480 510052
+rect -960 495396 480 495636
+rect -960 480980 480 481220
+rect -960 466700 480 466940
+rect -960 452284 480 452524
+rect -960 437868 480 438108
+rect -960 423588 480 423828
+rect -960 409172 480 409412
+rect -960 394892 480 395132
+rect -960 380476 480 380716
+rect -960 366060 480 366300
+rect -960 351780 480 352020
+rect -960 337364 480 337604
+rect -960 322948 480 323188
+rect -960 308668 480 308908
+rect -960 294252 480 294492
+rect -960 279972 480 280212
+rect 39752 272582 39992 639012
 rect 354743 634934 354865 634935
 rect 380945 634934 381067 634939
 rect 354688 634930 380950 634934
@@ -1687,12 +1748,10 @@
 rect 354743 634813 354865 634818
 rect 380945 634817 381067 634822
 rect 583520 627588 584960 627828
-rect -960 624732 480 624972
 rect 336614 615942 584960 615996
 rect 336614 615826 336808 615942
 rect 336924 615826 584960 615942
 rect 336614 615756 584960 615826
-rect -960 610316 480 610556
 rect 583520 604060 584960 604300
 rect 56933 603336 57003 603341
 rect 361328 603336 361398 603341
@@ -1701,7 +1760,6 @@
 rect 361393 603276 361398 603336
 rect 56933 603271 57003 603276
 rect 361328 603271 361398 603276
-rect -960 595900 480 596140
 rect 121795 595400 121865 595405
 rect 360862 595400 360932 595405
 rect 121774 595340 121800 595400
@@ -1724,7 +1782,6 @@
 rect 360037 583134 360042 583194
 rect 251609 583129 251679 583134
 rect 359972 583129 360042 583134
-rect -960 581620 480 581860
 rect 583520 580668 584960 580908
 rect 316459 575592 316529 575597
 rect 357588 575592 357658 575597
@@ -1737,65 +1794,131 @@
 rect 336118 568882 336336 568994
 rect 336448 568882 584960 568994
 rect 336118 568836 584960 568882
-rect -960 567204 480 567444
 rect 583520 557140 584960 557380
-rect -960 552924 480 553164
 rect 583520 545444 584960 545684
-rect -960 538508 480 538748
 rect 583520 533748 584960 533988
-rect -960 524092 480 524332
 rect 335720 522082 584960 522156
 rect 335720 521970 335884 522082
 rect 335996 521970 584960 522082
 rect 335720 521916 584960 521970
 rect 583520 510220 584960 510460
-rect -960 509812 480 510052
 rect 583520 498524 584960 498764
-rect -960 495396 480 495636
 rect 583520 486692 584960 486932
-rect -960 480980 480 481220
 rect 335322 475161 584960 475236
 rect 335322 475051 335419 475161
 rect 335529 475051 584960 475161
 rect 335322 474996 584960 475051
-rect -960 466700 480 466940
 rect 583520 463300 584960 463540
-rect -960 452284 480 452524
 rect 583520 451604 584960 451844
-rect 583520 439772 584960 440012
-rect -960 437868 480 438108
+rect 291924 439772 584960 440012
+rect 142326 275470 142520 275502
+rect 142326 275338 142350 275470
+rect 142482 275338 142520 275470
+rect 142326 275236 142520 275338
+rect 142326 275062 142344 275236
+rect 142490 275062 142520 275236
+rect 142326 275044 142520 275062
+rect 39752 272550 63786 272582
+rect 39752 272370 63592 272550
+rect 63726 272370 63786 272550
+rect 39752 272342 63786 272370
+rect 65590 271641 65720 273361
+rect 57253 271511 65720 271641
+rect -960 265556 480 265796
+rect 57253 255578 57383 271511
+rect 66054 270831 66220 273228
+rect 60039 270665 66220 270831
+rect 57253 255063 57612 255578
+rect -960 251140 480 251380
+rect -960 236860 480 237100
+rect -960 222444 480 222684
+rect -960 208028 480 208268
+rect -960 193748 480 193988
+rect -960 179332 480 179572
+rect -960 164916 480 165156
+rect -960 150636 480 150876
+rect -960 136220 480 136460
+rect -960 121940 480 122180
+rect -960 107524 480 107764
+rect -960 93108 480 93348
+rect -960 78828 480 79068
+rect -960 64412 480 64652
+rect -960 49996 480 50236
+rect -960 35716 480 35956
+rect -960 21300 480 21540
+rect 57372 17732 57612 255063
+rect 60039 255051 60205 270665
+rect 66856 270246 67000 272942
+rect 63154 270102 67000 270246
+rect 67756 272560 68072 272704
+rect 60384 255051 60624 255070
+rect 60039 254345 60624 255051
+rect 60384 64652 60624 254345
+rect 63154 254866 63298 270102
+rect 67756 269714 67900 272560
+rect 66978 269570 67900 269714
+rect 68130 272330 68494 272474
+rect 63154 254206 63476 254866
+rect 63236 111572 63476 254206
+rect 66978 254140 67122 269570
+rect 68130 268124 68274 272330
+rect 68556 272086 68966 272230
+rect 68556 268562 68700 272086
+rect 68932 271824 69432 271968
+rect 68932 269018 69076 271824
+rect 69376 271562 69790 271706
+rect 69376 269358 69520 271562
+rect 69772 271314 70222 271458
+rect 69772 269702 69916 271314
+rect 70222 271052 70758 271196
+rect 70222 270084 70366 271052
+rect 70222 269940 86682 270084
+rect 69772 269558 83444 269702
+rect 69376 269214 80316 269358
+rect 68932 268874 77792 269018
+rect 68556 268418 74446 268562
+rect 68130 267980 70786 268124
+rect 66978 253478 67234 254140
+rect 66994 158492 67234 253478
+rect 70642 253714 70786 267980
+rect 70642 252794 70888 253714
+rect 70648 205412 70888 252794
+rect 74302 252332 74446 268418
+rect 77648 253148 77792 268874
+rect 80172 254108 80316 269214
+rect 83300 255360 83444 269558
+rect 86538 256508 86682 269940
+rect 291924 256508 292164 439772
 rect 334910 428257 584960 428316
 rect 334910 428147 335025 428257
 rect 335135 428147 584960 428257
 rect 334910 428076 584960 428147
-rect -960 423588 480 423828
 rect 583520 416380 584960 416620
-rect -960 409172 480 409412
 rect 583520 404684 584960 404924
-rect -960 394892 480 395132
-rect 583520 392852 584960 393092
+rect 86538 256268 292164 256508
+rect 300952 392852 584960 393092
+rect 86538 256222 86682 256268
+rect 300952 255360 301192 392852
 rect 334468 381348 584960 381396
 rect 334468 381236 334592 381348
 rect 334704 381236 584960 381348
 rect 334468 381156 584960 381236
-rect -960 380476 480 380716
 rect 583520 369460 584960 369700
-rect -960 366060 480 366300
 rect 583520 357764 584960 358004
 rect 352940 355401 353092 355406
 rect 352940 355259 352945 355401
 rect 353087 355259 353092 355401
 rect 352940 355254 353092 355259
-rect -960 351780 480 352020
 rect 352945 351927 353087 355254
 rect 352945 351779 353087 351785
-rect 583520 345932 584960 346172
-rect -960 337364 480 337604
+rect 83300 255120 301192 255360
+rect 303884 345932 584960 346172
+rect 83300 255092 83444 255120
+rect 303884 254108 304124 345932
 rect 334014 334416 584960 334476
 rect 334014 334304 334146 334416
 rect 334258 334304 584960 334416
 rect 334014 334236 584960 334304
-rect -960 322948 480 323188
 rect 583520 322540 584960 322780
 rect 338192 318925 338444 318930
 rect 338192 318683 338197 318925
@@ -1804,9 +1927,10 @@
 rect 338197 316681 338439 318678
 rect 338197 316433 338439 316439
 rect 583520 310708 584960 310948
-rect -960 308668 480 308908
-rect 583520 299012 584960 299252
-rect -960 294252 480 294492
+rect 80172 253870 304124 254108
+rect 80244 253868 304124 253870
+rect 306042 299012 584960 299252
+rect 306042 253148 306282 299012
 rect 333064 287497 584960 287556
 rect 333064 287387 333361 287497
 rect 333471 287387 584960 287497
@@ -1817,69 +1941,54 @@
 rect 339106 286122 339358 286127
 rect 339111 284223 339353 286122
 rect 339111 283975 339353 283981
-rect -960 279972 480 280212
 rect 583520 275620 584960 275860
-rect -960 265556 480 265796
 rect 583520 263788 584960 264028
-rect 583520 252092 584960 252332
-rect -960 251140 480 251380
+rect 77648 252920 306282 253148
+rect 77724 252908 306282 252920
+rect 74302 252092 584960 252332
+rect 74302 252068 74446 252092
 rect 319410 240536 584960 240636
 rect 319410 240476 319496 240536
 rect 319556 240476 584960 240536
 rect 319410 240396 584960 240476
-rect -960 236860 480 237100
 rect 583520 228700 584960 228940
-rect -960 222444 480 222684
 rect 583520 216868 584960 217108
-rect -960 208028 480 208268
-rect 583520 205172 584960 205412
-rect -960 193748 480 193988
+rect 70648 205172 584960 205412
 rect 319026 193604 584960 193716
 rect 319026 193544 319104 193604
 rect 319164 193544 584960 193604
 rect 319026 193476 584960 193544
 rect 583520 181780 584960 182020
-rect -960 179332 480 179572
 rect 583520 169948 584960 170188
-rect -960 164916 480 165156
-rect 583520 158252 584960 158492
-rect -960 150636 480 150876
+rect 66994 158252 584960 158492
 rect 318698 146676 584960 146796
 rect 318698 146616 318724 146676
 rect 318784 146616 584960 146676
 rect 318698 146556 584960 146616
-rect -960 136220 480 136460
 rect 583520 134724 584960 134964
 rect 583520 123028 584960 123268
-rect -960 121940 480 122180
-rect 583520 111332 584960 111572
-rect -960 107524 480 107764
+rect 63236 111332 584960 111572
 rect 317720 99760 584960 99876
 rect 317720 99700 318332 99760
 rect 318392 99700 584960 99760
 rect 317720 99636 584960 99700
-rect -960 93108 480 93348
 rect 583520 87804 584960 88044
-rect -960 78828 480 79068
 rect 583520 76108 584960 76348
-rect -960 64412 480 64652
-rect 583520 64412 584960 64652
+rect 60384 64412 584960 64652
 rect 316182 52860 584960 52956
 rect 316182 52800 316228 52860
 rect 316288 52800 584960 52860
 rect 316182 52716 584960 52800
-rect -960 49996 480 50236
 rect 583520 40884 584960 41124
-rect -960 35716 480 35956
 rect 583520 29188 584960 29428
-rect -960 21300 480 21540
-rect 583520 17492 584960 17732
+rect 57372 17492 584960 17732
 rect -960 7020 480 7260
 rect 314984 5918 584960 6036
 rect 314984 5858 315534 5918
 rect 315594 5858 584960 5918
 rect 314984 5796 584960 5858
 << via3 >>
+rect 142344 275062 142490 275236
 rect 352945 351785 353087 351927
 rect 338197 316439 338439 316681
 rect 339111 283981 339353 284223
@@ -3873,12 +3982,33 @@
 rect 339353 283981 339354 284223
 rect 339110 283980 339354 283981
 rect 339111 281750 339353 283980
+rect 140822 278664 141080 278678
+rect 140822 278180 141670 278664
+rect 140822 276188 141080 278180
+rect 140696 275776 141198 276188
+rect 132054 275532 141198 275776
+rect 132054 275304 141168 275532
+rect 132160 264340 132618 275304
+rect 142130 275236 142730 275278
+rect 142130 275062 142344 275236
+rect 142490 275062 142730 275236
+rect 132160 264072 132772 264340
 rect -1996 254218 -1814 254454
 rect -1578 254218 -1396 254454
 rect -1996 254134 -1396 254218
 rect -1996 253898 -1814 254134
 rect -1578 253898 -1396 254134
 rect -1996 218454 -1396 253898
+rect 132172 229856 132772 264072
+rect 142130 249324 142730 275062
+rect 585320 254454 585920 289898
+rect 585320 254218 585502 254454
+rect 585738 254218 585920 254454
+rect 585320 254134 585920 254218
+rect 585320 253898 585502 254134
+rect 585738 253898 585920 254134
+rect 142130 248724 296604 249324
+rect 132172 229256 278604 229856
 rect -1996 218218 -1814 218454
 rect -1578 218218 -1396 218454
 rect -1996 218134 -1396 218218
@@ -3921,54 +4051,6 @@
 rect -1996 1898 -1814 2134
 rect -1578 1898 -1396 2134
 rect -1996 -346 -1396 1898
-rect 585320 254454 585920 289898
-rect 585320 254218 585502 254454
-rect 585738 254218 585920 254454
-rect 585320 254134 585920 254218
-rect 585320 253898 585502 254134
-rect 585738 253898 585920 254134
-rect 585320 218454 585920 253898
-rect 585320 218218 585502 218454
-rect 585738 218218 585920 218454
-rect 585320 218134 585920 218218
-rect 585320 217898 585502 218134
-rect 585738 217898 585920 218134
-rect 585320 182454 585920 217898
-rect 585320 182218 585502 182454
-rect 585738 182218 585920 182454
-rect 585320 182134 585920 182218
-rect 585320 181898 585502 182134
-rect 585738 181898 585920 182134
-rect 585320 146454 585920 181898
-rect 585320 146218 585502 146454
-rect 585738 146218 585920 146454
-rect 585320 146134 585920 146218
-rect 585320 145898 585502 146134
-rect 585738 145898 585920 146134
-rect 585320 110454 585920 145898
-rect 585320 110218 585502 110454
-rect 585738 110218 585920 110454
-rect 585320 110134 585920 110218
-rect 585320 109898 585502 110134
-rect 585738 109898 585920 110134
-rect 585320 74454 585920 109898
-rect 585320 74218 585502 74454
-rect 585738 74218 585920 74454
-rect 585320 74134 585920 74218
-rect 585320 73898 585502 74134
-rect 585738 73898 585920 74134
-rect 585320 38454 585920 73898
-rect 585320 38218 585502 38454
-rect 585738 38218 585920 38454
-rect 585320 38134 585920 38218
-rect 585320 37898 585502 38134
-rect 585738 37898 585920 38134
-rect 585320 2454 585920 37898
-rect 585320 2218 585502 2454
-rect 585738 2218 585920 2454
-rect 585320 2134 585920 2218
-rect 585320 1898 585502 2134
-rect 585738 1898 585920 2134
 rect -1996 -582 -1814 -346
 rect -1578 -582 -1396 -346
 rect -1996 -666 -1396 -582
@@ -4445,7 +4527,7 @@
 rect 274404 -3662 274586 -3426
 rect 274822 -3662 275004 -3426
 rect 274404 -3684 275004 -3662
-rect 278004 -4946 278604 480
+rect 278004 -4946 278604 229256
 rect 278004 -5182 278186 -4946
 rect 278422 -5182 278604 -4946
 rect 278004 -5266 278604 -5182
@@ -4473,7 +4555,49 @@
 rect 292404 -2742 292586 -2506
 rect 292822 -2742 293004 -2506
 rect 292404 -3684 293004 -2742
-rect 296004 -4026 296604 480
+rect 296004 -4026 296604 248724
+rect 585320 218454 585920 253898
+rect 585320 218218 585502 218454
+rect 585738 218218 585920 218454
+rect 585320 218134 585920 218218
+rect 585320 217898 585502 218134
+rect 585738 217898 585920 218134
+rect 585320 182454 585920 217898
+rect 585320 182218 585502 182454
+rect 585738 182218 585920 182454
+rect 585320 182134 585920 182218
+rect 585320 181898 585502 182134
+rect 585738 181898 585920 182134
+rect 585320 146454 585920 181898
+rect 585320 146218 585502 146454
+rect 585738 146218 585920 146454
+rect 585320 146134 585920 146218
+rect 585320 145898 585502 146134
+rect 585738 145898 585920 146134
+rect 585320 110454 585920 145898
+rect 585320 110218 585502 110454
+rect 585738 110218 585920 110454
+rect 585320 110134 585920 110218
+rect 585320 109898 585502 110134
+rect 585738 109898 585920 110134
+rect 585320 74454 585920 109898
+rect 585320 74218 585502 74454
+rect 585738 74218 585920 74454
+rect 585320 74134 585920 74218
+rect 585320 73898 585502 74134
+rect 585738 73898 585920 74134
+rect 585320 38454 585920 73898
+rect 585320 38218 585502 38454
+rect 585738 38218 585920 38454
+rect 585320 38134 585920 38218
+rect 585320 37898 585502 38134
+rect 585738 37898 585920 38134
+rect 585320 2454 585920 37898
+rect 585320 2218 585502 2454
+rect 585738 2218 585920 2454
+rect 585320 2134 585920 2218
+rect 585320 1898 585502 2134
+rect 585738 1898 585920 2134
 rect 296004 -4262 296186 -4026
 rect 296422 -4262 296604 -4026
 rect 296004 -4346 296604 -4262
@@ -6417,6 +6541,8 @@
 rect 339072 281430 339392 281750
 rect -1814 254218 -1578 254454
 rect -1814 253898 -1578 254134
+rect 585502 254218 585738 254454
+rect 585502 253898 585738 254134
 rect -1814 218218 -1578 218454
 rect -1814 217898 -1578 218134
 rect -1814 182218 -1578 182454
@@ -6431,22 +6557,6 @@
 rect -1814 37898 -1578 38134
 rect -1814 2218 -1578 2454
 rect -1814 1898 -1578 2134
-rect 585502 254218 585738 254454
-rect 585502 253898 585738 254134
-rect 585502 218218 585738 218454
-rect 585502 217898 585738 218134
-rect 585502 182218 585738 182454
-rect 585502 181898 585738 182134
-rect 585502 146218 585738 146454
-rect 585502 145898 585738 146134
-rect 585502 110218 585738 110454
-rect 585502 109898 585738 110134
-rect 585502 74218 585738 74454
-rect 585502 73898 585738 74134
-rect 585502 38218 585738 38454
-rect 585502 37898 585738 38134
-rect 585502 2218 585738 2454
-rect 585502 1898 585738 2134
 rect -1814 -582 -1578 -346
 rect -1814 -902 -1578 -666
 rect 986 -582 1222 -346
@@ -6593,6 +6703,20 @@
 rect 288986 -902 289222 -666
 rect 292586 -2422 292822 -2186
 rect 292586 -2742 292822 -2506
+rect 585502 218218 585738 218454
+rect 585502 217898 585738 218134
+rect 585502 182218 585738 182454
+rect 585502 181898 585738 182134
+rect 585502 146218 585738 146454
+rect 585502 145898 585738 146134
+rect 585502 110218 585738 110454
+rect 585502 109898 585738 110134
+rect 585502 74218 585738 74454
+rect 585502 73898 585738 74134
+rect 585502 38218 585738 38454
+rect 585502 37898 585738 38134
+rect 585502 2218 585738 2454
+rect 585502 1898 585738 2134
 rect 296186 -4262 296422 -4026
 rect 296186 -4582 296422 -4346
 rect 281786 -7022 282022 -6786
@@ -11083,6 +11207,10 @@
 rect 533604 -7366 534204 -7364
 rect 569604 -7366 570204 -7364
 rect 591760 -7366 592360 -7364
+use 10good  10good_0
+timestamp 1607535698
+transform -1 0 142580 0 -1 320426
+box -738 -22 79994 49740
 use chip-w-opamp  chip-w-opamp_0
 timestamp 1606718978
 transform 1 0 341468 0 1 448419