blob: 5216153615b717aa752ed367b455c4c2004d0b75 [file] [log] [blame]
VERSION 5.8 ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
DESIGN user_id_programming ;
UNITS DISTANCE MICRONS 1000 ;
DIEAREA ( 0 0 ) ( 35545 46265 ) ;
ROW ROW_0 unithd 5520 10880 FS DO 53 BY 1 STEP 460 0 ;
ROW ROW_1 unithd 5520 13600 N DO 53 BY 1 STEP 460 0 ;
ROW ROW_2 unithd 5520 16320 FS DO 53 BY 1 STEP 460 0 ;
ROW ROW_3 unithd 5520 19040 N DO 53 BY 1 STEP 460 0 ;
ROW ROW_4 unithd 5520 21760 FS DO 53 BY 1 STEP 460 0 ;
ROW ROW_5 unithd 5520 24480 N DO 53 BY 1 STEP 460 0 ;
ROW ROW_6 unithd 5520 27200 FS DO 53 BY 1 STEP 460 0 ;
ROW ROW_7 unithd 5520 29920 N DO 53 BY 1 STEP 460 0 ;
ROW ROW_8 unithd 5520 32640 FS DO 53 BY 1 STEP 460 0 ;
TRACKS X 230 DO 77 STEP 460 LAYER li1 ;
TRACKS Y 170 DO 136 STEP 340 LAYER li1 ;
TRACKS X 170 DO 105 STEP 340 LAYER met1 ;
TRACKS Y 170 DO 136 STEP 340 LAYER met1 ;
TRACKS X 230 DO 77 STEP 460 LAYER met2 ;
TRACKS Y 230 DO 101 STEP 460 LAYER met2 ;
TRACKS X 340 DO 52 STEP 680 LAYER met3 ;
TRACKS Y 340 DO 68 STEP 680 LAYER met3 ;
TRACKS X 460 DO 39 STEP 920 LAYER met4 ;
TRACKS Y 460 DO 50 STEP 920 LAYER met4 ;
TRACKS X 1700 DO 10 STEP 3400 LAYER met5 ;
TRACKS Y 1700 DO 14 STEP 3400 LAYER met5 ;
VIAS 6 ;
- via2_FR + RECT met2 ( -140 -185 ) ( 140 185 ) + RECT via2 ( -100 -100 ) ( 100 100 ) + RECT met3 ( -165 -165 ) ( 165 165 ) ;
- via4_FR + RECT met4 ( -590 -590 ) ( 590 590 ) + RECT via4 ( -400 -400 ) ( 400 400 ) + RECT met5 ( -710 -710 ) ( 710 710 ) ;
- via_1600x480 + VIARULE M1M2_PR + CUTSIZE 150 150 + LAYERS met1 via met2 + CUTSPACING 170 170 + ENCLOSURE 245 165 55 165 + ROWCOL 1 4 ;
- via2_1600x480 + VIARULE M2M3_PR + CUTSIZE 200 200 + LAYERS met2 via2 met3 + CUTSPACING 200 200 + ENCLOSURE 40 140 100 65 + ROWCOL 1 4 ;
- via3_1600x480 + VIARULE M3M4_PR + CUTSIZE 200 200 + LAYERS met3 via3 met4 + CUTSPACING 200 200 + ENCLOSURE 100 60 100 140 + ROWCOL 1 4 ;
- via4_1600x1600 + VIARULE M4M5_PR + CUTSIZE 800 800 + LAYERS met4 via4 met5 + CUTSPACING 800 800 + ENCLOSURE 400 400 400 400 ;
END VIAS
COMPONENTS 112 ;
- mask_rev_value\[0\] sky130_fd_sc_hd__conb_1 + PLACED ( 20240 19040 ) N ;
- mask_rev_value\[10\] sky130_fd_sc_hd__conb_1 + PLACED ( 7360 21760 ) FS ;
- mask_rev_value\[11\] sky130_fd_sc_hd__conb_1 + PLACED ( 14260 21760 ) FS ;
- mask_rev_value\[12\] sky130_fd_sc_hd__conb_1 + PLACED ( 22080 19040 ) N ;
- mask_rev_value\[13\] sky130_fd_sc_hd__conb_1 + PLACED ( 17480 27200 ) FS ;
- mask_rev_value\[14\] sky130_fd_sc_hd__conb_1 + PLACED ( 23460 27200 ) FS ;
- mask_rev_value\[15\] sky130_fd_sc_hd__conb_1 + PLACED ( 8740 16320 ) FS ;
- mask_rev_value\[16\] sky130_fd_sc_hd__conb_1 + PLACED ( 20240 29920 ) N ;
- mask_rev_value\[17\] sky130_fd_sc_hd__conb_1 + PLACED ( 20240 10880 ) FS ;
- mask_rev_value\[18\] sky130_fd_sc_hd__conb_1 + PLACED ( 26220 10880 ) FS ;
- mask_rev_value\[19\] sky130_fd_sc_hd__conb_1 + PLACED ( 10580 13600 ) N ;
- mask_rev_value\[1\] sky130_fd_sc_hd__conb_1 + PLACED ( 8740 21760 ) FS ;
- mask_rev_value\[20\] sky130_fd_sc_hd__conb_1 + PLACED ( 8280 10880 ) FS ;
- mask_rev_value\[21\] sky130_fd_sc_hd__conb_1 + PLACED ( 13340 24480 ) N ;
- mask_rev_value\[22\] sky130_fd_sc_hd__conb_1 + PLACED ( 13340 19040 ) N ;
- mask_rev_value\[23\] sky130_fd_sc_hd__conb_1 + PLACED ( 6900 16320 ) FS ;
- mask_rev_value\[24\] sky130_fd_sc_hd__conb_1 + PLACED ( 14720 24480 ) N ;
- mask_rev_value\[25\] sky130_fd_sc_hd__conb_1 + PLACED ( 6900 19040 ) N ;
- mask_rev_value\[26\] sky130_fd_sc_hd__conb_1 + PLACED ( 25760 13600 ) N ;
- mask_rev_value\[27\] sky130_fd_sc_hd__conb_1 + PLACED ( 27140 24480 ) N ;
- mask_rev_value\[28\] sky130_fd_sc_hd__conb_1 + PLACED ( 11960 29920 ) N ;
- mask_rev_value\[29\] sky130_fd_sc_hd__conb_1 + PLACED ( 23920 29920 ) N ;
- mask_rev_value\[2\] sky130_fd_sc_hd__conb_1 + PLACED ( 6900 13600 ) N ;
- mask_rev_value\[30\] sky130_fd_sc_hd__conb_1 + PLACED ( 9200 29920 ) N ;
- mask_rev_value\[31\] sky130_fd_sc_hd__conb_1 + PLACED ( 18400 19040 ) N ;
- mask_rev_value\[3\] sky130_fd_sc_hd__conb_1 + PLACED ( 10580 29920 ) N ;
- mask_rev_value\[4\] sky130_fd_sc_hd__conb_1 + PLACED ( 6900 29920 ) N ;
- mask_rev_value\[5\] sky130_fd_sc_hd__conb_1 + PLACED ( 17020 19040 ) N ;
- mask_rev_value\[6\] sky130_fd_sc_hd__conb_1 + PLACED ( 10120 16320 ) FS ;
- mask_rev_value\[7\] sky130_fd_sc_hd__conb_1 + PLACED ( 26680 19040 ) N ;
- mask_rev_value\[8\] sky130_fd_sc_hd__conb_1 + PLACED ( 10120 21760 ) FS ;
- mask_rev_value\[9\] sky130_fd_sc_hd__conb_1 + PLACED ( 27140 27200 ) FS ;
- PHY_0 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 10880 ) FS ;
- PHY_1 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 10880 ) S ;
- PHY_2 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 13600 ) N ;
- PHY_3 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 13600 ) FN ;
- PHY_4 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 16320 ) FS ;
- PHY_5 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 16320 ) S ;
- PHY_6 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 19040 ) N ;
- PHY_7 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 19040 ) FN ;
- PHY_8 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 21760 ) FS ;
- PHY_9 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 21760 ) S ;
- PHY_10 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 24480 ) N ;
- PHY_11 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 24480 ) FN ;
- PHY_12 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 27200 ) FS ;
- PHY_13 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 27200 ) S ;
- PHY_14 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 29920 ) N ;
- PHY_15 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 29920 ) FN ;
- PHY_16 sky130_fd_sc_hd__decap_3 + FIXED ( 5520 32640 ) FS ;
- PHY_17 sky130_fd_sc_hd__decap_3 + FIXED ( 28520 32640 ) S ;
- PHY_18 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 10880 ) FS ;
- PHY_19 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 16320 ) FS ;
- PHY_20 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 21760 ) FS ;
- PHY_21 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 27200 ) FS ;
- PHY_22 sky130_fd_sc_hd__tapvpwrvgnd_1 + FIXED ( 19780 32640 ) FS ;
- FILLER_0_3 sky130_fd_sc_hd__decap_3 + PLACED ( 6900 10880 ) FS ;
- FILLER_0_9 sky130_fd_sc_hd__decap_12 + PLACED ( 9660 10880 ) FS ;
- FILLER_0_21 sky130_fd_sc_hd__decap_8 + PLACED ( 15180 10880 ) FS ;
- FILLER_0_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 10880 ) FS ;
- FILLER_0_35 sky130_fd_sc_hd__decap_8 + PLACED ( 21620 10880 ) FS ;
- FILLER_0_43 sky130_fd_sc_hd__fill_2 + PLACED ( 25300 10880 ) FS ;
- FILLER_0_48 sky130_fd_sc_hd__fill_2 + PLACED ( 27600 10880 ) FS ;
- FILLER_1_6 sky130_fd_sc_hd__decap_4 + PLACED ( 8280 13600 ) N ;
- FILLER_1_10 sky130_fd_sc_hd__fill_1 + PLACED ( 10120 13600 ) N ;
- FILLER_1_14 sky130_fd_sc_hd__decap_12 + PLACED ( 11960 13600 ) N ;
- FILLER_1_26 sky130_fd_sc_hd__decap_12 + PLACED ( 17480 13600 ) N ;
- FILLER_1_38 sky130_fd_sc_hd__decap_6 + PLACED ( 23000 13600 ) N ;
- FILLER_1_47 sky130_fd_sc_hd__decap_3 + PLACED ( 27140 13600 ) N ;
- FILLER_2_6 sky130_fd_sc_hd__fill_1 + PLACED ( 8280 16320 ) FS ;
- FILLER_2_13 sky130_fd_sc_hd__decap_12 + PLACED ( 11500 16320 ) FS ;
- FILLER_2_25 sky130_fd_sc_hd__decap_6 + PLACED ( 17020 16320 ) FS ;
- FILLER_2_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 16320 ) FS ;
- FILLER_2_44 sky130_fd_sc_hd__decap_6 + PLACED ( 25760 16320 ) FS ;
- FILLER_3_6 sky130_fd_sc_hd__decap_8 + PLACED ( 8280 19040 ) N ;
- FILLER_3_14 sky130_fd_sc_hd__decap_3 + PLACED ( 11960 19040 ) N ;
- FILLER_3_20 sky130_fd_sc_hd__decap_4 + PLACED ( 14720 19040 ) N ;
- FILLER_3_24 sky130_fd_sc_hd__fill_1 + PLACED ( 16560 19040 ) N ;
- FILLER_3_31 sky130_fd_sc_hd__fill_1 + PLACED ( 19780 19040 ) N ;
- FILLER_3_35 sky130_fd_sc_hd__fill_1 + PLACED ( 21620 19040 ) N ;
- FILLER_3_39 sky130_fd_sc_hd__decap_6 + PLACED ( 23460 19040 ) N ;
- FILLER_3_45 sky130_fd_sc_hd__fill_1 + PLACED ( 26220 19040 ) N ;
- FILLER_3_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 19040 ) N ;
- FILLER_4_3 sky130_fd_sc_hd__fill_1 + PLACED ( 6900 21760 ) FS ;
- FILLER_4_13 sky130_fd_sc_hd__decap_6 + PLACED ( 11500 21760 ) FS ;
- FILLER_4_22 sky130_fd_sc_hd__decap_8 + PLACED ( 15640 21760 ) FS ;
- FILLER_4_30 sky130_fd_sc_hd__fill_1 + PLACED ( 19320 21760 ) FS ;
- FILLER_4_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 21760 ) FS ;
- FILLER_4_44 sky130_fd_sc_hd__decap_6 + PLACED ( 25760 21760 ) FS ;
- FILLER_5_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 24480 ) N ;
- FILLER_5_15 sky130_fd_sc_hd__fill_2 + PLACED ( 12420 24480 ) N ;
- FILLER_5_23 sky130_fd_sc_hd__decap_12 + PLACED ( 16100 24480 ) N ;
- FILLER_5_35 sky130_fd_sc_hd__decap_12 + PLACED ( 21620 24480 ) N ;
- FILLER_6_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 27200 ) FS ;
- FILLER_6_15 sky130_fd_sc_hd__decap_8 + PLACED ( 12420 27200 ) FS ;
- FILLER_6_23 sky130_fd_sc_hd__decap_3 + PLACED ( 16100 27200 ) FS ;
- FILLER_6_29 sky130_fd_sc_hd__fill_2 + PLACED ( 18860 27200 ) FS ;
- FILLER_6_32 sky130_fd_sc_hd__decap_6 + PLACED ( 20240 27200 ) FS ;
- FILLER_6_38 sky130_fd_sc_hd__fill_1 + PLACED ( 23000 27200 ) FS ;
- FILLER_6_42 sky130_fd_sc_hd__decap_4 + PLACED ( 24840 27200 ) FS ;
- FILLER_6_46 sky130_fd_sc_hd__fill_1 + PLACED ( 26680 27200 ) FS ;
- FILLER_7_6 sky130_fd_sc_hd__fill_2 + PLACED ( 8280 29920 ) N ;
- FILLER_7_17 sky130_fd_sc_hd__decap_12 + PLACED ( 13340 29920 ) N ;
- FILLER_7_29 sky130_fd_sc_hd__decap_3 + PLACED ( 18860 29920 ) N ;
- FILLER_7_35 sky130_fd_sc_hd__decap_4 + PLACED ( 21620 29920 ) N ;
- FILLER_7_39 sky130_fd_sc_hd__fill_1 + PLACED ( 23460 29920 ) N ;
- FILLER_7_43 sky130_fd_sc_hd__decap_6 + PLACED ( 25300 29920 ) N ;
- FILLER_7_49 sky130_fd_sc_hd__fill_1 + PLACED ( 28060 29920 ) N ;
- FILLER_8_3 sky130_fd_sc_hd__decap_12 + PLACED ( 6900 32640 ) FS ;
- FILLER_8_15 sky130_fd_sc_hd__decap_12 + PLACED ( 12420 32640 ) FS ;
- FILLER_8_27 sky130_fd_sc_hd__decap_4 + PLACED ( 17940 32640 ) FS ;
- FILLER_8_32 sky130_fd_sc_hd__decap_12 + PLACED ( 20240 32640 ) FS ;
- FILLER_8_44 sky130_fd_sc_hd__decap_6 + PLACED ( 25760 32640 ) FS ;
END COMPONENTS
PINS 36 ;
- mask_rev[0] + NET mask_rev[0] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 23230 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[10] + NET mask_rev[10] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 22310 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[11] + NET mask_rev[11] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 5750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[12] + NET mask_rev[12] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[13] + NET mask_rev[13] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 29670 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[14] + NET mask_rev[14] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[15] + NET mask_rev[15] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 12580 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[16] + NET mask_rev[16] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 12190 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[17] + NET mask_rev[17] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[18] + NET mask_rev[18] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 38420 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[19] + NET mask_rev[19] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 16790 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[1] + NET mask_rev[1] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 13940 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[20] + NET mask_rev[20] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 18020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[21] + NET mask_rev[21] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 7140 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[22] + NET mask_rev[22] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 8500 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[23] + NET mask_rev[23] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 9430 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[24] + NET mask_rev[24] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 32430 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[25] + NET mask_rev[25] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 18020 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[26] + NET mask_rev[26] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25990 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[27] + NET mask_rev[27] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 6670 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[28] + NET mask_rev[28] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 37060 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[29] + NET mask_rev[29] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 28750 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[2] + NET mask_rev[2] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 23460 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[30] + NET mask_rev[30] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 31620 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[31] + NET mask_rev[31] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 15870 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[3] + NET mask_rev[3] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 18630 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[4] + NET mask_rev[4] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 22100 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[5] + NET mask_rev[5] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 33545 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[6] + NET mask_rev[6] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 2000 32980 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- mask_rev[7] + NET mask_rev[7] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 19550 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[8] + NET mask_rev[8] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 25990 2000 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- mask_rev[9] + NET mask_rev[9] + DIRECTION OUTPUT + USE SIGNAL + PLACED ( 13110 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- vdd1v8 + NET vdd1v8 + DIRECTION INOUT + USE SIGNAL + PLACED ( 2000 27540 ) N + LAYER met3 ( -2000 -300 ) ( 2000 300 ) ;
- vss + NET vss + DIRECTION INOUT + USE SIGNAL + PLACED ( 2990 44265 ) N + LAYER met2 ( -140 -2000 ) ( 140 2000 ) ;
- VPWR + NET VPWR + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 17710 14720 ) N + LAYER met5 ( -12190 -800 ) ( 12190 800 ) ;
- VGND + NET VGND + SPECIAL + DIRECTION INPUT + USE SIGNAL + FIXED ( 17710 18800 ) N + LAYER met5 ( -12190 -800 ) ( 12190 800 ) ;
END PINS
SPECIALNETS 2 ;
- VPWR ( PIN VPWR ) + USE POWER
+ ROUTED met4 0 + SHAPE STRIPE ( 25837 31040 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 17710 31040 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 9583 31040 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 25837 22880 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 17710 22880 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 9583 22880 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 25837 14720 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 17710 14720 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 9583 14720 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 25837 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25837 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25837 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 17710 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 17710 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 17710 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 9583 32640 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 9583 32640 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 9583 32640 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25837 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25837 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25837 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 17710 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 17710 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 17710 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 9583 27200 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 9583 27200 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 9583 27200 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25837 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25837 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25837 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 17710 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 17710 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 17710 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 9583 21760 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 9583 21760 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 9583 21760 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25837 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25837 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25837 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 17710 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 17710 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 17710 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 9583 16320 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 9583 16320 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 9583 16320 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 25837 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 25837 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 25837 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 17710 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 17710 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 17710 10880 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 9583 10880 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 9583 10880 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 9583 10880 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 31040 ) ( 29900 31040 )
NEW met5 1600 + SHAPE STRIPE ( 5520 22880 ) ( 29900 22880 )
NEW met5 1600 + SHAPE STRIPE ( 5520 14720 ) ( 29900 14720 )
NEW met4 1600 + SHAPE STRIPE ( 25837 10640 ) ( 25837 35600 )
NEW met4 1600 + SHAPE STRIPE ( 17710 10640 ) ( 17710 35600 )
NEW met4 1600 + SHAPE STRIPE ( 9583 10640 ) ( 9583 35600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 32640 ) ( 29900 32640 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 27200 ) ( 29900 27200 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 21760 ) ( 29900 21760 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 16320 ) ( 29900 16320 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 10880 ) ( 29900 10880 ) ;
- VGND ( PIN VGND ) + USE GROUND
+ ROUTED met4 0 + SHAPE STRIPE ( 21773 26960 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 13646 26960 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 21773 18800 ) via4_1600x1600
NEW met4 0 + SHAPE STRIPE ( 13646 18800 ) via4_1600x1600
NEW met3 0 + SHAPE STRIPE ( 21773 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21773 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21773 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 13646 35360 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 13646 35360 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 13646 35360 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21773 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21773 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21773 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 13646 29920 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 13646 29920 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 13646 29920 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21773 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21773 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21773 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 13646 24480 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 13646 24480 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 13646 24480 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21773 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21773 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21773 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 13646 19040 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 13646 19040 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 13646 19040 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 21773 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 21773 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 21773 13600 ) via_1600x480
NEW met3 0 + SHAPE STRIPE ( 13646 13600 ) via3_1600x480
NEW met2 0 + SHAPE STRIPE ( 13646 13600 ) via2_1600x480
NEW met1 0 + SHAPE STRIPE ( 13646 13600 ) via_1600x480
NEW met5 1600 + SHAPE STRIPE ( 5520 26960 ) ( 29900 26960 )
NEW met5 1600 + SHAPE STRIPE ( 5520 18800 ) ( 29900 18800 )
NEW met4 1600 + SHAPE STRIPE ( 21773 10640 ) ( 21773 35600 )
NEW met4 1600 + SHAPE STRIPE ( 13646 10640 ) ( 13646 35600 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 35360 ) ( 29900 35360 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 29920 ) ( 29900 29920 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 24480 ) ( 29900 24480 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 19040 ) ( 29900 19040 )
NEW met1 480 + SHAPE FOLLOWPIN ( 5520 13600 ) ( 29900 13600 ) ;
END SPECIALNETS
NETS 68 ;
- mask_rev[0] ( PIN mask_rev[0] ) ( mask_rev_value\[0\] LO ) + USE SIGNAL
+ ROUTED met1 ( 21390 21250 ) ( 23230 * )
NEW met2 ( 23230 21250 ) ( * 42500 0 )
NEW li1 ( 21390 21250 ) L1M1_PR_MR
NEW met1 ( 23230 21250 ) M1M2_PR ;
- mask_rev[10] ( PIN mask_rev[10] ) ( mask_rev_value\[10\] LO ) + USE SIGNAL
+ ROUTED met2 ( 22310 3740 0 ) ( * 6460 )
NEW met2 ( 22310 6460 ) ( 23690 * )
NEW met1 ( 8510 22950 ) ( 23690 * )
NEW met2 ( 23690 6460 ) ( * 22950 )
NEW li1 ( 8510 22950 ) L1M1_PR_MR
NEW met1 ( 23690 22950 ) M1M2_PR ;
- mask_rev[11] ( PIN mask_rev[11] ) ( mask_rev_value\[11\] LO ) + USE SIGNAL
+ ROUTED met2 ( 5750 3740 0 ) ( * 19890 )
NEW met2 ( 15410 19890 ) ( * 22270 )
NEW met1 ( 5750 19890 ) ( 15410 * )
NEW met1 ( 5750 19890 ) M1M2_PR
NEW met1 ( 15410 19890 ) M1M2_PR
NEW li1 ( 15410 22270 ) L1M1_PR_MR
NEW met1 ( 15410 22270 ) M1M2_PR
NEW met1 ( 15410 22270 ) RECT ( -355 -70 0 70 ) ;
- mask_rev[12] ( PIN mask_rev[12] ) ( mask_rev_value\[12\] LO ) + USE SIGNAL
+ ROUTED met2 ( 2990 3740 0 ) ( * 19550 )
NEW met1 ( 23230 19550 ) ( * 20230 )
NEW met1 ( 2990 19550 ) ( 23230 * )
NEW met1 ( 2990 19550 ) M1M2_PR
NEW li1 ( 23230 20230 ) L1M1_PR_MR ;
- mask_rev[13] ( PIN mask_rev[13] ) ( mask_rev_value\[13\] LO ) + USE SIGNAL
+ ROUTED met1 ( 18630 28730 ) ( 29670 * )
NEW met2 ( 29670 28730 ) ( * 42500 0 )
NEW li1 ( 18630 28730 ) L1M1_PR_MR
NEW met1 ( 29670 28730 ) M1M2_PR ;
- mask_rev[14] ( PIN mask_rev[14] ) ( mask_rev_value\[14\] LO ) + USE SIGNAL
+ ROUTED met1 ( 24610 28050 ) ( 32430 * )
NEW met2 ( 32430 3740 0 ) ( * 28050 )
NEW met1 ( 32430 28050 ) M1M2_PR
NEW li1 ( 24610 28050 ) L1M1_PR_MR ;
- mask_rev[15] ( PIN mask_rev[15] ) ( mask_rev_value\[15\] LO ) + USE SIGNAL
+ ROUTED met3 ( 14950 12580 ) ( 31740 * 0 )
NEW met1 ( 9890 17170 ) ( 14950 * )
NEW met2 ( 14950 12580 ) ( * 17170 )
NEW li1 ( 9890 17170 ) L1M1_PR_MR
NEW met2 ( 14950 12580 ) via2_FR
NEW met1 ( 14950 17170 ) M1M2_PR ;
- mask_rev[16] ( PIN mask_rev[16] ) ( mask_rev_value\[16\] LO ) + USE SIGNAL
+ ROUTED met2 ( 12190 3740 0 ) ( * 20230 )
NEW met2 ( 22770 20230 ) ( * 31110 )
NEW met1 ( 21390 31110 ) ( 22770 * )
NEW met1 ( 12190 20230 ) ( 22770 * )
NEW met1 ( 12190 20230 ) M1M2_PR
NEW met1 ( 22770 20230 ) M1M2_PR
NEW met1 ( 22770 31110 ) M1M2_PR
NEW li1 ( 21390 31110 ) L1M1_PR_MR ;
- mask_rev[17] ( PIN mask_rev[17] ) ( mask_rev_value\[17\] LO ) + USE SIGNAL
+ ROUTED met2 ( 10810 23630 ) ( * 33660 )
NEW met2 ( 9430 33660 ) ( 10810 * )
NEW met2 ( 9430 33660 ) ( * 42500 0 )
NEW met1 ( 21390 12410 ) ( 24150 * )
NEW met1 ( 10810 23630 ) ( 24150 * )
NEW met2 ( 24150 12410 ) ( * 23630 )
NEW met1 ( 10810 23630 ) M1M2_PR
NEW li1 ( 21390 12410 ) L1M1_PR_MR
NEW met1 ( 24150 12410 ) M1M2_PR
NEW met1 ( 24150 23630 ) M1M2_PR ;
- mask_rev[18] ( PIN mask_rev[18] ) ( mask_rev_value\[18\] LO ) + USE SIGNAL
+ ROUTED met2 ( 17710 38250 ) ( * 38420 )
NEW met1 ( 17710 38250 ) ( 27370 * )
NEW met3 ( 3220 38420 0 ) ( 17710 * )
NEW met2 ( 27370 12410 ) ( * 38250 )
NEW li1 ( 27370 12410 ) L1M1_PR_MR
NEW met1 ( 27370 12410 ) M1M2_PR
NEW met2 ( 17710 38420 ) via2_FR
NEW met1 ( 17710 38250 ) M1M2_PR
NEW met1 ( 27370 38250 ) M1M2_PR
NEW met1 ( 27370 12410 ) RECT ( -355 -70 0 70 ) ;
- mask_rev[19] ( PIN mask_rev[19] ) ( mask_rev_value\[19\] LO ) + USE SIGNAL
+ ROUTED met2 ( 14490 15810 ) ( * 18020 )
NEW met2 ( 14490 18020 ) ( 14950 * )
NEW met2 ( 14950 18020 ) ( * 34340 )
NEW met2 ( 14950 34340 ) ( 16790 * )
NEW met2 ( 16790 34340 ) ( * 42500 0 )
NEW met1 ( 11730 15810 ) ( 14490 * )
NEW li1 ( 11730 15810 ) L1M1_PR_MR
NEW met1 ( 14490 15810 ) M1M2_PR ;
- mask_rev[1] ( PIN mask_rev[1] ) ( mask_rev_value\[1\] LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 13940 0 ) ( 7130 * )
NEW met2 ( 7130 13940 ) ( * 22270 )
NEW met1 ( 7130 22270 ) ( 9890 * )
NEW met2 ( 7130 13940 ) via2_FR
NEW met1 ( 7130 22270 ) M1M2_PR
NEW li1 ( 9890 22270 ) L1M1_PR_MR ;
- mask_rev[20] ( PIN mask_rev[20] ) ( mask_rev_value\[20\] LO ) + USE SIGNAL
+ ROUTED met1 ( 9430 12410 ) ( 15410 * )
NEW met3 ( 15410 18020 ) ( 31740 * 0 )
NEW met2 ( 15410 12410 ) ( * 18020 )
NEW li1 ( 9430 12410 ) L1M1_PR_MR
NEW met1 ( 15410 12410 ) M1M2_PR
NEW met2 ( 15410 18020 ) via2_FR ;
- mask_rev[21] ( PIN mask_rev[21] ) ( mask_rev_value\[21\] LO ) + USE SIGNAL
+ ROUTED met3 ( 20010 7140 ) ( 31740 * 0 )
NEW met1 ( 14490 26350 ) ( 20010 * )
NEW met2 ( 20010 7140 ) ( * 26350 )
NEW met2 ( 20010 7140 ) via2_FR
NEW met1 ( 20010 26350 ) M1M2_PR
NEW li1 ( 14490 26350 ) L1M1_PR_MR ;
- mask_rev[22] ( PIN mask_rev[22] ) ( mask_rev_value\[22\] LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 8500 0 ) ( 6670 * )
NEW met2 ( 6670 8500 ) ( * 20570 )
NEW met1 ( 6670 20570 ) ( 14490 * )
NEW met2 ( 6670 8500 ) via2_FR
NEW met1 ( 6670 20570 ) M1M2_PR
NEW li1 ( 14490 20570 ) L1M1_PR_MR ;
- mask_rev[23] ( PIN mask_rev[23] ) ( mask_rev_value\[23\] LO ) + USE SIGNAL
+ ROUTED met2 ( 9430 3740 0 ) ( * 7140 )
NEW met2 ( 8510 7140 ) ( 9430 * )
NEW met1 ( 8050 16830 ) ( 8510 * )
NEW met2 ( 8510 7140 ) ( * 16830 )
NEW met1 ( 8510 16830 ) M1M2_PR
NEW li1 ( 8050 16830 ) L1M1_PR_MR ;
- mask_rev[24] ( PIN mask_rev[24] ) ( mask_rev_value\[24\] LO ) + USE SIGNAL
+ ROUTED met1 ( 15870 25670 ) ( 31970 * )
NEW met2 ( 31970 25670 ) ( * 28900 )
NEW met2 ( 31970 28900 ) ( 32430 * )
NEW met2 ( 32430 28900 ) ( * 42500 0 )
NEW li1 ( 15870 25670 ) L1M1_PR_MR
NEW met1 ( 31970 25670 ) M1M2_PR ;
- mask_rev[25] ( PIN mask_rev[25] ) ( mask_rev_value\[25\] LO ) + USE SIGNAL
+ ROUTED met3 ( 3220 18020 0 ) ( 8050 * )
NEW met2 ( 8050 18020 ) ( * 20910 )
NEW met2 ( 8050 18020 ) via2_FR
NEW li1 ( 8050 20910 ) L1M1_PR_MR
NEW met1 ( 8050 20910 ) M1M2_PR
NEW met1 ( 8050 20910 ) RECT ( -355 -70 0 70 ) ;
- mask_rev[26] ( PIN mask_rev[26] ) ( mask_rev_value\[26\] LO ) + USE SIGNAL
+ ROUTED met2 ( 26910 15810 ) ( * 33660 )
NEW met2 ( 25990 33660 ) ( 26910 * )
NEW met2 ( 25990 33660 ) ( * 42500 0 )
NEW li1 ( 26910 15810 ) L1M1_PR_MR
NEW met1 ( 26910 15810 ) M1M2_PR
NEW met1 ( 26910 15810 ) RECT ( -355 -70 0 70 ) ;
- mask_rev[27] ( PIN mask_rev[27] ) ( mask_rev_value\[27\] LO ) + USE SIGNAL
+ ROUTED met2 ( 6670 26010 ) ( * 42500 0 )
NEW met1 ( 6670 26010 ) ( 28290 * )
NEW met1 ( 6670 26010 ) M1M2_PR
NEW li1 ( 28290 26010 ) L1M1_PR_MR ;
- mask_rev[28] ( PIN mask_rev[28] ) ( mask_rev_value\[28\] LO ) + USE SIGNAL
+ ROUTED met2 ( 20470 32130 ) ( * 37060 )
NEW met3 ( 20470 37060 ) ( 31740 * 0 )
NEW met1 ( 13110 32130 ) ( 20470 * )
NEW li1 ( 13110 32130 ) L1M1_PR_MR
NEW met1 ( 20470 32130 ) M1M2_PR
NEW met2 ( 20470 37060 ) via2_FR ;
- mask_rev[29] ( PIN mask_rev[29] ) ( mask_rev_value\[29\] LO ) + USE SIGNAL
+ ROUTED met1 ( 25070 31110 ) ( 28750 * )
NEW met2 ( 28750 3740 0 ) ( * 31110 )
NEW met1 ( 28750 31110 ) M1M2_PR
NEW li1 ( 25070 31110 ) L1M1_PR_MR ;
- mask_rev[2] ( PIN mask_rev[2] ) ( mask_rev_value\[2\] LO ) + USE SIGNAL
+ ROUTED met1 ( 7590 15810 ) ( 8050 * )
NEW met2 ( 7590 15810 ) ( * 23460 )
NEW met3 ( 3220 23460 0 ) ( 7590 * )
NEW li1 ( 8050 15810 ) L1M1_PR_MR
NEW met1 ( 7590 15810 ) M1M2_PR
NEW met2 ( 7590 23460 ) via2_FR ;
- mask_rev[30] ( PIN mask_rev[30] ) ( mask_rev_value\[30\] LO ) + USE SIGNAL
+ ROUTED met2 ( 20470 31450 ) ( * 31620 )
NEW met3 ( 20470 31620 ) ( 31740 * 0 )
NEW met1 ( 10350 31450 ) ( 20470 * )
NEW li1 ( 10350 31450 ) L1M1_PR_MR
NEW met1 ( 20470 31450 ) M1M2_PR
NEW met2 ( 20470 31620 ) via2_FR ;
- mask_rev[31] ( PIN mask_rev[31] ) ( mask_rev_value\[31\] LO ) + USE SIGNAL
+ ROUTED met1 ( 15870 20570 ) ( 19550 * )
NEW met2 ( 15870 3740 0 ) ( * 20570 )
NEW met1 ( 15870 20570 ) M1M2_PR
NEW li1 ( 19550 20570 ) L1M1_PR_MR ;
- mask_rev[3] ( PIN mask_rev[3] ) ( mask_rev_value\[3\] LO ) + USE SIGNAL
+ ROUTED met2 ( 11730 15130 ) ( * 31110 )
NEW met2 ( 18630 3740 0 ) ( * 7140 )
NEW met2 ( 18630 7140 ) ( 19090 * )
NEW met1 ( 11730 15130 ) ( 19090 * )
NEW met2 ( 19090 7140 ) ( * 15130 )
NEW met1 ( 11730 15130 ) M1M2_PR
NEW li1 ( 11730 31110 ) L1M1_PR_MR
NEW met1 ( 11730 31110 ) M1M2_PR
NEW met1 ( 19090 15130 ) M1M2_PR
NEW met1 ( 11730 31110 ) RECT ( -355 -70 0 70 ) ;
- mask_rev[4] ( PIN mask_rev[4] ) ( mask_rev_value\[4\] LO ) + USE SIGNAL
+ ROUTED met2 ( 15870 22780 ) ( * 31790 )
NEW met3 ( 15870 22780 ) ( 27140 * )
NEW met3 ( 27140 22100 ) ( * 22780 )
NEW met3 ( 27140 22100 ) ( 31740 * 0 )
NEW met1 ( 8050 31790 ) ( 15870 * )
NEW li1 ( 8050 31790 ) L1M1_PR_MR
NEW met1 ( 15870 31790 ) M1M2_PR
NEW met2 ( 15870 22780 ) via2_FR ;
- mask_rev[5] ( PIN mask_rev[5] ) ( mask_rev_value\[5\] LO ) + USE SIGNAL
+ ROUTED met1 ( 18170 21250 ) ( 19090 * )
NEW met2 ( 19090 21250 ) ( * 26180 )
NEW met3 ( 19090 26180 ) ( 27140 * )
NEW met3 ( 27140 26180 ) ( * 27540 )
NEW met3 ( 27140 27540 ) ( 31740 * 0 )
NEW li1 ( 18170 21250 ) L1M1_PR_MR
NEW met1 ( 19090 21250 ) M1M2_PR
NEW met2 ( 19090 26180 ) via2_FR ;
- mask_rev[6] ( PIN mask_rev[6] ) ( mask_rev_value\[6\] LO ) + USE SIGNAL
+ ROUTED met1 ( 11270 17850 ) ( * 18530 )
NEW met3 ( 3220 32980 0 ) ( 6900 * )
NEW met3 ( 6900 32980 ) ( * 33660 )
NEW met2 ( 16330 18530 ) ( * 33660 )
NEW met1 ( 11270 18530 ) ( 16330 * )
NEW met3 ( 6900 33660 ) ( 16330 * )
NEW li1 ( 11270 17850 ) L1M1_PR_MR
NEW met1 ( 16330 18530 ) M1M2_PR
NEW met2 ( 16330 33660 ) via2_FR ;
- mask_rev[7] ( PIN mask_rev[7] ) ( mask_rev_value\[7\] LO ) + USE SIGNAL
+ ROUTED met1 ( 19550 20910 ) ( 27830 * )
NEW met1 ( 19550 20910 ) ( * 21250 )
NEW met2 ( 19550 21250 ) ( * 42500 0 )
NEW li1 ( 27830 20910 ) L1M1_PR_MR
NEW met1 ( 19550 21250 ) M1M2_PR ;
- mask_rev[8] ( PIN mask_rev[8] ) ( mask_rev_value\[8\] LO ) + USE SIGNAL
+ ROUTED met2 ( 25990 3740 0 ) ( * 7140 )
NEW met2 ( 24610 7140 ) ( 25990 * )
NEW met1 ( 11270 22610 ) ( 24610 * )
NEW met2 ( 24610 7140 ) ( * 22610 )
NEW li1 ( 11270 22610 ) L1M1_PR_MR
NEW met1 ( 24610 22610 ) M1M2_PR ;
- mask_rev[9] ( PIN mask_rev[9] ) ( mask_rev_value\[9\] LO ) + USE SIGNAL
+ ROUTED met2 ( 12650 26690 ) ( * 36380 )
NEW met2 ( 12650 36380 ) ( 13110 * )
NEW met2 ( 13110 36380 ) ( * 42500 0 )
NEW met2 ( 28290 26690 ) ( * 27710 )
NEW met1 ( 12650 26690 ) ( 28290 * )
NEW met1 ( 12650 26690 ) M1M2_PR
NEW met1 ( 28290 26690 ) M1M2_PR
NEW li1 ( 28290 27710 ) L1M1_PR_MR
NEW met1 ( 28290 27710 ) M1M2_PR
NEW met1 ( 28290 27710 ) RECT ( -355 -70 0 70 ) ;
- vdd1v8 ( PIN vdd1v8 ) + USE SIGNAL ;
- vss ( PIN vss ) + USE SIGNAL ;
- VPWR ( PIN VPWR ) ( FILLER_8_44 VPWR ) ( FILLER_8_44 VPB ) ( FILLER_8_32 VPWR ) ( FILLER_8_32 VPB ) ( FILLER_8_27 VPWR ) ( FILLER_8_27 VPB )
( FILLER_8_15 VPWR ) ( FILLER_8_15 VPB ) ( FILLER_8_3 VPWR ) ( FILLER_8_3 VPB ) ( FILLER_7_49 VPWR ) ( FILLER_7_49 VPB ) ( FILLER_7_43 VPWR ) ( FILLER_7_43 VPB )
( FILLER_7_39 VPWR ) ( FILLER_7_39 VPB ) ( FILLER_7_35 VPWR ) ( FILLER_7_35 VPB ) ( FILLER_7_29 VPWR ) ( FILLER_7_29 VPB ) ( FILLER_7_17 VPWR ) ( FILLER_7_17 VPB )
( FILLER_7_6 VPWR ) ( FILLER_7_6 VPB ) ( FILLER_6_46 VPWR ) ( FILLER_6_46 VPB ) ( FILLER_6_42 VPWR ) ( FILLER_6_42 VPB ) ( FILLER_6_38 VPWR ) ( FILLER_6_38 VPB )
( FILLER_6_32 VPWR ) ( FILLER_6_32 VPB ) ( FILLER_6_29 VPWR ) ( FILLER_6_29 VPB ) ( FILLER_6_23 VPWR ) ( FILLER_6_23 VPB ) ( FILLER_6_15 VPWR ) ( FILLER_6_15 VPB )
( FILLER_6_3 VPWR ) ( FILLER_6_3 VPB ) ( FILLER_5_35 VPWR ) ( FILLER_5_35 VPB ) ( FILLER_5_23 VPWR ) ( FILLER_5_23 VPB ) ( FILLER_5_15 VPWR ) ( FILLER_5_15 VPB )
( FILLER_5_3 VPWR ) ( FILLER_5_3 VPB ) ( FILLER_4_44 VPWR ) ( FILLER_4_44 VPB ) ( FILLER_4_32 VPWR ) ( FILLER_4_32 VPB ) ( FILLER_4_30 VPWR ) ( FILLER_4_30 VPB )
( FILLER_4_22 VPWR ) ( FILLER_4_22 VPB ) ( FILLER_4_13 VPWR ) ( FILLER_4_13 VPB ) ( FILLER_4_3 VPWR ) ( FILLER_4_3 VPB ) ( FILLER_3_49 VPWR ) ( FILLER_3_49 VPB )
( FILLER_3_45 VPWR ) ( FILLER_3_45 VPB ) ( FILLER_3_39 VPWR ) ( FILLER_3_39 VPB ) ( FILLER_3_35 VPWR ) ( FILLER_3_35 VPB ) ( FILLER_3_31 VPWR ) ( FILLER_3_31 VPB )
( FILLER_3_24 VPWR ) ( FILLER_3_24 VPB ) ( FILLER_3_20 VPWR ) ( FILLER_3_20 VPB ) ( FILLER_3_14 VPWR ) ( FILLER_3_14 VPB ) ( FILLER_3_6 VPWR ) ( FILLER_3_6 VPB )
( FILLER_2_44 VPWR ) ( FILLER_2_44 VPB ) ( FILLER_2_32 VPWR ) ( FILLER_2_32 VPB ) ( FILLER_2_25 VPWR ) ( FILLER_2_25 VPB ) ( FILLER_2_13 VPWR ) ( FILLER_2_13 VPB )
( FILLER_2_6 VPWR ) ( FILLER_2_6 VPB ) ( FILLER_1_47 VPWR ) ( FILLER_1_47 VPB ) ( FILLER_1_38 VPWR ) ( FILLER_1_38 VPB ) ( FILLER_1_26 VPWR ) ( FILLER_1_26 VPB )
( FILLER_1_14 VPWR ) ( FILLER_1_14 VPB ) ( FILLER_1_10 VPWR ) ( FILLER_1_10 VPB ) ( FILLER_1_6 VPWR ) ( FILLER_1_6 VPB ) ( FILLER_0_48 VPWR ) ( FILLER_0_48 VPB )
( FILLER_0_43 VPWR ) ( FILLER_0_43 VPB ) ( FILLER_0_35 VPWR ) ( FILLER_0_35 VPB ) ( FILLER_0_29 VPWR ) ( FILLER_0_29 VPB ) ( FILLER_0_21 VPWR ) ( FILLER_0_21 VPB )
( FILLER_0_9 VPWR ) ( FILLER_0_9 VPB ) ( FILLER_0_3 VPWR ) ( FILLER_0_3 VPB ) ( PHY_22 VPWR ) ( PHY_21 VPWR ) ( PHY_20 VPWR ) ( PHY_19 VPWR )
( PHY_18 VPWR ) ( PHY_17 VPWR ) ( PHY_17 VPB ) ( PHY_16 VPWR ) ( PHY_16 VPB ) ( PHY_15 VPWR ) ( PHY_15 VPB ) ( PHY_14 VPWR )
( PHY_14 VPB ) ( PHY_13 VPWR ) ( PHY_13 VPB ) ( PHY_12 VPWR ) ( PHY_12 VPB ) ( PHY_11 VPWR ) ( PHY_11 VPB ) ( PHY_10 VPWR )
( PHY_10 VPB ) ( PHY_9 VPWR ) ( PHY_9 VPB ) ( PHY_8 VPWR ) ( PHY_8 VPB ) ( PHY_7 VPWR ) ( PHY_7 VPB ) ( PHY_6 VPWR )
( PHY_6 VPB ) ( PHY_5 VPWR ) ( PHY_5 VPB ) ( PHY_4 VPWR ) ( PHY_4 VPB ) ( PHY_3 VPWR ) ( PHY_3 VPB ) ( PHY_2 VPWR )
( PHY_2 VPB ) ( PHY_1 VPWR ) ( PHY_1 VPB ) ( PHY_0 VPWR ) ( PHY_0 VPB ) ( mask_rev_value\[9\] VPWR ) ( mask_rev_value\[9\] VPB ) ( mask_rev_value\[8\] VPWR )
( mask_rev_value\[8\] VPB ) ( mask_rev_value\[7\] VPWR ) ( mask_rev_value\[7\] VPB ) ( mask_rev_value\[6\] VPWR ) ( mask_rev_value\[6\] VPB ) ( mask_rev_value\[5\] VPWR ) ( mask_rev_value\[5\] VPB ) ( mask_rev_value\[4\] VPWR )
( mask_rev_value\[4\] VPB ) ( mask_rev_value\[3\] VPWR ) ( mask_rev_value\[3\] VPB ) ( mask_rev_value\[31\] VPWR ) ( mask_rev_value\[31\] VPB ) ( mask_rev_value\[30\] VPWR ) ( mask_rev_value\[30\] VPB ) ( mask_rev_value\[2\] VPWR )
( mask_rev_value\[2\] VPB ) ( mask_rev_value\[29\] VPWR ) ( mask_rev_value\[29\] VPB ) ( mask_rev_value\[28\] VPWR ) ( mask_rev_value\[28\] VPB ) ( mask_rev_value\[27\] VPWR ) ( mask_rev_value\[27\] VPB ) ( mask_rev_value\[26\] VPWR )
( mask_rev_value\[26\] VPB ) ( mask_rev_value\[25\] VPWR ) ( mask_rev_value\[25\] VPB ) ( mask_rev_value\[24\] VPWR ) ( mask_rev_value\[24\] VPB ) ( mask_rev_value\[23\] VPWR ) ( mask_rev_value\[23\] VPB ) ( mask_rev_value\[22\] VPWR )
( mask_rev_value\[22\] VPB ) ( mask_rev_value\[21\] VPWR ) ( mask_rev_value\[21\] VPB ) ( mask_rev_value\[20\] VPWR ) ( mask_rev_value\[20\] VPB ) ( mask_rev_value\[1\] VPWR ) ( mask_rev_value\[1\] VPB ) ( mask_rev_value\[19\] VPWR )
( mask_rev_value\[19\] VPB ) ( mask_rev_value\[18\] VPWR ) ( mask_rev_value\[18\] VPB ) ( mask_rev_value\[17\] VPWR ) ( mask_rev_value\[17\] VPB ) ( mask_rev_value\[16\] VPWR ) ( mask_rev_value\[16\] VPB ) ( mask_rev_value\[15\] VPWR )
( mask_rev_value\[15\] VPB ) ( mask_rev_value\[14\] VPWR ) ( mask_rev_value\[14\] VPB ) ( mask_rev_value\[13\] VPWR ) ( mask_rev_value\[13\] VPB ) ( mask_rev_value\[12\] VPWR ) ( mask_rev_value\[12\] VPB ) ( mask_rev_value\[11\] VPWR )
( mask_rev_value\[11\] VPB ) ( mask_rev_value\[10\] VPWR ) ( mask_rev_value\[10\] VPB ) ( mask_rev_value\[0\] VPWR ) ( mask_rev_value\[0\] VPB ) + USE POWER ;
- VGND ( PIN VGND ) ( FILLER_8_44 VNB ) ( FILLER_8_44 VGND ) ( FILLER_8_32 VNB ) ( FILLER_8_32 VGND ) ( FILLER_8_27 VNB ) ( FILLER_8_27 VGND )
( FILLER_8_15 VNB ) ( FILLER_8_15 VGND ) ( FILLER_8_3 VNB ) ( FILLER_8_3 VGND ) ( FILLER_7_49 VNB ) ( FILLER_7_49 VGND ) ( FILLER_7_43 VNB ) ( FILLER_7_43 VGND )
( FILLER_7_39 VNB ) ( FILLER_7_39 VGND ) ( FILLER_7_35 VNB ) ( FILLER_7_35 VGND ) ( FILLER_7_29 VNB ) ( FILLER_7_29 VGND ) ( FILLER_7_17 VNB ) ( FILLER_7_17 VGND )
( FILLER_7_6 VNB ) ( FILLER_7_6 VGND ) ( FILLER_6_46 VNB ) ( FILLER_6_46 VGND ) ( FILLER_6_42 VNB ) ( FILLER_6_42 VGND ) ( FILLER_6_38 VNB ) ( FILLER_6_38 VGND )
( FILLER_6_32 VNB ) ( FILLER_6_32 VGND ) ( FILLER_6_29 VNB ) ( FILLER_6_29 VGND ) ( FILLER_6_23 VNB ) ( FILLER_6_23 VGND ) ( FILLER_6_15 VNB ) ( FILLER_6_15 VGND )
( FILLER_6_3 VNB ) ( FILLER_6_3 VGND ) ( FILLER_5_35 VNB ) ( FILLER_5_35 VGND ) ( FILLER_5_23 VNB ) ( FILLER_5_23 VGND ) ( FILLER_5_15 VNB ) ( FILLER_5_15 VGND )
( FILLER_5_3 VNB ) ( FILLER_5_3 VGND ) ( FILLER_4_44 VNB ) ( FILLER_4_44 VGND ) ( FILLER_4_32 VNB ) ( FILLER_4_32 VGND ) ( FILLER_4_30 VNB ) ( FILLER_4_30 VGND )
( FILLER_4_22 VNB ) ( FILLER_4_22 VGND ) ( FILLER_4_13 VNB ) ( FILLER_4_13 VGND ) ( FILLER_4_3 VNB ) ( FILLER_4_3 VGND ) ( FILLER_3_49 VNB ) ( FILLER_3_49 VGND )
( FILLER_3_45 VNB ) ( FILLER_3_45 VGND ) ( FILLER_3_39 VNB ) ( FILLER_3_39 VGND ) ( FILLER_3_35 VNB ) ( FILLER_3_35 VGND ) ( FILLER_3_31 VNB ) ( FILLER_3_31 VGND )
( FILLER_3_24 VNB ) ( FILLER_3_24 VGND ) ( FILLER_3_20 VNB ) ( FILLER_3_20 VGND ) ( FILLER_3_14 VNB ) ( FILLER_3_14 VGND ) ( FILLER_3_6 VNB ) ( FILLER_3_6 VGND )
( FILLER_2_44 VNB ) ( FILLER_2_44 VGND ) ( FILLER_2_32 VNB ) ( FILLER_2_32 VGND ) ( FILLER_2_25 VNB ) ( FILLER_2_25 VGND ) ( FILLER_2_13 VNB ) ( FILLER_2_13 VGND )
( FILLER_2_6 VNB ) ( FILLER_2_6 VGND ) ( FILLER_1_47 VNB ) ( FILLER_1_47 VGND ) ( FILLER_1_38 VNB ) ( FILLER_1_38 VGND ) ( FILLER_1_26 VNB ) ( FILLER_1_26 VGND )
( FILLER_1_14 VNB ) ( FILLER_1_14 VGND ) ( FILLER_1_10 VNB ) ( FILLER_1_10 VGND ) ( FILLER_1_6 VNB ) ( FILLER_1_6 VGND ) ( FILLER_0_48 VNB ) ( FILLER_0_48 VGND )
( FILLER_0_43 VNB ) ( FILLER_0_43 VGND ) ( FILLER_0_35 VNB ) ( FILLER_0_35 VGND ) ( FILLER_0_29 VNB ) ( FILLER_0_29 VGND ) ( FILLER_0_21 VNB ) ( FILLER_0_21 VGND )
( FILLER_0_9 VNB ) ( FILLER_0_9 VGND ) ( FILLER_0_3 VNB ) ( FILLER_0_3 VGND ) ( PHY_22 VGND ) ( PHY_21 VGND ) ( PHY_20 VGND ) ( PHY_19 VGND )
( PHY_18 VGND ) ( PHY_17 VNB ) ( PHY_17 VGND ) ( PHY_16 VNB ) ( PHY_16 VGND ) ( PHY_15 VNB ) ( PHY_15 VGND ) ( PHY_14 VNB )
( PHY_14 VGND ) ( PHY_13 VNB ) ( PHY_13 VGND ) ( PHY_12 VNB ) ( PHY_12 VGND ) ( PHY_11 VNB ) ( PHY_11 VGND ) ( PHY_10 VNB )
( PHY_10 VGND ) ( PHY_9 VNB ) ( PHY_9 VGND ) ( PHY_8 VNB ) ( PHY_8 VGND ) ( PHY_7 VNB ) ( PHY_7 VGND ) ( PHY_6 VNB )
( PHY_6 VGND ) ( PHY_5 VNB ) ( PHY_5 VGND ) ( PHY_4 VNB ) ( PHY_4 VGND ) ( PHY_3 VNB ) ( PHY_3 VGND ) ( PHY_2 VNB )
( PHY_2 VGND ) ( PHY_1 VNB ) ( PHY_1 VGND ) ( PHY_0 VNB ) ( PHY_0 VGND ) ( mask_rev_value\[9\] VNB ) ( mask_rev_value\[9\] VGND ) ( mask_rev_value\[8\] VNB )
( mask_rev_value\[8\] VGND ) ( mask_rev_value\[7\] VNB ) ( mask_rev_value\[7\] VGND ) ( mask_rev_value\[6\] VNB ) ( mask_rev_value\[6\] VGND ) ( mask_rev_value\[5\] VNB ) ( mask_rev_value\[5\] VGND ) ( mask_rev_value\[4\] VNB )
( mask_rev_value\[4\] VGND ) ( mask_rev_value\[3\] VNB ) ( mask_rev_value\[3\] VGND ) ( mask_rev_value\[31\] VNB ) ( mask_rev_value\[31\] VGND ) ( mask_rev_value\[30\] VNB ) ( mask_rev_value\[30\] VGND ) ( mask_rev_value\[2\] VNB )
( mask_rev_value\[2\] VGND ) ( mask_rev_value\[29\] VNB ) ( mask_rev_value\[29\] VGND ) ( mask_rev_value\[28\] VNB ) ( mask_rev_value\[28\] VGND ) ( mask_rev_value\[27\] VNB ) ( mask_rev_value\[27\] VGND ) ( mask_rev_value\[26\] VNB )
( mask_rev_value\[26\] VGND ) ( mask_rev_value\[25\] VNB ) ( mask_rev_value\[25\] VGND ) ( mask_rev_value\[24\] VNB ) ( mask_rev_value\[24\] VGND ) ( mask_rev_value\[23\] VNB ) ( mask_rev_value\[23\] VGND ) ( mask_rev_value\[22\] VNB )
( mask_rev_value\[22\] VGND ) ( mask_rev_value\[21\] VNB ) ( mask_rev_value\[21\] VGND ) ( mask_rev_value\[20\] VNB ) ( mask_rev_value\[20\] VGND ) ( mask_rev_value\[1\] VNB ) ( mask_rev_value\[1\] VGND ) ( mask_rev_value\[19\] VNB )
( mask_rev_value\[19\] VGND ) ( mask_rev_value\[18\] VNB ) ( mask_rev_value\[18\] VGND ) ( mask_rev_value\[17\] VNB ) ( mask_rev_value\[17\] VGND ) ( mask_rev_value\[16\] VNB ) ( mask_rev_value\[16\] VGND ) ( mask_rev_value\[15\] VNB )
( mask_rev_value\[15\] VGND ) ( mask_rev_value\[14\] VNB ) ( mask_rev_value\[14\] VGND ) ( mask_rev_value\[13\] VNB ) ( mask_rev_value\[13\] VGND ) ( mask_rev_value\[12\] VNB ) ( mask_rev_value\[12\] VGND ) ( mask_rev_value\[11\] VNB )
( mask_rev_value\[11\] VGND ) ( mask_rev_value\[10\] VNB ) ( mask_rev_value\[10\] VGND ) ( mask_rev_value\[0\] VNB ) ( mask_rev_value\[0\] VGND ) + USE GROUND ;
- user_proj_id_high\[0\] ( mask_rev_value\[0\] HI ) + USE SIGNAL ;
- user_proj_id_high\[10\] ( mask_rev_value\[10\] HI ) + USE SIGNAL ;
- user_proj_id_high\[11\] ( mask_rev_value\[11\] HI ) + USE SIGNAL ;
- user_proj_id_high\[12\] ( mask_rev_value\[12\] HI ) + USE SIGNAL ;
- user_proj_id_high\[13\] ( mask_rev_value\[13\] HI ) + USE SIGNAL ;
- user_proj_id_high\[14\] ( mask_rev_value\[14\] HI ) + USE SIGNAL ;
- user_proj_id_high\[15\] ( mask_rev_value\[15\] HI ) + USE SIGNAL ;
- user_proj_id_high\[16\] ( mask_rev_value\[16\] HI ) + USE SIGNAL ;
- user_proj_id_high\[17\] ( mask_rev_value\[17\] HI ) + USE SIGNAL ;
- user_proj_id_high\[18\] ( mask_rev_value\[18\] HI ) + USE SIGNAL ;
- user_proj_id_high\[19\] ( mask_rev_value\[19\] HI ) + USE SIGNAL ;
- user_proj_id_high\[1\] ( mask_rev_value\[1\] HI ) + USE SIGNAL ;
- user_proj_id_high\[20\] ( mask_rev_value\[20\] HI ) + USE SIGNAL ;
- user_proj_id_high\[21\] ( mask_rev_value\[21\] HI ) + USE SIGNAL ;
- user_proj_id_high\[22\] ( mask_rev_value\[22\] HI ) + USE SIGNAL ;
- user_proj_id_high\[23\] ( mask_rev_value\[23\] HI ) + USE SIGNAL ;
- user_proj_id_high\[24\] ( mask_rev_value\[24\] HI ) + USE SIGNAL ;
- user_proj_id_high\[25\] ( mask_rev_value\[25\] HI ) + USE SIGNAL ;
- user_proj_id_high\[26\] ( mask_rev_value\[26\] HI ) + USE SIGNAL ;
- user_proj_id_high\[27\] ( mask_rev_value\[27\] HI ) + USE SIGNAL ;
- user_proj_id_high\[28\] ( mask_rev_value\[28\] HI ) + USE SIGNAL ;
- user_proj_id_high\[29\] ( mask_rev_value\[29\] HI ) + USE SIGNAL ;
- user_proj_id_high\[2\] ( mask_rev_value\[2\] HI ) + USE SIGNAL ;
- user_proj_id_high\[30\] ( mask_rev_value\[30\] HI ) + USE SIGNAL ;
- user_proj_id_high\[31\] ( mask_rev_value\[31\] HI ) + USE SIGNAL ;
- user_proj_id_high\[3\] ( mask_rev_value\[3\] HI ) + USE SIGNAL ;
- user_proj_id_high\[4\] ( mask_rev_value\[4\] HI ) + USE SIGNAL ;
- user_proj_id_high\[5\] ( mask_rev_value\[5\] HI ) + USE SIGNAL ;
- user_proj_id_high\[6\] ( mask_rev_value\[6\] HI ) + USE SIGNAL ;
- user_proj_id_high\[7\] ( mask_rev_value\[7\] HI ) + USE SIGNAL ;
- user_proj_id_high\[8\] ( mask_rev_value\[8\] HI ) + USE SIGNAL ;
- user_proj_id_high\[9\] ( mask_rev_value\[9\] HI ) + USE SIGNAL ;
END NETS
END DESIGN