Rewired neuron and sonos part with new wrapper file
diff --git a/mag/10good.mag b/mag/10good.mag
index 4ca9bb3..7f82ebd 100644
--- a/mag/10good.mag
+++ b/mag/10good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 36077 24683 36179 24689
 rect 36077 24624 36087 24683
@@ -522,18 +522,18 @@
 rect 18759 20110 20417 20186
 rect 28739 20130 30397 20206
 rect 8944 20078 10466 20087
-use 9good  9good_1
-timestamp 1607993606
-transform 1 0 19934 0 1 3
-box -3 -1 19911 23259
-use 9good  9good_0
-timestamp 1607993606
-transform 1 0 2 0 1 1
-box -3 -1 19911 23259
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 38534 0 1 22730
 box -70 45 891 509
+use 9good  9good_0
+timestamp 1608000372
+transform 1 0 2 0 1 1
+box -3 -1 19911 23259
+use 9good  9good_1
+timestamp 1608000372
+transform 1 0 19934 0 1 3
+box -3 -1 19911 23259
 << labels >>
 rlabel metal4 -339 21054 -292 21094 1 GND
 rlabel metal2 -349 20793 -302 20833 1 VDD
diff --git a/mag/2good.mag b/mag/2good.mag
index 2ad6a65..6bc7ad5 100644
--- a/mag/2good.mag
+++ b/mag/2good.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607993606
+timestamp 1608000372
 << locali >>
 rect -180 1366 -114 1382
 rect -184 1324 -174 1366
@@ -119,32 +119,32 @@
 rect 638 -224 708 75
 rect 633 -294 2671 -224
 rect 638 -1126 708 -294
-use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
+use Sw-1  Sw-1_0
+timestamp 1608000372
+transform 1 0 124 0 1 -86
+box -140 90 1782 1018
+use Sw-1  Sw-1_1
+timestamp 1608000372
+transform 1 0 110 0 1 -1292
+box -140 90 1782 1018
+use Sw-1  Sw-1_2
+timestamp 1608000372
+transform 1 0 2068 0 1 -460
+box -140 90 1782 1018
+use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
 timestamp 1606707439
-transform 1 0 -67 0 1 -150
-box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_kabjgr  sky130_fd_pr__res_generic_po_kabjgr_0
-timestamp 1606707439
-transform 1 0 -151 0 1 556
+transform 1 0 -147 0 1 1176
 box -33 -244 33 244
 use sky130_fd_pr__res_generic_po_i65fu2  sky130_fd_pr__res_generic_po_i65fu2_0
 timestamp 1606707439
 transform 1 0 -147 0 1 -742
 box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
+use sky130_fd_pr__res_generic_po_kabjgr  sky130_fd_pr__res_generic_po_kabjgr_0
 timestamp 1606707439
-transform 1 0 -147 0 1 1176
+transform 1 0 -151 0 1 556
 box -33 -244 33 244
-use Sw-1  Sw-1_2
-timestamp 1607993606
-transform 1 0 2068 0 1 -460
-box -140 90 1782 1018
-use Sw-1  Sw-1_1
-timestamp 1607993606
-transform 1 0 110 0 1 -1292
-box -140 90 1782 1018
-use Sw-1  Sw-1_0
-timestamp 1607993606
-transform 1 0 124 0 1 -86
-box -140 90 1782 1018
+use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
+timestamp 1606707439
+transform 1 0 -67 0 1 -150
+box -33 -244 33 244
 << end >>
diff --git a/mag/3good.mag b/mag/3good.mag
index 298219e..7855bc3 100644
--- a/mag/3good.mag
+++ b/mag/3good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 1992 1550 2030 2024
 rect 1766 1532 2030 1550
@@ -32,16 +32,16 @@
 << metal4 >>
 rect 443 1164 488 1310
 rect 472 1083 1491 1121
-use Sw-1  Sw-1_0
-timestamp 1607993606
-transform 1 0 1185 0 1 1008
-box -70 45 891 509
-use 2good  2good_1
-timestamp 1607993606
-transform 1 0 103 0 1 1850
-box -97 -601 1925 738
 use 2good  2good_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 98 0 1 604
 box -97 -601 1925 738
+use 2good  2good_1
+timestamp 1608000372
+transform 1 0 103 0 1 1850
+box -97 -601 1925 738
+use Sw-1  Sw-1_0
+timestamp 1608000372
+transform 1 0 1185 0 1 1008
+box -70 45 891 509
 << end >>
diff --git a/mag/4good.mag b/mag/4good.mag
index 0353e56..bd3f92d 100644
--- a/mag/4good.mag
+++ b/mag/4good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 2051 2833 2083 3926
 rect 1750 2814 2083 2833
@@ -41,16 +41,16 @@
 rect 1403 1503 1582 1522
 << metal4 >>
 rect 435 2401 473 2578
-use 3good  3good_1
-timestamp 1607993606
-transform 1 0 4 0 1 2517
-box 1 3 2076 2588
-use 3good  3good_0
-timestamp 1607993606
-transform 1 0 -2 0 1 -1
-box 1 3 2076 2588
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 1173 0 1 2246
 box -70 45 891 509
+use 3good  3good_0
+timestamp 1608000372
+transform 1 0 -2 0 1 -1
+box 1 3 2076 2588
+use 3good  3good_1
+timestamp 1608000372
+transform 1 0 4 0 1 2517
+box 1 3 2076 2588
 << end >>
diff --git a/mag/5good.mag b/mag/5good.mag
index bd8ff2a..c705429 100644
--- a/mag/5good.mag
+++ b/mag/5good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 2106 8299 2213 8307
 rect 2106 8285 2216 8299
@@ -50,16 +50,16 @@
 rect 479 5506 1641 5545
 rect 479 5503 521 5506
 rect 516 2943 1528 2982
-use 4good  4good_1
-timestamp 1607993606
-transform 1 0 48 0 1 5663
-box -1 2 2115 5105
-use 4good  4good_0
-timestamp 1607993606
-transform 1 0 48 0 1 614
-box -1 2 2115 5105
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 1336 0 1 5441
 box -70 45 891 509
+use 4good  4good_0
+timestamp 1608000372
+transform 1 0 48 0 1 614
+box -1 2 2115 5105
+use 4good  4good_1
+timestamp 1608000372
+transform 1 0 48 0 1 5663
+box -1 2 2115 5105
 << end >>
diff --git a/mag/6good.mag b/mag/6good.mag
index 2bdd4c4..58c990d 100644
--- a/mag/6good.mag
+++ b/mag/6good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 2192 15324 2211 15325
 rect 2174 15302 2211 15324
@@ -59,16 +59,16 @@
 rect 425 10001 1608 10043
 rect 425 9954 515 10001
 rect 465 9951 515 9954
-use Sw-1  Sw-1_0
-timestamp 1607993606
-transform 1 0 1308 0 1 9932
-box -70 45 891 509
-use 5good  5good_1
-timestamp 1607993606
-transform 1 0 -50 0 1 9485
-box 47 616 2227 10768
 use 5good  5good_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 -48 0 1 -616
 box 47 616 2227 10768
+use 5good  5good_1
+timestamp 1608000372
+transform 1 0 -50 0 1 9485
+box 47 616 2227 10768
+use Sw-1  Sw-1_0
+timestamp 1608000372
+transform 1 0 1308 0 1 9932
+box -70 45 891 509
 << end >>
diff --git a/mag/7good.mag b/mag/7good.mag
index c4c9a56..4187e51 100644
--- a/mag/7good.mag
+++ b/mag/7good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 2292 20720 3540 20735
 rect 1958 20696 2034 20702
@@ -303,16 +303,16 @@
 rect 2845 9892 2852 9932
 rect 2271 9883 2852 9892
 rect 2271 9880 2317 9883
-use 6good  6good_0
-timestamp 1607993606
-transform 1 0 2 0 1 0
-box -3 0 2218 20253
-use 6good  6good_1
-timestamp 1607993606
-transform 1 0 2537 0 1 -28
-box -3 0 2218 20253
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 3529 0 1 20226
 box -70 45 891 509
+use 6good  6good_1
+timestamp 1608000372
+transform 1 0 2537 0 1 -28
+box -3 0 2218 20253
+use 6good  6good_0
+timestamp 1608000372
+transform 1 0 2 0 1 0
+box -3 0 2218 20253
 << end >>
diff --git a/mag/8good.mag b/mag/8good.mag
index 7aceff0..b253cc1 100644
--- a/mag/8good.mag
+++ b/mag/8good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 4387 21952 4421 21979
 rect 5884 21966 6423 21971
@@ -307,16 +307,16 @@
 rect 3803 20291 5579 20343
 rect 8913 20329 8970 20343
 rect 8913 20262 8956 20329
-use 7good  7good_0
-timestamp 1607993606
-transform 1 0 0 0 1 28
-box -1 -28 4820 20841
-use 7good  7good_1
-timestamp 1607993606
-transform 1 0 5108 0 1 20
-box -1 -28 4820 20841
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 8660 0 1 21115
 box -70 45 891 509
+use 7good  7good_1
+timestamp 1608000372
+transform 1 0 5108 0 1 20
+box -1 -28 4820 20841
+use 7good  7good_0
+timestamp 1608000372
+transform 1 0 0 0 1 28
+box -1 -28 4820 20841
 << end >>
diff --git a/mag/9good.mag b/mag/9good.mag
index b7e140a..77c7776 100644
--- a/mag/9good.mag
+++ b/mag/9good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1607993606
+timestamp 1608000372
 << metal1 >>
 rect 16202 22417 16247 23211
 rect 16171 22409 16265 22417
@@ -288,16 +288,16 @@
 rect 18018 21419 18605 21464
 << metal4 >>
 rect 18916 21220 18958 22150
-use 8good  8good_0
-timestamp 1607993606
-transform 1 0 -2 0 1 7
-box -1 -8 9928 21979
-use 8good  8good_1
-timestamp 1607993606
-transform 1 0 9983 0 1 10
-box -1 -8 9928 21979
 use Sw-1  Sw-1_0
-timestamp 1607993606
+timestamp 1608000372
 transform 1 0 18665 0 1 22033
 box -70 45 891 509
+use 8good  8good_1
+timestamp 1608000372
+transform 1 0 9983 0 1 10
+box -1 -8 9928 21979
+use 8good  8good_0
+timestamp 1608000372
+transform 1 0 -2 0 1 7
+box -1 -8 9928 21979
 << end >>
diff --git a/mag/Sw-1.mag b/mag/Sw-1.mag
index 504af47..b97788b 100644
--- a/mag/Sw-1.mag
+++ b/mag/Sw-1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607993606
+timestamp 1608000372
 << nwell >>
 rect 10 760 664 822
 rect 10 758 248 760
@@ -274,42 +274,6 @@
 rect 528 236 538 304
 rect 608 236 620 304
 rect 528 166 620 236
-use sky130_fd_pr__nfet_01v8_raze6j  sky130_fd_pr__nfet_01v8_raze6j_0
-timestamp 1606234862
-transform 1 0 121 0 1 120
-box 0 0 1 1
-use sky130_fd_pr__nfet_01v8_p8bhg1  sky130_fd_pr__nfet_01v8_p8bhg1_0
-timestamp 1606234862
-transform 1 0 73 0 1 99
-box 0 0 1 1
-use sky130_fd_pr__nfet_01v8_5uk7v6  sky130_fd_pr__nfet_01v8_5uk7v6_0
-timestamp 1606234862
-transform 1 0 277 0 1 748
-box 0 0 1 1
-use sky130_fd_pr__pfet_01v8_k0ujpa  sky130_fd_pr__pfet_01v8_k0ujpa_0
-timestamp 1606237748
-transform 1 0 1693 0 1 733
-box -251 -141 -5 77
-use sky130_fd_pr__pfet_01v8_htftno  sky130_fd_pr__pfet_01v8_htftno_0
-timestamp 1606240701
-transform 1 0 1119 0 1 727
-box -187 -133 59 85
-use sky130_fd_pr__nfet_01v8_lz0viw  sky130_fd_pr__nfet_01v8_lz0viw_0
-timestamp 1606237748
-transform 1 0 1047 0 1 91
-box -55 255 149 401
-use sky130_fd_pr__nfet_01v8_h5gdbm  sky130_fd_pr__nfet_01v8_h5gdbm_0
-timestamp 1606237748
-transform 1 0 763 0 1 93
-box -101 255 97 401
-use sky130_fd_pr__pfet_01v8_b4l3oq  sky130_fd_pr__pfet_01v8_b4l3oq_0
-timestamp 1606237748
-transform 1 0 505 0 1 725
-box -111 -127 135 91
-use sky130_fd_pr__nfet_01v8_j74adr  sky130_fd_pr__nfet_01v8_j74adr_0
-timestamp 1606234862
-transform 1 0 377 0 1 97
-box 21 249 219 395
 use sky130_fd_pr__pfet_01v8_hf7xew  sky130_fd_pr__pfet_01v8_hf7xew_0
 timestamp 1606234862
 transform 1 0 125 0 1 719
@@ -318,4 +282,40 @@
 timestamp 1606234862
 transform 1 0 77 0 1 277
 box -57 69 141 457
+use sky130_fd_pr__nfet_01v8_p8bhg1  sky130_fd_pr__nfet_01v8_p8bhg1_0
+timestamp 1606234862
+transform 1 0 73 0 1 99
+box 0 0 1 1
+use sky130_fd_pr__nfet_01v8_raze6j  sky130_fd_pr__nfet_01v8_raze6j_0
+timestamp 1606234862
+transform 1 0 121 0 1 120
+box 0 0 1 1
+use sky130_fd_pr__pfet_01v8_b4l3oq  sky130_fd_pr__pfet_01v8_b4l3oq_0
+timestamp 1606237748
+transform 1 0 505 0 1 725
+box -111 -127 135 91
+use sky130_fd_pr__nfet_01v8_j74adr  sky130_fd_pr__nfet_01v8_j74adr_0
+timestamp 1606234862
+transform 1 0 377 0 1 97
+box 21 249 219 395
+use sky130_fd_pr__nfet_01v8_5uk7v6  sky130_fd_pr__nfet_01v8_5uk7v6_0
+timestamp 1606234862
+transform 1 0 277 0 1 748
+box 0 0 1 1
+use sky130_fd_pr__nfet_01v8_h5gdbm  sky130_fd_pr__nfet_01v8_h5gdbm_0
+timestamp 1606237748
+transform 1 0 763 0 1 93
+box -101 255 97 401
+use sky130_fd_pr__pfet_01v8_htftno  sky130_fd_pr__pfet_01v8_htftno_0
+timestamp 1606240701
+transform 1 0 1119 0 1 727
+box -187 -133 59 85
+use sky130_fd_pr__nfet_01v8_lz0viw  sky130_fd_pr__nfet_01v8_lz0viw_0
+timestamp 1606237748
+transform 1 0 1047 0 1 91
+box -55 255 149 401
+use sky130_fd_pr__pfet_01v8_k0ujpa  sky130_fd_pr__pfet_01v8_k0ujpa_0
+timestamp 1606237748
+transform 1 0 1693 0 1 733
+box -251 -141 -5 77
 << end >>
diff --git a/mag/inverter.mag b/mag/inverter.mag
index 840f189..9b92bed 100644
--- a/mag/inverter.mag
+++ b/mag/inverter.mag
@@ -72,14 +72,14 @@
 rect 128 -276 186 -234
 rect 226 -276 242 -234
 rect -32 -282 242 -276
-use sky130_fd_pr__pfet_01v8_ykwexw  sky130_fd_pr__pfet_01v8_ykwexw_0
-timestamp 1604286783
-transform 1 0 109 0 1 104
-box -109 -104 109 104
 use sky130_fd_pr__nfet_01v8_8mr83b  sky130_fd_pr__nfet_01v8_8mr83b_0
 timestamp 1604286783
 transform 1 0 109 0 1 -130
 box -73 -68 73 68
+use sky130_fd_pr__pfet_01v8_ykwexw  sky130_fd_pr__pfet_01v8_ykwexw_0
+timestamp 1604286783
+transform 1 0 109 0 1 104
+box -109 -104 109 104
 << labels >>
 flabel locali -120 -4 -114 2 0 FreeSans 800 0 0 0 in
 port 0 nsew
diff --git a/mag/neuron-labeled-extended-opamp.mag b/mag/neuron-labeled-extended-opamp.mag
index 8d331ff..6d829d3 100644
--- a/mag/neuron-labeled-extended-opamp.mag
+++ b/mag/neuron-labeled-extended-opamp.mag
@@ -397,26 +397,26 @@
 rect 3926 178 4928 328
 rect -1011 141 4928 178
 rect 3878 138 4120 141
-use pmos-diff-amp  pmos-diff-amp_3
+use neuron-labeled  neuron-labeled_0
+timestamp 1604452313
+transform 1 0 364 0 1 678
+box -364 -678 3630 2294
+use pmos-diff-amp  pmos-diff-amp_0
 timestamp 1606516043
-transform 1 0 9749 0 1 1657
-box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_2
-timestamp 1606516043
-transform 1 0 8477 0 1 1657
+transform 1 0 6001 0 1 1657
 box -231 -477 703 691
 use pmos-diff-amp  pmos-diff-amp_1
 timestamp 1606516043
 transform 1 0 7251 0 1 1657
 box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_0
+use pmos-diff-amp  pmos-diff-amp_2
 timestamp 1606516043
-transform 1 0 6001 0 1 1657
+transform 1 0 8477 0 1 1657
 box -231 -477 703 691
-use neuron-labeled  neuron-labeled_0
-timestamp 1604452313
-transform 1 0 364 0 1 678
-box -364 -678 3630 2294
+use pmos-diff-amp  pmos-diff-amp_3
+timestamp 1606516043
+transform 1 0 9749 0 1 1657
+box -231 -477 703 691
 << labels >>
 flabel metal1 -598 3840 -548 3886 0 FreeSans 800 0 0 0 v
 port 0 nsew
diff --git a/mag/neuron-labeled.mag b/mag/neuron-labeled.mag
index 061d3cb..9e7e16a 100644
--- a/mag/neuron-labeled.mag
+++ b/mag/neuron-labeled.mag
@@ -995,30 +995,6 @@
 rect 559 -319 1720 -285
 rect 3001 -392 3035 -169
 rect 257 -426 3035 -392
-use sky130_fd_pr__nfet_01v8_dlksd1  M10
-timestamp 1604306825
-transform 1 0 2585 0 1 -155
-box -98 -68 98 68
-use sky130_fd_pr__pfet_01v8_6z4qh8  M9
-timestamp 1604306825
-transform 1 0 1863 0 1 1432
-box -134 -142 134 142
-use sky130_fd_pr__nfet_01v8_9i6r5e  M7
-timestamp 1604306825
-transform 1 0 1414 0 1 -65
-box -98 -68 98 68
-use sky130_fd_pr__pfet_01v8_2vaynq  M6
-timestamp 1604306825
-transform 1 0 1454 0 1 1432
-box -134 -142 134 142
-use sky130_fd_pr__nfet_01v8_tb02ql  Ca
-timestamp 1604306825
-transform 1 0 2868 0 1 916
-box -618 -826 618 826
-use sky130_fd_pr__nfet_01v8_wpylm8  Cu
-timestamp 1604306825
-transform 1 0 1617 0 1 661
-box -388 -426 388 426
 use sky130_fd_pr__pfet_01v8_zt2j7p  M4
 timestamp 1604306825
 transform 1 0 1065 0 1 1460
@@ -1055,6 +1031,30 @@
 timestamp 1604306825
 transform 0 1 -8 -1 0 1537
 box -134 -142 134 142
+use sky130_fd_pr__pfet_01v8_6z4qh8  M9
+timestamp 1604306825
+transform 1 0 1863 0 1 1432
+box -134 -142 134 142
+use sky130_fd_pr__nfet_01v8_9i6r5e  M7
+timestamp 1604306825
+transform 1 0 1414 0 1 -65
+box -98 -68 98 68
+use sky130_fd_pr__pfet_01v8_2vaynq  M6
+timestamp 1604306825
+transform 1 0 1454 0 1 1432
+box -134 -142 134 142
+use sky130_fd_pr__nfet_01v8_tb02ql  Ca
+timestamp 1604306825
+transform 1 0 2868 0 1 916
+box -618 -826 618 826
+use sky130_fd_pr__nfet_01v8_wpylm8  Cu
+timestamp 1604306825
+transform 1 0 1617 0 1 661
+box -388 -426 388 426
+use sky130_fd_pr__nfet_01v8_dlksd1  M10
+timestamp 1604306825
+transform 1 0 2585 0 1 -155
+box -98 -68 98 68
 << labels >>
 flabel metal1 -210 2026 -128 2114 0 FreeSans 240 0 0 0 VPWR
 port 0 nsew
diff --git a/mag/one-way.mag b/mag/one-way.mag
index ad03bc7..38ebc4b 100644
--- a/mag/one-way.mag
+++ b/mag/one-way.mag
@@ -20,12 +20,12 @@
 rect 12 168 46 314
 rect 100 166 134 312
 rect 12 16 46 162
-use sky130_fd_pr__pfet_01v8_owy61o  sky130_fd_pr__pfet_01v8_owy61o_0
-timestamp 1606105257
-transform 1 0 73 0 1 371
-box -109 -123 109 123
 use sky130_fd_pr__nfet_01v8_63vi9a  sky130_fd_pr__nfet_01v8_63vi9a_0
 timestamp 1606105257
 transform 1 0 73 0 1 99
 box -73 -99 73 99
+use sky130_fd_pr__pfet_01v8_owy61o  sky130_fd_pr__pfet_01v8_owy61o_0
+timestamp 1606105257
+transform 1 0 73 0 1 371
+box -109 -123 109 123
 << end >>
diff --git a/mag/pass-gate-inv-2.mag b/mag/pass-gate-inv-2.mag
index a612f75..a0bfdc9 100644
--- a/mag/pass-gate-inv-2.mag
+++ b/mag/pass-gate-inv-2.mag
@@ -60,18 +60,18 @@
 rect 706 754 778 851
 rect 624 682 778 754
 rect 706 -156 778 682
-use inverter  inverter_0
-timestamp 1606603647
-transform 1 0 -332 0 1 446
-box -126 -478 242 448
-use pass-gate  pass-gate_1
-timestamp 1605929851
-transform 1 0 210 0 -1 1385
-box -210 -218 503 586
 use pass-gate  pass-gate_0
 timestamp 1605929851
 transform 1 0 210 0 1 218
 box -210 -218 503 586
+use pass-gate  pass-gate_1
+timestamp 1605929851
+transform 1 0 210 0 -1 1385
+box -210 -218 503 586
+use inverter  inverter_0
+timestamp 1606603647
+transform 1 0 -332 0 1 446
+box -126 -478 242 448
 << labels >>
 flabel metal1 -652 344 -640 362 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/pass-gate.mag b/mag/pass-gate.mag
index 6be09f7..f15345b 100644
--- a/mag/pass-gate.mag
+++ b/mag/pass-gate.mag
@@ -90,14 +90,14 @@
 rect 411 464 503 534
 rect 411 458 422 464
 rect 326 446 422 458
-use sky130_fd_pr__pfet_01v8_pa2hmj  sky130_fd_pr__pfet_01v8_pa2hmj_0
-timestamp 1605923309
-transform 0 1 128 -1 0 327
-box -109 -180 109 180
 use sky130_fd_pr__nfet_01v8_5mkfxl  sky130_fd_pr__nfet_01v8_5mkfxl_0
 timestamp 1605923309
 transform 0 1 130 -1 0 73
 box -73 -130 73 130
+use sky130_fd_pr__pfet_01v8_pa2hmj  sky130_fd_pr__pfet_01v8_pa2hmj_0
+timestamp 1605923309
+transform 0 1 128 -1 0 327
+box -109 -180 109 180
 << labels >>
 flabel locali -201 61 -189 79 0 FreeSans 640 0 0 0 clk
 port 0 nsew
diff --git a/mag/pmos-diff-amp.mag b/mag/pmos-diff-amp.mag
index d4bea91..48aae57 100644
--- a/mag/pmos-diff-amp.mag
+++ b/mag/pmos-diff-amp.mag
@@ -78,30 +78,30 @@
 rect 306 -419 424 -377
 rect 467 -419 703 -377
 rect -215 -477 703 -419
-use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_1
-timestamp 1606515282
-transform 0 1 84 -1 0 395
-box -144 -198 144 164
-use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
-timestamp 1606515282
-transform 0 -1 340 1 0 395
-box -144 -198 144 164
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
-timestamp 1606512719
-transform 0 1 177 -1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
-timestamp 1606512719
-transform 0 -1 325 1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
-timestamp 1606512719
-transform 0 -1 110 1 0 134
-box -134 -148 134 114
 use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_1
 timestamp 1606512719
 transform 0 1 392 -1 0 134
 box -134 -148 134 114
+use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
+timestamp 1606512719
+transform 0 -1 110 1 0 134
+box -134 -148 134 114
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
+timestamp 1606512719
+transform 0 -1 325 1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
+timestamp 1606512719
+transform 0 1 177 -1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
+timestamp 1606515282
+transform 0 -1 340 1 0 395
+box -144 -198 144 164
+use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_1
+timestamp 1606515282
+transform 0 1 84 -1 0 395
+box -144 -198 144 164
 << labels >>
 flabel metal1 -200 128 -186 138 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index c1f2d86..b9075db 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,44 +1,235 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607993606
+timestamp 1608001715
 << metal2 >>
 rect 8086 703520 8198 704960
 rect 24278 703520 24390 704960
 rect 40470 703520 40582 704960
-rect 56754 703520 56866 704960
+rect 56754 690296 56866 704960
 rect 72946 703520 73058 704960
 rect 89138 703520 89250 704960
 rect 105422 703520 105534 704960
-rect 121614 703520 121726 704960
+rect 56754 690206 56766 690296
+rect 56846 690206 56866 690296
+rect 56754 690132 56866 690206
+rect 121614 686538 121726 704960
 rect 137806 703520 137918 704960
 rect 154090 703520 154202 704960
 rect 170282 703520 170394 704960
-rect 186474 703520 186586 704960
+rect 121614 686482 121622 686538
+rect 121720 686482 121726 686538
+rect 121614 686400 121726 686482
+rect 186474 684200 186586 704960
 rect 202758 703520 202870 704960
 rect 218950 703520 219062 704960
 rect 235142 703520 235254 704960
-rect 251426 703520 251538 704960
-rect 267618 703520 267730 704960
+rect 186466 684190 186604 684200
+rect 186466 684126 186476 684190
+rect 186584 684126 186604 684190
+rect 186466 684118 186604 684126
+rect 186474 684112 186586 684118
+rect 251426 680600 251538 704960
+rect 267618 703328 267730 704960
 rect 283810 703520 283922 704960
 rect 300094 703520 300206 704960
-rect 316286 703520 316398 704960
+rect 251426 680510 251440 680600
+rect 251526 680510 251538 680600
+rect 251426 680464 251538 680510
+rect 316286 677756 316398 704960
 rect 332478 703520 332590 704960
 rect 348762 703520 348874 704960
 rect 364954 703520 365066 704960
-rect 381146 703520 381258 704960
+rect 316062 677548 316712 677756
+rect 316062 677216 316214 677548
+rect 316552 677216 316712 677548
+rect 316062 677036 316712 677216
+rect 316286 676736 316398 677036
+rect 381146 674326 381258 704960
 rect 397430 703520 397542 704960
 rect 413622 703520 413734 704960
 rect 429814 703520 429926 704960
-rect 446098 703520 446210 704960
+rect 425572 696728 425684 696788
+rect 425560 696698 425708 696728
+rect 425560 696612 425604 696698
+rect 425676 696612 425708 696698
+rect 425560 696594 425708 696612
+rect 381104 674292 381354 674326
+rect 381104 674210 381166 674292
+rect 381258 674210 381354 674292
+rect 381104 674170 381354 674210
+rect 381146 674082 381258 674170
+rect 425572 551216 425684 696594
+rect 446098 675098 446210 704960
 rect 462290 703520 462402 704960
 rect 478482 703520 478594 704960
 rect 494766 703520 494878 704960
-rect 510958 703520 511070 704960
+rect 454818 690296 454944 690308
+rect 454818 690210 454832 690296
+rect 454930 690210 454944 690296
+rect 454818 690196 454944 690210
+rect 454372 686542 454466 686554
+rect 454372 686486 454384 686542
+rect 454454 686486 454466 686542
+rect 454372 686472 454466 686486
+rect 453964 684192 454082 684208
+rect 453964 684134 453968 684192
+rect 454028 684134 454082 684192
+rect 453964 684122 454082 684134
+rect 453966 684118 454008 684122
+rect 453502 680608 453578 680620
+rect 453502 680548 453504 680608
+rect 453566 680548 453578 680608
+rect 453502 680496 453578 680548
+rect 451094 676878 451194 676922
+rect 451094 676816 451118 676878
+rect 451182 676816 451194 676878
+rect 451094 676802 451194 676816
+rect 446098 675018 446110 675098
+rect 446196 675018 446210 675098
+rect 446098 674988 446210 675018
+rect 447894 675090 448006 675122
+rect 447894 675010 447906 675090
+rect 447992 675010 448006 675090
+rect 447506 668866 447618 668960
+rect 447506 668776 447514 668866
+rect 447612 668776 447618 668866
+rect 447086 667592 447198 667654
+rect 446992 667562 447308 667592
+rect 446992 667416 447062 667562
+rect 447270 667416 447308 667562
+rect 446992 667380 447308 667416
+rect 430782 662894 430898 662928
+rect 430762 662858 430936 662894
+rect 430762 662732 430796 662858
+rect 430902 662732 430936 662858
+rect 430762 662704 430936 662732
+rect 430324 615980 430440 616026
+rect 430304 615950 430506 615980
+rect 430304 615818 430334 615950
+rect 430468 615818 430506 615950
+rect 430304 615778 430506 615818
+rect 429852 569058 429964 569086
+rect 429824 569006 430044 569058
+rect 429824 568876 429880 569006
+rect 430004 568876 430044 569006
+rect 429824 568848 430044 568876
+rect 429852 551530 429964 568848
+rect 430324 551572 430440 615778
+rect 430782 551584 430898 662704
+rect 447086 552040 447198 667380
+rect 447506 552040 447618 668776
+rect 447894 552068 448006 675010
+rect 448264 674302 448376 674346
+rect 448264 674168 448268 674302
+rect 448366 674168 448376 674302
+rect 448264 552040 448376 674168
+rect 451114 552311 451164 676802
+rect 453503 552514 453543 680496
+rect 453967 552350 454007 684118
+rect 454393 552424 454433 686472
+rect 454859 552502 454899 690196
+rect 510958 668862 511070 704960
 rect 527150 703520 527262 704960
 rect 543434 703520 543546 704960
 rect 559626 703520 559738 704960
-rect 575818 703520 575930 704960
+rect 510958 668788 510972 668862
+rect 511056 668788 511070 668862
+rect 510958 668748 511070 668788
+rect 575818 667584 575930 704960
+rect 575742 667552 575956 667584
+rect 575742 667432 575774 667552
+rect 575920 667432 575956 667552
+rect 575742 667394 575956 667432
+rect 575818 667360 575930 667394
+rect 429400 522510 429512 522876
+rect 429322 522098 429604 522222
+rect 429322 521954 429392 522098
+rect 429588 521954 429604 522098
+rect 429322 521824 429604 521954
+rect 409054 5962 409107 520169
+rect 409748 52894 409800 520424
+rect 411852 99806 411904 520232
+rect 412244 146752 412296 520530
+rect 412624 193668 412676 519948
+rect 413016 240548 413068 519894
+rect 426877 287512 426987 521739
+rect 427662 334438 427774 521676
+rect 428108 381314 428220 521734
+rect 428541 428270 428651 521679
+rect 428935 475170 429045 521673
+rect 428935 475154 429090 475170
+rect 428935 475060 428950 475154
+rect 429052 475060 429090 475154
+rect 428935 475030 429090 475060
+rect 428935 474995 429045 475030
+rect 428538 428230 428718 428270
+rect 428538 428132 428578 428230
+rect 428686 428132 428718 428230
+rect 428538 428106 428718 428132
+rect 428541 428079 428651 428106
+rect 428080 381290 428236 381314
+rect 428080 381206 428108 381290
+rect 428212 381206 428236 381290
+rect 428080 381182 428236 381206
+rect 428108 381150 428220 381182
+rect 427602 334398 427834 334438
+rect 427602 334314 427670 334398
+rect 427778 334314 427834 334398
+rect 427602 334272 427834 334314
+rect 427662 334214 427774 334272
+rect 431713 299732 431955 521813
+rect 431608 298956 432206 298966
+rect 431608 298584 431722 298956
+rect 432090 298584 432206 298956
+rect 431608 298382 432206 298584
+rect 426856 287482 427018 287512
+rect 426856 287370 426894 287482
+rect 426992 287370 427018 287482
+rect 426856 287352 427018 287370
+rect 426877 287306 426987 287352
+rect 432627 284256 432869 521815
+rect 446396 520388 446734 521802
+rect 446336 519452 446860 520388
+rect 446336 519138 446480 519452
+rect 446792 519138 446860 519452
+rect 446336 519034 446860 519138
+rect 432440 282592 433034 284256
+rect 432440 282008 433054 282592
+rect 432450 281830 433054 282008
+rect 432450 281364 432538 281830
+rect 432982 281364 433054 281830
+rect 432450 281286 433054 281364
+rect 412994 240534 413100 240548
+rect 412994 240476 413022 240534
+rect 413086 240476 413100 240534
+rect 412994 240462 413100 240476
+rect 413016 240340 413068 240462
+rect 412582 193638 412746 193668
+rect 412582 193536 412610 193638
+rect 412702 193536 412746 193638
+rect 412582 193504 412746 193536
+rect 412624 193464 412676 193504
+rect 412206 146718 412356 146752
+rect 412206 146616 412228 146718
+rect 412314 146616 412356 146718
+rect 412206 146570 412356 146616
+rect 412244 146340 412296 146570
+rect 411824 99786 411984 99806
+rect 411824 99726 411844 99786
+rect 411912 99726 411984 99786
+rect 411824 99690 411984 99726
+rect 411852 99632 411904 99690
+rect 409724 52872 409878 52894
+rect 409724 52792 409740 52872
+rect 409824 52792 409878 52872
+rect 409724 52750 409878 52792
+rect 409748 52632 409800 52750
+rect 409036 5948 409148 5962
+rect 409036 5884 409052 5948
+rect 409116 5884 409148 5948
+rect 409036 5850 409148 5884
+rect 409054 5786 409107 5850
 rect 542 -960 654 480
 rect 1646 -960 1758 480
 rect 2842 -960 2954 480
@@ -530,28 +721,148 @@
 rect 580970 -960 581082 480
 rect 582166 -960 582278 480
 rect 583362 -960 583474 480
+<< rmetal2 >>
+rect 431604 298966 432192 299732
+rect 431604 298560 431608 298966
+<< via2 >>
+rect 56766 690206 56846 690296
+rect 121622 686482 121720 686538
+rect 186476 684126 186584 684190
+rect 251440 680510 251526 680600
+rect 316214 677216 316552 677548
+rect 425604 696612 425676 696698
+rect 381166 674210 381258 674292
+rect 454832 690210 454930 690296
+rect 454384 686486 454454 686542
+rect 453968 684134 454028 684192
+rect 453504 680548 453566 680608
+rect 451118 676816 451182 676878
+rect 446110 675018 446196 675098
+rect 447906 675010 447992 675090
+rect 447514 668776 447612 668866
+rect 447062 667416 447270 667562
+rect 430796 662732 430902 662858
+rect 430334 615818 430468 615950
+rect 429880 568876 430004 569006
+rect 448268 674168 448366 674302
+rect 510972 668788 511056 668862
+rect 575774 667432 575920 667552
+rect 429392 521954 429588 522098
+rect 428950 475060 429052 475154
+rect 428578 428132 428686 428230
+rect 428108 381206 428212 381290
+rect 427670 334314 427778 334398
+rect 431722 298584 432090 298956
+rect 426894 287370 426992 287482
+rect 446480 519138 446792 519452
+rect 432538 281364 432982 281830
+rect 413022 240476 413086 240534
+rect 412610 193536 412702 193638
+rect 412228 146616 412314 146718
+rect 411844 99726 411912 99786
+rect 409740 52792 409824 52872
+rect 409052 5884 409116 5948
 << metal3 >>
 rect 583520 697900 584960 698140
-rect -960 696540 480 696780
+rect -960 696698 425726 696780
+rect -960 696612 425604 696698
+rect 425676 696612 425726 696698
+rect -960 696540 425726 696612
+rect 56730 690296 454952 690312
+rect 56730 690206 56766 690296
+rect 56846 690210 454832 690296
+rect 454930 690210 454952 690296
+rect 56846 690206 454952 690210
+rect 56730 690188 454952 690206
+rect 121228 686542 454468 686548
+rect 121228 686538 454384 686542
+rect 121228 686482 121622 686538
+rect 121720 686486 454384 686538
+rect 454454 686486 454468 686542
+rect 121720 686482 454468 686486
+rect 121228 686476 454468 686482
 rect 583520 686204 584960 686444
+rect 186466 684192 186604 684200
+rect 453950 684192 454068 684198
+rect 186462 684190 453968 684192
+rect 186462 684126 186476 684190
+rect 186584 684134 453968 684190
+rect 454028 684134 454068 684192
+rect 186584 684126 454068 684134
+rect 186462 684124 454068 684126
+rect 186466 684118 186604 684124
 rect -960 682124 480 682364
+rect 251410 680608 453616 680614
+rect 251410 680600 453504 680608
+rect 251410 680510 251440 680600
+rect 251526 680548 453504 680600
+rect 453566 680548 453616 680608
+rect 251526 680510 453616 680548
+rect 251410 680498 453616 680510
+rect 315770 677548 451180 678020
+rect 315770 677216 316214 677548
+rect 316552 677216 451180 677548
+rect 315770 676974 451180 677216
+rect 315770 676878 451250 676974
+rect 315770 676816 451118 676878
+rect 451182 676816 451250 676878
+rect 315770 676788 451250 676816
+rect 451064 676784 451250 676788
+rect 446090 675098 448012 675116
+rect 446090 675018 446110 675098
+rect 446196 675090 448012 675098
+rect 446196 675018 447906 675090
+rect 446090 675010 447906 675018
+rect 447992 675010 448012 675090
+rect 446090 675000 448012 675010
 rect 583520 674508 584960 674748
+rect 381050 674302 448376 674348
+rect 381050 674292 448268 674302
+rect 381050 674210 381166 674292
+rect 381258 674210 448268 674292
+rect 381050 674168 448268 674210
+rect 448366 674168 448376 674302
+rect 381050 674128 448376 674168
+rect 381142 674116 448376 674128
+rect 447498 668866 511082 668884
+rect 447498 668776 447514 668866
+rect 447612 668862 511082 668866
+rect 447612 668788 510972 668862
+rect 511056 668788 511082 668862
+rect 447612 668776 511082 668788
+rect 447498 668758 511082 668776
 rect -960 667844 480 668084
-rect 583520 662676 584960 662916
+rect 446968 667562 575974 667604
+rect 446968 667416 447062 667562
+rect 447270 667552 575974 667562
+rect 447270 667432 575774 667552
+rect 575920 667432 575974 667552
+rect 447270 667416 575974 667432
+rect 446968 667368 575974 667416
+rect 430750 662858 584960 662916
+rect 430750 662732 430796 662858
+rect 430902 662732 584960 662858
+rect 430750 662676 584960 662732
 rect -960 653428 480 653668
 rect 583520 650980 584960 651220
 rect 583520 639284 584960 639524
-rect -960 639012 480 639252
+rect -960 639012 1440 639252
 rect 583520 627588 584960 627828
 rect -960 624732 480 624972
-rect 583520 615756 584960 615996
+rect 430242 615950 584960 615996
+rect 430242 615818 430334 615950
+rect 430468 615818 584960 615950
+rect 430242 615756 584960 615818
 rect -960 610316 480 610556
 rect 583520 604060 584960 604300
 rect -960 595900 480 596140
 rect 583520 592364 584960 592604
 rect -960 581620 480 581860
 rect 583520 580668 584960 580908
-rect 583520 568836 584960 569076
+rect 429794 569006 584960 569076
+rect 429794 568876 429880 569006
+rect 430004 568876 584960 569006
+rect 429794 568836 584960 568876
 rect -960 567204 480 567444
 rect 583520 557140 584960 557380
 rect -960 552924 480 553164
@@ -559,28 +870,47 @@
 rect -960 538508 480 538748
 rect 583520 533748 584960 533988
 rect -960 524092 480 524332
-rect 583520 521916 584960 522156
-rect 583520 510220 584960 510460
+rect 429352 522098 584960 522156
+rect 429352 521954 429392 522098
+rect 429588 521954 584960 522098
+rect 429352 521916 584960 521954
+rect 446326 519452 446850 519596
+rect 446326 519138 446480 519452
+rect 446792 519138 446850 519452
+rect 446326 518626 446850 519138
+rect 446326 518312 446430 518626
+rect 446742 518312 446850 518626
+rect 446326 518242 446850 518312
+rect 581924 510220 584960 510460
 rect -960 509812 480 510052
 rect 583520 498524 584960 498764
 rect -960 495396 480 495636
 rect 583520 486692 584960 486932
 rect -960 480980 480 481220
-rect 583520 474996 584960 475236
+rect 428916 475154 584960 475236
+rect 428916 475060 428950 475154
+rect 429052 475060 584960 475154
+rect 428916 474996 584960 475060
 rect -960 466700 480 466940
 rect 583520 463300 584960 463540
 rect -960 452284 480 452524
 rect 583520 451604 584960 451844
 rect 583520 439772 584960 440012
 rect -960 437868 480 438108
-rect 583520 428076 584960 428316
+rect 428528 428230 584960 428316
+rect 428528 428132 428578 428230
+rect 428686 428132 584960 428230
+rect 428528 428076 584960 428132
 rect -960 423588 480 423828
 rect 583520 416380 584960 416620
 rect -960 409172 480 409412
 rect 583520 404684 584960 404924
 rect -960 394892 480 395132
 rect 583520 392852 584960 393092
-rect 583520 381156 584960 381396
+rect 428046 381290 584960 381396
+rect 428046 381206 428108 381290
+rect 428212 381206 584960 381290
+rect 428046 381156 584960 381206
 rect -960 380476 480 380716
 rect 583520 369460 584960 369700
 rect -960 366060 480 366300
@@ -588,21 +918,45 @@
 rect -960 351780 480 352020
 rect 583520 345932 584960 346172
 rect -960 337364 480 337604
-rect 583520 334236 584960 334476
+rect 427552 334398 584960 334476
+rect 427552 334314 427670 334398
+rect 427778 334314 584960 334398
+rect 427552 334236 584960 334314
 rect -960 322948 480 323188
-rect 583520 322540 584960 322780
+rect 582506 322540 584960 322780
 rect 583520 310708 584960 310948
 rect -960 308668 480 308908
 rect 583520 299012 584960 299252
+rect 431712 298956 432100 298961
+rect 431712 298584 431722 298956
+rect 432090 298584 432100 298956
+rect 431712 298579 432100 298584
+rect 431594 298220 432210 298248
+rect 431594 297852 431708 298220
+rect 432068 297852 432210 298220
+rect 431594 297746 432210 297852
 rect -960 294252 480 294492
-rect 583520 287316 584960 287556
+rect 426842 287482 584960 287556
+rect 426842 287370 426894 287482
+rect 426992 287370 584960 287482
+rect 426842 287316 584960 287370
+rect 432440 281830 433044 281898
+rect 432440 281364 432538 281830
+rect 432982 281364 433044 281830
+rect 432440 281138 433044 281364
+rect 432440 280672 432558 281138
+rect 433002 280672 433044 281138
+rect 432440 280592 433044 280672
 rect -960 279972 480 280212
 rect 583520 275620 584960 275860
 rect -960 265556 480 265796
 rect 583520 263788 584960 264028
 rect 583520 252092 584960 252332
 rect -960 251140 480 251380
-rect 583520 240396 584960 240636
+rect 412974 240534 584960 240636
+rect 412974 240476 413022 240534
+rect 413086 240476 584960 240534
+rect 412974 240396 584960 240476
 rect -960 236860 480 237100
 rect 583520 228700 584960 228940
 rect -960 222444 480 222684
@@ -610,28 +964,40 @@
 rect -960 208028 480 208268
 rect 583520 205172 584960 205412
 rect -960 193748 480 193988
-rect 583520 193476 584960 193716
+rect 412522 193638 584960 193716
+rect 412522 193536 412610 193638
+rect 412702 193536 584960 193638
+rect 412522 193476 584960 193536
 rect 583520 181780 584960 182020
 rect -960 179332 480 179572
 rect 583520 169948 584960 170188
 rect -960 164916 480 165156
 rect 583520 158252 584960 158492
 rect -960 150636 480 150876
-rect 583520 146556 584960 146796
+rect 412116 146718 584960 146796
+rect 412116 146616 412228 146718
+rect 412314 146616 584960 146718
+rect 412116 146556 584960 146616
 rect -960 136220 480 136460
 rect 583520 134724 584960 134964
 rect 583520 123028 584960 123268
 rect -960 121940 480 122180
 rect 583520 111332 584960 111572
 rect -960 107524 480 107764
-rect 583520 99636 584960 99876
+rect 411716 99786 584960 99876
+rect 411716 99726 411844 99786
+rect 411912 99726 584960 99786
+rect 411716 99636 584960 99726
 rect -960 93108 480 93348
 rect 583520 87804 584960 88044
 rect -960 78828 480 79068
 rect 583520 76108 584960 76348
 rect -960 64412 480 64652
 rect 583520 64412 584960 64652
-rect 583520 52716 584960 52956
+rect 409686 52872 584960 52956
+rect 409686 52792 409740 52872
+rect 409824 52792 584960 52872
+rect 409686 52716 584960 52792
 rect -960 49996 480 50236
 rect 583520 40884 584960 41124
 rect -960 35716 480 35956
@@ -639,7 +1005,19 @@
 rect -960 21300 480 21540
 rect 583520 17492 584960 17732
 rect -960 7020 480 7260
-rect 583520 5796 584960 6036
+rect 409008 5948 584960 6036
+rect 409008 5884 409052 5948
+rect 409116 5884 584960 5948
+rect 409008 5796 584960 5884
+<< rmetal3 >>
+rect 431598 298961 432186 299062
+rect 431598 298579 431712 298961
+rect 432100 298579 432186 298961
+rect 431598 298248 432186 298579
+<< via3 >>
+rect 446430 518312 446742 518626
+rect 431708 297852 432068 298220
+rect 432558 280672 433002 281138
 << metal4 >>
 rect -8576 711418 -7976 711440
 rect -8576 711182 -8394 711418
@@ -2501,6 +2879,43 @@
 rect -1996 541898 -1814 542134
 rect -1578 541898 -1396 542134
 rect -1996 506454 -1396 541898
+rect 585320 686454 585920 704282
+rect 585320 686218 585502 686454
+rect 585738 686218 585920 686454
+rect 585320 686134 585920 686218
+rect 585320 685898 585502 686134
+rect 585738 685898 585920 686134
+rect 585320 650454 585920 685898
+rect 585320 650218 585502 650454
+rect 585738 650218 585920 650454
+rect 585320 650134 585920 650218
+rect 585320 649898 585502 650134
+rect 585738 649898 585920 650134
+rect 585320 614454 585920 649898
+rect 585320 614218 585502 614454
+rect 585738 614218 585920 614454
+rect 585320 614134 585920 614218
+rect 585320 613898 585502 614134
+rect 585738 613898 585920 614134
+rect 585320 578454 585920 613898
+rect 585320 578218 585502 578454
+rect 585738 578218 585920 578454
+rect 585320 578134 585920 578218
+rect 585320 577898 585502 578134
+rect 585738 577898 585920 578134
+rect 585320 542454 585920 577898
+rect 585320 542218 585502 542454
+rect 585738 542218 585920 542454
+rect 585320 542134 585920 542218
+rect 585320 541898 585502 542134
+rect 585738 541898 585920 542134
+rect 446316 518626 446840 518770
+rect 446316 518312 446430 518626
+rect 446742 518312 446840 518626
+rect 446316 517904 446840 518312
+rect 446316 517590 446426 517904
+rect 446738 517590 446840 517904
+rect 446316 517416 446840 517590
 rect -1996 506218 -1814 506454
 rect -1578 506218 -1396 506454
 rect -1996 506134 -1396 506218
@@ -2537,12 +2952,69 @@
 rect -1996 325898 -1814 326134
 rect -1578 325898 -1396 326134
 rect -1996 290454 -1396 325898
+rect 585320 506454 585920 541898
+rect 585320 506218 585502 506454
+rect 585738 506218 585920 506454
+rect 585320 506134 585920 506218
+rect 585320 505898 585502 506134
+rect 585738 505898 585920 506134
+rect 585320 470454 585920 505898
+rect 585320 470218 585502 470454
+rect 585738 470218 585920 470454
+rect 585320 470134 585920 470218
+rect 585320 469898 585502 470134
+rect 585738 469898 585920 470134
+rect 585320 434454 585920 469898
+rect 585320 434218 585502 434454
+rect 585738 434218 585920 434454
+rect 585320 434134 585920 434218
+rect 585320 433898 585502 434134
+rect 585738 433898 585920 434134
+rect 585320 398454 585920 433898
+rect 585320 398218 585502 398454
+rect 585738 398218 585920 398454
+rect 585320 398134 585920 398218
+rect 585320 397898 585502 398134
+rect 585738 397898 585920 398134
+rect 585320 362454 585920 397898
+rect 585320 362218 585502 362454
+rect 585738 362218 585920 362454
+rect 585320 362134 585920 362218
+rect 585320 361898 585502 362134
+rect 585738 361898 585920 362134
+rect 585320 326454 585920 361898
+rect 585320 326218 585502 326454
+rect 585738 326218 585920 326454
+rect 585320 326134 585920 326218
+rect 585320 325898 585502 326134
+rect 585738 325898 585920 326134
+rect 431594 298220 432210 298248
+rect 431594 297852 431708 298220
+rect 432068 297852 432210 298220
+rect 431594 297746 432210 297852
+rect 431608 297536 432196 297746
+rect 431608 297182 431708 297536
+rect 432036 297182 432196 297536
+rect 431608 297062 432196 297182
 rect -1996 290218 -1814 290454
 rect -1578 290218 -1396 290454
 rect -1996 290134 -1396 290218
 rect -1996 289898 -1814 290134
 rect -1578 289898 -1396 290134
 rect -1996 254454 -1396 289898
+rect 585320 290454 585920 325898
+rect 585320 290218 585502 290454
+rect 585738 290218 585920 290454
+rect 585320 290134 585920 290218
+rect 585320 289898 585502 290134
+rect 585738 289898 585920 290134
+rect 432450 281138 433054 281166
+rect 432450 280672 432558 281138
+rect 433002 280672 433054 281138
+rect 432450 280344 433054 280672
+rect 432450 279878 432520 280344
+rect 432964 279878 433054 280344
+rect 432450 279860 433054 279878
 rect -1996 254218 -1814 254454
 rect -1578 254218 -1396 254454
 rect -1996 254134 -1396 254218
@@ -2591,78 +3063,6 @@
 rect -1996 1898 -1814 2134
 rect -1578 1898 -1396 2134
 rect -1996 -346 -1396 1898
-rect 585320 686454 585920 704282
-rect 585320 686218 585502 686454
-rect 585738 686218 585920 686454
-rect 585320 686134 585920 686218
-rect 585320 685898 585502 686134
-rect 585738 685898 585920 686134
-rect 585320 650454 585920 685898
-rect 585320 650218 585502 650454
-rect 585738 650218 585920 650454
-rect 585320 650134 585920 650218
-rect 585320 649898 585502 650134
-rect 585738 649898 585920 650134
-rect 585320 614454 585920 649898
-rect 585320 614218 585502 614454
-rect 585738 614218 585920 614454
-rect 585320 614134 585920 614218
-rect 585320 613898 585502 614134
-rect 585738 613898 585920 614134
-rect 585320 578454 585920 613898
-rect 585320 578218 585502 578454
-rect 585738 578218 585920 578454
-rect 585320 578134 585920 578218
-rect 585320 577898 585502 578134
-rect 585738 577898 585920 578134
-rect 585320 542454 585920 577898
-rect 585320 542218 585502 542454
-rect 585738 542218 585920 542454
-rect 585320 542134 585920 542218
-rect 585320 541898 585502 542134
-rect 585738 541898 585920 542134
-rect 585320 506454 585920 541898
-rect 585320 506218 585502 506454
-rect 585738 506218 585920 506454
-rect 585320 506134 585920 506218
-rect 585320 505898 585502 506134
-rect 585738 505898 585920 506134
-rect 585320 470454 585920 505898
-rect 585320 470218 585502 470454
-rect 585738 470218 585920 470454
-rect 585320 470134 585920 470218
-rect 585320 469898 585502 470134
-rect 585738 469898 585920 470134
-rect 585320 434454 585920 469898
-rect 585320 434218 585502 434454
-rect 585738 434218 585920 434454
-rect 585320 434134 585920 434218
-rect 585320 433898 585502 434134
-rect 585738 433898 585920 434134
-rect 585320 398454 585920 433898
-rect 585320 398218 585502 398454
-rect 585738 398218 585920 398454
-rect 585320 398134 585920 398218
-rect 585320 397898 585502 398134
-rect 585738 397898 585920 398134
-rect 585320 362454 585920 397898
-rect 585320 362218 585502 362454
-rect 585738 362218 585920 362454
-rect 585320 362134 585920 362218
-rect 585320 361898 585502 362134
-rect 585738 361898 585920 362134
-rect 585320 326454 585920 361898
-rect 585320 326218 585502 326454
-rect 585738 326218 585920 326454
-rect 585320 326134 585920 326218
-rect 585320 325898 585502 326134
-rect 585738 325898 585920 326134
-rect 585320 290454 585920 325898
-rect 585320 290218 585502 290454
-rect 585738 290218 585920 290454
-rect 585320 290134 585920 290218
-rect 585320 289898 585502 290134
-rect 585738 289898 585920 290134
 rect 585320 254454 585920 289898
 rect 585320 254218 585502 254454
 rect 585738 254218 585920 254454
@@ -5116,6 +5516,17 @@
 rect -1814 577898 -1578 578134
 rect -1814 542218 -1578 542454
 rect -1814 541898 -1578 542134
+rect 585502 686218 585738 686454
+rect 585502 685898 585738 686134
+rect 585502 650218 585738 650454
+rect 585502 649898 585738 650134
+rect 585502 614218 585738 614454
+rect 585502 613898 585738 614134
+rect 585502 578218 585738 578454
+rect 585502 577898 585738 578134
+rect 585502 542218 585738 542454
+rect 585502 541898 585738 542134
+rect 446426 517590 446738 517904
 rect -1814 506218 -1578 506454
 rect -1814 505898 -1578 506134
 rect -1814 470218 -1578 470454
@@ -5128,8 +5539,24 @@
 rect -1814 361898 -1578 362134
 rect -1814 326218 -1578 326454
 rect -1814 325898 -1578 326134
+rect 585502 506218 585738 506454
+rect 585502 505898 585738 506134
+rect 585502 470218 585738 470454
+rect 585502 469898 585738 470134
+rect 585502 434218 585738 434454
+rect 585502 433898 585738 434134
+rect 585502 398218 585738 398454
+rect 585502 397898 585738 398134
+rect 585502 362218 585738 362454
+rect 585502 361898 585738 362134
+rect 585502 326218 585738 326454
+rect 585502 325898 585738 326134
+rect 431708 297182 432036 297536
 rect -1814 290218 -1578 290454
 rect -1814 289898 -1578 290134
+rect 585502 290218 585738 290454
+rect 585502 289898 585738 290134
+rect 432520 279878 432964 280344
 rect -1814 254218 -1578 254454
 rect -1814 253898 -1578 254134
 rect -1814 218218 -1578 218454
@@ -5146,30 +5573,6 @@
 rect -1814 37898 -1578 38134
 rect -1814 2218 -1578 2454
 rect -1814 1898 -1578 2134
-rect 585502 686218 585738 686454
-rect 585502 685898 585738 686134
-rect 585502 650218 585738 650454
-rect 585502 649898 585738 650134
-rect 585502 614218 585738 614454
-rect 585502 613898 585738 614134
-rect 585502 578218 585738 578454
-rect 585502 577898 585738 578134
-rect 585502 542218 585738 542454
-rect 585502 541898 585738 542134
-rect 585502 506218 585738 506454
-rect 585502 505898 585738 506134
-rect 585502 470218 585738 470454
-rect 585502 469898 585738 470134
-rect 585502 434218 585738 434454
-rect 585502 433898 585738 434134
-rect 585502 398218 585738 398454
-rect 585502 397898 585738 398134
-rect 585502 362218 585738 362454
-rect 585502 361898 585738 362134
-rect 585502 326218 585738 326454
-rect 585502 325898 585738 326134
-rect 585502 290218 585738 290454
-rect 585502 289898 585738 290134
 rect 585502 254218 585738 254454
 rect 585502 253898 585738 254134
 rect 585502 218218 585738 218454
@@ -7095,7 +7498,11 @@
 rect 583520 523876 586860 523898
 rect -2936 523874 -2336 523876
 rect 586260 523874 586860 523876
+rect 446320 517904 446844 518028
+rect 446320 517590 446426 517904
+rect 446738 517590 446844 517904
 rect -7636 517276 -7036 517278
+rect 446320 517276 446844 517590
 rect 590960 517276 591560 517278
 rect -8576 517254 480 517276
 rect -8576 517018 -7454 517254
@@ -7104,14 +7511,15 @@
 rect -8576 516698 -7454 516934
 rect -7218 516698 480 516934
 rect -8576 516676 480 516698
-rect 583520 517254 592500 517276
-rect 583520 517018 591142 517254
+rect 446004 517254 592500 517276
+rect 446004 517018 591142 517254
 rect 591378 517018 592500 517254
-rect 583520 516934 592500 517018
-rect 583520 516698 591142 516934
+rect 446004 516934 592500 517018
+rect 446004 516698 591142 516934
 rect 591378 516698 592500 516934
-rect 583520 516676 592500 516698
+rect 446004 516676 592500 516698
 rect -7636 516674 -7036 516676
+rect 446320 516674 446844 516676
 rect 590960 516674 591560 516676
 rect -5756 513676 -5156 513678
 rect 589080 513676 589680 513678
@@ -7986,13 +8394,16 @@
 rect -6696 297098 -5574 297334
 rect -5338 297098 480 297334
 rect -6696 297076 480 297098
-rect 583520 297654 590620 297676
-rect 583520 297418 589262 297654
+rect 431604 297654 590620 297676
+rect 431604 297536 589262 297654
+rect 431604 297182 431708 297536
+rect 432036 297418 589262 297536
 rect 589498 297418 590620 297654
-rect 583520 297334 590620 297418
-rect 583520 297098 589262 297334
+rect 432036 297334 590620 297418
+rect 432036 297182 589262 297334
+rect 431604 297098 589262 297182
 rect 589498 297098 590620 297334
-rect 583520 297076 590620 297098
+rect 431604 297076 590620 297098
 rect -5756 297074 -5156 297076
 rect 589080 297074 589680 297076
 rect -3876 294076 -3276 294078
@@ -8049,7 +8460,11 @@
 rect 583520 282676 592500 282698
 rect -8576 282674 -7976 282676
 rect 591900 282674 592500 282676
+rect 432456 280344 433056 280456
+rect 432456 279878 432520 280344
+rect 432964 279878 433056 280344
 rect -6696 279676 -6096 279678
+rect 432456 279676 433056 279878
 rect 590020 279676 590620 279678
 rect -6696 279654 480 279676
 rect -6696 279418 -6514 279654
@@ -8058,13 +8473,13 @@
 rect -6696 279098 -6514 279334
 rect -6278 279098 480 279334
 rect -6696 279076 480 279098
-rect 583520 279654 590620 279676
-rect 583520 279418 590202 279654
+rect 415888 279654 590620 279676
+rect 415888 279418 590202 279654
 rect 590438 279418 590620 279654
-rect 583520 279334 590620 279418
-rect 583520 279098 590202 279334
+rect 415888 279334 590620 279418
+rect 415888 279098 590202 279334
 rect 590438 279098 590620 279334
-rect 583520 279076 590620 279098
+rect 415888 279076 590620 279098
 rect -6696 279074 -6096 279076
 rect 590020 279074 590620 279076
 rect -4816 276076 -4216 276078
@@ -9808,7 +10223,7 @@
 rect 569604 -7506 570204 -7504
 rect 591900 -7506 592500 -7504
 use 10good  10good_0
-timestamp 1607993606
+timestamp 1608000372
 transform -1 0 226924 0 -1 258492
 box -738 -22 79994 49740
 use chip-w-opamp  chip-w-opamp_0
@@ -9848,8 +10263,6 @@
 port 14 nsew default bidirectional
 rlabel metal2 s 56754 703520 56866 704960 6 analog_io[23]
 port 15 nsew default bidirectional
-rlabel metal3 s -960 696540 480 696780 4 analog_io[24]
-port 16 nsew default bidirectional
 rlabel metal3 s -960 639012 480 639252 4 analog_io[25]
 port 17 nsew default bidirectional
 rlabel metal3 s -960 581620 480 581860 4 analog_io[26]
@@ -9868,8 +10281,6 @@
 port 24 nsew default bidirectional
 rlabel metal3 s 583520 193476 584960 193716 6 analog_io[4]
 port 25 nsew default bidirectional
-rlabel metal3 s 583520 240396 584960 240636 6 analog_io[5]
-port 26 nsew default bidirectional
 rlabel metal3 s 583520 287316 584960 287556 6 analog_io[6]
 port 27 nsew default bidirectional
 rlabel metal3 s 583520 334236 584960 334476 6 analog_io[7]
@@ -11164,8 +11575,6 @@
 port 672 nsew default input
 rlabel metal5 s 583520 685876 586860 686476 6 vccd1
 port 673 nsew default input
-rlabel metal5 s -2936 685876 480 686476 4 vccd1
-port 674 nsew default input
 rlabel metal5 s 583520 649876 586860 650476 6 vccd1
 port 675 nsew default input
 rlabel metal5 s -2936 649876 480 650476 4 vccd1
@@ -11468,8 +11877,6 @@
 port 824 nsew default input
 rlabel metal5 s 583520 689476 588740 690076 6 vccd2
 port 825 nsew default input
-rlabel metal5 s -4816 689476 480 690076 4 vccd2
-port 826 nsew default input
 rlabel metal5 s 583520 653476 588740 654076 6 vccd2
 port 827 nsew default input
 rlabel metal5 s -4816 653476 480 654076 4 vccd2
@@ -12296,6 +12703,14 @@
 port 1238 nsew default input
 rlabel metal5 s -8576 -7504 592500 -6904 8 vssa2
 port 1239 nsew default input
+rlabel metal3 s 583520 240396 584960 240636 6 analog_io[5]
+port 26 nsew default bidirectional
+rlabel metal5 s -4816 689476 480 690076 4 vccd2
+port 826 nsew default input
+rlabel metal5 s -2936 685876 480 686476 4 vccd1
+port 674 nsew default input
+rlabel metal3 s -960 696540 480 696780 4 analog_io[24]
+port 16 nsew default bidirectional
 << properties >>
 string FIXED_BBOX 0 0 584000 704000
 << end >>