Finished wiring dac section with new wrapper
diff --git a/gds/caravel.gds.gz b/gds/caravel.gds.gz
index 40a5e54..79a2997 100644
--- a/gds/caravel.gds.gz
+++ b/gds/caravel.gds.gz
Binary files differ
diff --git a/gds/caravel.mag b/gds/caravel.mag
index 4953cd5..b5bf849 100644
--- a/gds/caravel.mag
+++ b/gds/caravel.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1607993814
+timestamp 1608006408
 << checkpaint >>
 rect -1260 -1260 718860 1038860
 << metal1 >>
@@ -81614,189 +81614,189 @@
 rect 400342 222659 400384 222895
 rect 380288 222617 400384 222659
 use user_id_programming  user_id_value ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 656625 0 1 80926
 box 0 0 7109 7077
 use storage  storage ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 52031 0 1 61392
 box 0 0 88934 189234
 use mgmt_core  soc ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 204550 0 1 53700
 box 0 0 430000 170000
 use sky130_fd_sc_hvl__lsbufhv2lv_1_wrapped  rstb_level ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 154753 0 1 51403
 box 0 1 5124 5084
 use simple_por  por ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 654176 0 1 104197
 box 25 11 11344 8338
 use mgmt_protect  mgmt_buffers ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 288100 0 1 239747
 box 0 0 169594 13025
 use gpio_control_block  gpio_control_bidir\[1\] ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 166200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_bidir\[0\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 121000
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[37\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 202600
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[36\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 245800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[3\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 256400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[2\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 211200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[35\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 289000
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[34\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 332200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[33\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 375400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[5\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 346400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[4\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 301400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[7\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 479800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[6\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 391600
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[32\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 418600
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[31\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 546200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[30\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 589400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[29\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 632600
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[9\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 568800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[8\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 523800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[10\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 614000
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[28\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 675800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[27\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 719000
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[26\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 762200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[13\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 749200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[12\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 704200
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[11\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 659000
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[25\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 805400
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[24\]
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 8567 0 1 889800
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[23\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 97200 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[22\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 148600 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[21\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 200000 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[20\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 251400 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[19\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 303000 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[18\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 353400 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[17\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 420800 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[16\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 497800 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[15\]
-timestamp 1607993814
+timestamp 1608006408
 transform 0 1 549200 -1 0 1029747
 box 0 0 33934 18344
 use gpio_control_block  gpio_control_in\[14\]
-timestamp 1607993814
+timestamp 1608006408
 transform -1 0 708537 0 1 927600
 box 0 0 33934 18344
 use chip_io  padframe ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 0 0 1 0
 box 0 0 717600 1037600
 use user_project_wrapper  mprj ../mag
-timestamp 1607993814
+timestamp 1608006408
 transform 1 0 65277 0 1 276402
-box -8436 -7366 592360 711302
+box -8576 -7506 592500 711442
 << properties >>
 string FIXED_BBOX 0 0 717600 1037600
 << end >>
diff --git a/gds/caravel.old.gds.gz b/gds/caravel.old.gds.gz
index 983b13b..40a5e54 100644
--- a/gds/caravel.old.gds.gz
+++ b/gds/caravel.old.gds.gz
Binary files differ
diff --git a/gds/user_project_wrapper.gds.gz b/gds/user_project_wrapper.gds.gz
index 2ec445a..cd41960 100644
--- a/gds/user_project_wrapper.gds.gz
+++ b/gds/user_project_wrapper.gds.gz
Binary files differ
diff --git a/mag/10good.mag b/mag/10good.mag
index 7f82ebd..40ed69c 100644
--- a/mag/10good.mag
+++ b/mag/10good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608006120
 << metal1 >>
 rect 36077 24683 36179 24689
 rect 36077 24624 36087 24683
@@ -88,7 +88,10 @@
 rect 19811 22199 19844 22202
 rect 35540 22171 38134 22211
 rect 35540 22165 38116 22171
-rect 10 20301 67 21446
+rect 10 20844 67 20931
+rect 9 20799 14 20844
+rect 61 20799 67 20844
+rect 10 20301 67 20799
 rect 10 20277 340 20301
 rect 2552 20277 2809 20284
 rect 19 20269 340 20277
@@ -283,6 +286,7 @@
 rect 37117 23457 37220 23522
 rect 37323 23460 37426 23525
 rect 37899 23467 38002 23532
+rect 14 20799 61 20844
 rect 19875 20371 19906 20403
 rect 19872 10225 19904 10259
 << metal2 >>
@@ -369,10 +373,13 @@
 rect 38362 23274 38709 23301
 rect 38363 22418 38403 23274
 rect 38664 23192 38709 23274
-rect -369 20778 258 20863
+rect -369 20844 258 20863
+rect -369 20799 14 20844
+rect 61 20799 258 20844
 rect 8763 20821 10246 20854
 rect 18754 20819 20188 20860
 rect 28696 20812 30174 20873
+rect -369 20778 258 20799
 rect 19869 20403 19913 20413
 rect 19869 20371 19875 20403
 rect 19906 20371 19913 20403
@@ -522,22 +529,21 @@
 rect 18759 20110 20417 20186
 rect 28739 20130 30397 20206
 rect 8944 20078 10466 20087
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 38534 0 1 22730
-box -70 45 891 509
-use 9good  9good_0
-timestamp 1608000372
-transform 1 0 2 0 1 1
-box -3 -1 19911 23259
 use 9good  9good_1
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 19934 0 1 3
 box -3 -1 19911 23259
+use 9good  9good_0
+timestamp 1608004133
+transform 1 0 2 0 1 1
+box -3 -1 19911 23259
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 38534 0 1 22730
+box -70 45 891 509
 << labels >>
 rlabel metal4 -339 21054 -292 21094 1 GND
 rlabel metal2 -349 20793 -302 20833 1 VDD
-rlabel metal1 22 21391 53 21431 1 VREF
 rlabel metal3 36018 24628 36049 24668 1 D0
 rlabel metal3 36201 24502 36232 24542 1 D1
 rlabel metal3 36429 24379 36460 24419 1 D2
diff --git a/mag/2good.mag b/mag/2good.mag
index 6bc7ad5..74a5466 100644
--- a/mag/2good.mag
+++ b/mag/2good.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608000372
+timestamp 1608004133
 << locali >>
 rect -180 1366 -114 1382
 rect -184 1324 -174 1366
@@ -119,32 +119,32 @@
 rect 638 -224 708 75
 rect 633 -294 2671 -224
 rect 638 -1126 708 -294
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 124 0 1 -86
-box -140 90 1782 1018
-use Sw-1  Sw-1_1
-timestamp 1608000372
-transform 1 0 110 0 1 -1292
-box -140 90 1782 1018
-use Sw-1  Sw-1_2
-timestamp 1608000372
-transform 1 0 2068 0 1 -460
-box -140 90 1782 1018
-use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
+use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
 timestamp 1606707439
-transform 1 0 -147 0 1 1176
-box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_i65fu2  sky130_fd_pr__res_generic_po_i65fu2_0
-timestamp 1606707439
-transform 1 0 -147 0 1 -742
+transform 1 0 -67 0 1 -150
 box -33 -244 33 244
 use sky130_fd_pr__res_generic_po_kabjgr  sky130_fd_pr__res_generic_po_kabjgr_0
 timestamp 1606707439
 transform 1 0 -151 0 1 556
 box -33 -244 33 244
-use sky130_fd_pr__res_generic_po_0v6cx5  sky130_fd_pr__res_generic_po_0v6cx5_0
+use sky130_fd_pr__res_generic_po_i65fu2  sky130_fd_pr__res_generic_po_i65fu2_0
 timestamp 1606707439
-transform 1 0 -67 0 1 -150
+transform 1 0 -147 0 1 -742
 box -33 -244 33 244
+use sky130_fd_pr__res_generic_po_abfehu  sky130_fd_pr__res_generic_po_abfehu_0
+timestamp 1606707439
+transform 1 0 -147 0 1 1176
+box -33 -244 33 244
+use Sw-1  Sw-1_2
+timestamp 1608004133
+transform 1 0 2068 0 1 -460
+box -140 90 1782 1018
+use Sw-1  Sw-1_1
+timestamp 1608004133
+transform 1 0 110 0 1 -1292
+box -140 90 1782 1018
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 124 0 1 -86
+box -140 90 1782 1018
 << end >>
diff --git a/mag/3good.mag b/mag/3good.mag
index 7855bc3..d659429 100644
--- a/mag/3good.mag
+++ b/mag/3good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 1992 1550 2030 2024
 rect 1766 1532 2030 1550
@@ -32,16 +32,16 @@
 << metal4 >>
 rect 443 1164 488 1310
 rect 472 1083 1491 1121
-use 2good  2good_0
-timestamp 1608000372
-transform 1 0 98 0 1 604
-box -97 -601 1925 738
-use 2good  2good_1
-timestamp 1608000372
-transform 1 0 103 0 1 1850
-box -97 -601 1925 738
 use Sw-1  Sw-1_0
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 1185 0 1 1008
 box -70 45 891 509
+use 2good  2good_1
+timestamp 1608004133
+transform 1 0 103 0 1 1850
+box -97 -601 1925 738
+use 2good  2good_0
+timestamp 1608004133
+transform 1 0 98 0 1 604
+box -97 -601 1925 738
 << end >>
diff --git a/mag/4good.mag b/mag/4good.mag
index bd3f92d..1bbfa00 100644
--- a/mag/4good.mag
+++ b/mag/4good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 2051 2833 2083 3926
 rect 1750 2814 2083 2833
@@ -41,16 +41,16 @@
 rect 1403 1503 1582 1522
 << metal4 >>
 rect 435 2401 473 2578
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 1173 0 1 2246
-box -70 45 891 509
-use 3good  3good_0
-timestamp 1608000372
-transform 1 0 -2 0 1 -1
-box 1 3 2076 2588
 use 3good  3good_1
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 4 0 1 2517
 box 1 3 2076 2588
+use 3good  3good_0
+timestamp 1608004133
+transform 1 0 -2 0 1 -1
+box 1 3 2076 2588
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 1173 0 1 2246
+box -70 45 891 509
 << end >>
diff --git a/mag/5good.mag b/mag/5good.mag
index c705429..4444610 100644
--- a/mag/5good.mag
+++ b/mag/5good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 2106 8299 2213 8307
 rect 2106 8285 2216 8299
@@ -50,16 +50,16 @@
 rect 479 5506 1641 5545
 rect 479 5503 521 5506
 rect 516 2943 1528 2982
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 1336 0 1 5441
-box -70 45 891 509
-use 4good  4good_0
-timestamp 1608000372
-transform 1 0 48 0 1 614
-box -1 2 2115 5105
 use 4good  4good_1
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 48 0 1 5663
 box -1 2 2115 5105
+use 4good  4good_0
+timestamp 1608004133
+transform 1 0 48 0 1 614
+box -1 2 2115 5105
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 1336 0 1 5441
+box -70 45 891 509
 << end >>
diff --git a/mag/6good.mag b/mag/6good.mag
index 58c990d..e4babb4 100644
--- a/mag/6good.mag
+++ b/mag/6good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 2192 15324 2211 15325
 rect 2174 15302 2211 15324
@@ -59,16 +59,16 @@
 rect 425 10001 1608 10043
 rect 425 9954 515 10001
 rect 465 9951 515 9954
-use 5good  5good_0
-timestamp 1608000372
-transform 1 0 -48 0 1 -616
-box 47 616 2227 10768
-use 5good  5good_1
-timestamp 1608000372
-transform 1 0 -50 0 1 9485
-box 47 616 2227 10768
 use Sw-1  Sw-1_0
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 1308 0 1 9932
 box -70 45 891 509
+use 5good  5good_1
+timestamp 1608004133
+transform 1 0 -50 0 1 9485
+box 47 616 2227 10768
+use 5good  5good_0
+timestamp 1608004133
+transform 1 0 -48 0 1 -616
+box 47 616 2227 10768
 << end >>
diff --git a/mag/7good.mag b/mag/7good.mag
index 4187e51..3b04d24 100644
--- a/mag/7good.mag
+++ b/mag/7good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 2292 20720 3540 20735
 rect 1958 20696 2034 20702
@@ -303,16 +303,16 @@
 rect 2845 9892 2852 9932
 rect 2271 9883 2852 9892
 rect 2271 9880 2317 9883
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 3529 0 1 20226
-box -70 45 891 509
-use 6good  6good_1
-timestamp 1608000372
-transform 1 0 2537 0 1 -28
-box -3 0 2218 20253
 use 6good  6good_0
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 2 0 1 0
 box -3 0 2218 20253
+use 6good  6good_1
+timestamp 1608004133
+transform 1 0 2537 0 1 -28
+box -3 0 2218 20253
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 3529 0 1 20226
+box -70 45 891 509
 << end >>
diff --git a/mag/8good.mag b/mag/8good.mag
index b253cc1..99f4aac 100644
--- a/mag/8good.mag
+++ b/mag/8good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 4387 21952 4421 21979
 rect 5884 21966 6423 21971
@@ -307,16 +307,16 @@
 rect 3803 20291 5579 20343
 rect 8913 20329 8970 20343
 rect 8913 20262 8956 20329
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 8660 0 1 21115
-box -70 45 891 509
-use 7good  7good_1
-timestamp 1608000372
-transform 1 0 5108 0 1 20
-box -1 -28 4820 20841
 use 7good  7good_0
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 0 0 1 28
 box -1 -28 4820 20841
+use 7good  7good_1
+timestamp 1608004133
+transform 1 0 5108 0 1 20
+box -1 -28 4820 20841
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 8660 0 1 21115
+box -70 45 891 509
 << end >>
diff --git a/mag/9good.mag b/mag/9good.mag
index 77c7776..6ae9859 100644
--- a/mag/9good.mag
+++ b/mag/9good.mag
@@ -1,6 +1,6 @@
 magic
 tech sky130A
-timestamp 1608000372
+timestamp 1608004133
 << metal1 >>
 rect 16202 22417 16247 23211
 rect 16171 22409 16265 22417
@@ -288,16 +288,16 @@
 rect 18018 21419 18605 21464
 << metal4 >>
 rect 18916 21220 18958 22150
-use Sw-1  Sw-1_0
-timestamp 1608000372
-transform 1 0 18665 0 1 22033
-box -70 45 891 509
-use 8good  8good_1
-timestamp 1608000372
-transform 1 0 9983 0 1 10
-box -1 -8 9928 21979
 use 8good  8good_0
-timestamp 1608000372
+timestamp 1608004133
 transform 1 0 -2 0 1 7
 box -1 -8 9928 21979
+use 8good  8good_1
+timestamp 1608004133
+transform 1 0 9983 0 1 10
+box -1 -8 9928 21979
+use Sw-1  Sw-1_0
+timestamp 1608004133
+transform 1 0 18665 0 1 22033
+box -70 45 891 509
 << end >>
diff --git a/mag/Sw-1.mag b/mag/Sw-1.mag
index b97788b..d00bc06 100644
--- a/mag/Sw-1.mag
+++ b/mag/Sw-1.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608000372
+timestamp 1608004133
 << nwell >>
 rect 10 760 664 822
 rect 10 758 248 760
@@ -282,14 +282,14 @@
 timestamp 1606234862
 transform 1 0 77 0 1 277
 box -57 69 141 457
-use sky130_fd_pr__nfet_01v8_p8bhg1  sky130_fd_pr__nfet_01v8_p8bhg1_0
-timestamp 1606234862
-transform 1 0 73 0 1 99
-box 0 0 1 1
 use sky130_fd_pr__nfet_01v8_raze6j  sky130_fd_pr__nfet_01v8_raze6j_0
 timestamp 1606234862
 transform 1 0 121 0 1 120
 box 0 0 1 1
+use sky130_fd_pr__nfet_01v8_p8bhg1  sky130_fd_pr__nfet_01v8_p8bhg1_0
+timestamp 1606234862
+transform 1 0 73 0 1 99
+box 0 0 1 1
 use sky130_fd_pr__pfet_01v8_b4l3oq  sky130_fd_pr__pfet_01v8_b4l3oq_0
 timestamp 1606237748
 transform 1 0 505 0 1 725
diff --git a/mag/inverter.mag b/mag/inverter.mag
index 9b92bed..840f189 100644
--- a/mag/inverter.mag
+++ b/mag/inverter.mag
@@ -72,14 +72,14 @@
 rect 128 -276 186 -234
 rect 226 -276 242 -234
 rect -32 -282 242 -276
-use sky130_fd_pr__nfet_01v8_8mr83b  sky130_fd_pr__nfet_01v8_8mr83b_0
-timestamp 1604286783
-transform 1 0 109 0 1 -130
-box -73 -68 73 68
 use sky130_fd_pr__pfet_01v8_ykwexw  sky130_fd_pr__pfet_01v8_ykwexw_0
 timestamp 1604286783
 transform 1 0 109 0 1 104
 box -109 -104 109 104
+use sky130_fd_pr__nfet_01v8_8mr83b  sky130_fd_pr__nfet_01v8_8mr83b_0
+timestamp 1604286783
+transform 1 0 109 0 1 -130
+box -73 -68 73 68
 << labels >>
 flabel locali -120 -4 -114 2 0 FreeSans 800 0 0 0 in
 port 0 nsew
diff --git a/mag/neuron-labeled-extended-opamp.mag b/mag/neuron-labeled-extended-opamp.mag
index 6d829d3..8d331ff 100644
--- a/mag/neuron-labeled-extended-opamp.mag
+++ b/mag/neuron-labeled-extended-opamp.mag
@@ -397,26 +397,26 @@
 rect 3926 178 4928 328
 rect -1011 141 4928 178
 rect 3878 138 4120 141
-use neuron-labeled  neuron-labeled_0
-timestamp 1604452313
-transform 1 0 364 0 1 678
-box -364 -678 3630 2294
-use pmos-diff-amp  pmos-diff-amp_0
+use pmos-diff-amp  pmos-diff-amp_3
 timestamp 1606516043
-transform 1 0 6001 0 1 1657
-box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_1
-timestamp 1606516043
-transform 1 0 7251 0 1 1657
+transform 1 0 9749 0 1 1657
 box -231 -477 703 691
 use pmos-diff-amp  pmos-diff-amp_2
 timestamp 1606516043
 transform 1 0 8477 0 1 1657
 box -231 -477 703 691
-use pmos-diff-amp  pmos-diff-amp_3
+use pmos-diff-amp  pmos-diff-amp_1
 timestamp 1606516043
-transform 1 0 9749 0 1 1657
+transform 1 0 7251 0 1 1657
 box -231 -477 703 691
+use pmos-diff-amp  pmos-diff-amp_0
+timestamp 1606516043
+transform 1 0 6001 0 1 1657
+box -231 -477 703 691
+use neuron-labeled  neuron-labeled_0
+timestamp 1604452313
+transform 1 0 364 0 1 678
+box -364 -678 3630 2294
 << labels >>
 flabel metal1 -598 3840 -548 3886 0 FreeSans 800 0 0 0 v
 port 0 nsew
diff --git a/mag/one-way.mag b/mag/one-way.mag
index 38ebc4b..ad03bc7 100644
--- a/mag/one-way.mag
+++ b/mag/one-way.mag
@@ -20,12 +20,12 @@
 rect 12 168 46 314
 rect 100 166 134 312
 rect 12 16 46 162
-use sky130_fd_pr__nfet_01v8_63vi9a  sky130_fd_pr__nfet_01v8_63vi9a_0
-timestamp 1606105257
-transform 1 0 73 0 1 99
-box -73 -99 73 99
 use sky130_fd_pr__pfet_01v8_owy61o  sky130_fd_pr__pfet_01v8_owy61o_0
 timestamp 1606105257
 transform 1 0 73 0 1 371
 box -109 -123 109 123
+use sky130_fd_pr__nfet_01v8_63vi9a  sky130_fd_pr__nfet_01v8_63vi9a_0
+timestamp 1606105257
+transform 1 0 73 0 1 99
+box -73 -99 73 99
 << end >>
diff --git a/mag/pass-gate-inv-2.mag b/mag/pass-gate-inv-2.mag
index a0bfdc9..a612f75 100644
--- a/mag/pass-gate-inv-2.mag
+++ b/mag/pass-gate-inv-2.mag
@@ -60,18 +60,18 @@
 rect 706 754 778 851
 rect 624 682 778 754
 rect 706 -156 778 682
-use pass-gate  pass-gate_0
-timestamp 1605929851
-transform 1 0 210 0 1 218
-box -210 -218 503 586
-use pass-gate  pass-gate_1
-timestamp 1605929851
-transform 1 0 210 0 -1 1385
-box -210 -218 503 586
 use inverter  inverter_0
 timestamp 1606603647
 transform 1 0 -332 0 1 446
 box -126 -478 242 448
+use pass-gate  pass-gate_1
+timestamp 1605929851
+transform 1 0 210 0 -1 1385
+box -210 -218 503 586
+use pass-gate  pass-gate_0
+timestamp 1605929851
+transform 1 0 210 0 1 218
+box -210 -218 503 586
 << labels >>
 flabel metal1 -652 344 -640 362 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/pass-gate.mag b/mag/pass-gate.mag
index f15345b..6be09f7 100644
--- a/mag/pass-gate.mag
+++ b/mag/pass-gate.mag
@@ -90,14 +90,14 @@
 rect 411 464 503 534
 rect 411 458 422 464
 rect 326 446 422 458
-use sky130_fd_pr__nfet_01v8_5mkfxl  sky130_fd_pr__nfet_01v8_5mkfxl_0
-timestamp 1605923309
-transform 0 1 130 -1 0 73
-box -73 -130 73 130
 use sky130_fd_pr__pfet_01v8_pa2hmj  sky130_fd_pr__pfet_01v8_pa2hmj_0
 timestamp 1605923309
 transform 0 1 128 -1 0 327
 box -109 -180 109 180
+use sky130_fd_pr__nfet_01v8_5mkfxl  sky130_fd_pr__nfet_01v8_5mkfxl_0
+timestamp 1605923309
+transform 0 1 130 -1 0 73
+box -73 -130 73 130
 << labels >>
 flabel locali -201 61 -189 79 0 FreeSans 640 0 0 0 clk
 port 0 nsew
diff --git a/mag/pmos-diff-amp.mag b/mag/pmos-diff-amp.mag
index 48aae57..d4bea91 100644
--- a/mag/pmos-diff-amp.mag
+++ b/mag/pmos-diff-amp.mag
@@ -78,30 +78,30 @@
 rect 306 -419 424 -377
 rect 467 -419 703 -377
 rect -215 -477 703 -419
-use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_1
-timestamp 1606512719
-transform 0 1 392 -1 0 134
-box -134 -148 134 114
-use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
-timestamp 1606512719
-transform 0 -1 110 1 0 134
-box -134 -148 134 114
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
-timestamp 1606512719
-transform 0 -1 325 1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
-timestamp 1606512719
-transform 0 1 177 -1 0 -210
-box -98 -107 98 107
-use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
-timestamp 1606515282
-transform 0 -1 340 1 0 395
-box -144 -198 144 164
 use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_1
 timestamp 1606515282
 transform 0 1 84 -1 0 395
 box -144 -198 144 164
+use sky130_fd_pr__pfet_01v8_4ujh9u  sky130_fd_pr__pfet_01v8_4ujh9u_0
+timestamp 1606515282
+transform 0 -1 340 1 0 395
+box -144 -198 144 164
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_0
+timestamp 1606512719
+transform 0 1 177 -1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__nfet_01v8_r0atdz  sky130_fd_pr__nfet_01v8_r0atdz_1
+timestamp 1606512719
+transform 0 -1 325 1 0 -210
+box -98 -107 98 107
+use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_0
+timestamp 1606512719
+transform 0 -1 110 1 0 134
+box -134 -148 134 114
+use sky130_fd_pr__pfet_01v8_4pknhj  sky130_fd_pr__pfet_01v8_4pknhj_1
+timestamp 1606512719
+transform 0 1 392 -1 0 134
+box -134 -148 134 114
 << labels >>
 flabel metal1 -200 128 -186 138 0 FreeSans 800 0 0 0 in_1
 port 0 nsew
diff --git a/mag/user_project_wrapper.mag b/mag/user_project_wrapper.mag
index b9075db..bcf2be3 100644
--- a/mag/user_project_wrapper.mag
+++ b/mag/user_project_wrapper.mag
@@ -1,7 +1,7 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1608001715
+timestamp 1608006120
 << metal2 >>
 rect 8086 703520 8198 704960
 rect 24278 703520 24390 704960
@@ -59,6 +59,12 @@
 rect 381258 674210 381354 674292
 rect 381104 674170 381354 674210
 rect 381146 674082 381258 674170
+rect 145050 639230 145124 639450
+rect 144994 639194 145182 639230
+rect 144994 639058 145022 639194
+rect 145148 639058 145182 639194
+rect 144994 639028 145182 639058
+rect 145050 212278 145124 639028
 rect 425572 551216 425684 696594
 rect 446098 675098 446210 704960
 rect 462290 703520 462402 704960
@@ -147,6 +153,128 @@
 rect 429322 521954 429392 522098
 rect 429588 521954 429604 522098
 rect 429322 521824 429604 521954
+rect 301584 440000 301838 440074
+rect 301584 439792 301608 440000
+rect 301814 439792 301838 440000
+rect 256322 217032 257772 217126
+rect 256322 216936 257282 217032
+rect 227479 216766 257282 216936
+rect 256322 216638 257282 216766
+rect 257590 216638 257772 217032
+rect 256322 216524 257772 216638
+rect 145050 212220 145056 212278
+rect 145118 212220 145124 212278
+rect 145050 212192 145124 212220
+rect 151427 211264 151601 211294
+rect 149934 211166 150062 211228
+rect 151427 211178 151448 211264
+rect 149934 211054 149958 211166
+rect 150038 211054 150062 211166
+rect 151424 211146 151448 211178
+rect 151566 211189 151601 211264
+rect 151566 211178 151602 211189
+rect 151566 211146 151604 211178
+rect 151424 211064 151604 211146
+rect 149934 27964 150062 211054
+rect 149934 27862 149942 27964
+rect 150054 27862 150062 27964
+rect 149934 27782 150062 27862
+rect 150924 25508 151134 211016
+rect 151434 26766 151602 211064
+rect 151434 26664 151466 26766
+rect 151578 26664 151602 26766
+rect 151434 26570 151602 26664
+rect 150924 25406 150980 25508
+rect 151092 25406 151134 25508
+rect 150924 25319 151134 25406
+rect 152076 24292 152278 210768
+rect 152076 24190 152120 24292
+rect 152232 24190 152278 24292
+rect 152076 24041 152278 24190
+rect 152476 23156 152678 210548
+rect 152476 23054 152520 23156
+rect 152632 23054 152678 23156
+rect 152476 22919 152678 23054
+rect 152954 21960 153156 210296
+rect 152954 21858 153002 21960
+rect 153114 21858 153156 21960
+rect 152954 21769 153156 21858
+rect 153316 20846 153518 210036
+rect 153316 20744 153358 20846
+rect 153470 20744 153518 20846
+rect 153316 20603 153518 20744
+rect 153736 19608 153938 209768
+rect 153736 19506 153772 19608
+rect 153884 19506 153938 19608
+rect 153736 19391 153938 19506
+rect 154226 18596 154428 209526
+rect 154226 18494 154258 18596
+rect 154370 18494 154428 18596
+rect 154226 18365 154428 18494
+rect 154568 17644 154770 209262
+rect 301584 27998 301838 439792
+rect 301584 27832 301618 27998
+rect 301804 27832 301838 27998
+rect 301584 27546 301838 27832
+rect 302080 393074 302334 393134
+rect 302080 392874 302100 393074
+rect 302312 392874 302334 393074
+rect 302080 26814 302334 392874
+rect 302080 26648 302108 26814
+rect 302294 26648 302334 26814
+rect 302080 26568 302334 26648
+rect 302550 346160 302804 346214
+rect 302550 345950 302560 346160
+rect 302784 345950 302804 346160
+rect 302550 25572 302804 345950
+rect 302550 25406 302598 25572
+rect 302784 25406 302804 25572
+rect 302550 25350 302804 25406
+rect 303098 299230 303352 299274
+rect 303098 299046 303120 299230
+rect 303308 299046 303352 299230
+rect 303098 24326 303352 299046
+rect 303098 24160 303124 24326
+rect 303310 24160 303352 24326
+rect 303098 24142 303352 24160
+rect 303630 252310 303884 252368
+rect 303630 252114 303650 252310
+rect 303864 252114 303884 252310
+rect 303630 23196 303884 252114
+rect 303630 23030 303662 23196
+rect 303848 23030 303884 23196
+rect 303630 22954 303884 23030
+rect 304038 205390 304292 205509
+rect 304038 205196 304072 205390
+rect 304270 205196 304292 205390
+rect 304038 22030 304292 205196
+rect 304038 21864 304074 22030
+rect 304260 21864 304292 22030
+rect 304038 21810 304292 21864
+rect 304500 158462 304754 158510
+rect 304500 158286 304534 158462
+rect 304718 158286 304754 158462
+rect 304500 20888 304754 158286
+rect 304500 20722 304546 20888
+rect 304732 20722 304754 20888
+rect 304500 20674 304754 20722
+rect 304962 111534 305216 111620
+rect 304962 111376 305006 111534
+rect 305180 111376 305216 111534
+rect 304962 19666 305216 111376
+rect 304962 19500 305008 19666
+rect 305194 19500 305216 19666
+rect 304962 19428 305216 19500
+rect 305442 64614 305696 64684
+rect 305442 64442 305488 64614
+rect 305660 64442 305696 64614
+rect 305442 18628 305696 64442
+rect 305442 18462 305474 18628
+rect 305660 18462 305696 18628
+rect 305442 18420 305696 18462
+rect 154568 17542 154614 17644
+rect 154726 17542 154770 17644
+rect 154568 17441 154770 17542
 rect 409054 5962 409107 520169
 rect 409748 52894 409800 520424
 rect 411852 99806 411904 520232
@@ -178,11 +306,11 @@
 rect 427778 334314 427834 334398
 rect 427602 334272 427834 334314
 rect 427662 334214 427774 334272
-rect 431713 299732 431955 521813
-rect 431608 298956 432206 298966
-rect 431608 298584 431722 298956
-rect 432090 298584 432206 298956
-rect 431608 298382 432206 298584
+rect 431713 304398 431955 521813
+rect 431544 303026 432168 304398
+rect 431544 302612 431680 303026
+rect 432054 302612 432168 303026
+rect 431544 302482 432168 302612
 rect 426856 287482 427018 287512
 rect 426856 287370 426894 287482
 rect 426992 287370 427018 287482
@@ -721,9 +849,6 @@
 rect 580970 -960 581082 480
 rect 582166 -960 582278 480
 rect 583362 -960 583474 480
-<< rmetal2 >>
-rect 431604 298966 432192 299732
-rect 431604 298560 431608 298966
 << via2 >>
 rect 56766 690206 56846 690296
 rect 121622 686482 121720 686538
@@ -732,6 +857,7 @@
 rect 316214 677216 316552 677548
 rect 425604 696612 425676 696698
 rect 381166 674210 381258 674292
+rect 145022 639058 145148 639194
 rect 454832 690210 454930 690296
 rect 454384 686486 454454 686542
 rect 453968 684134 454028 684192
@@ -748,11 +874,43 @@
 rect 510972 668788 511056 668862
 rect 575774 667432 575920 667552
 rect 429392 521954 429588 522098
+rect 301608 439792 301814 440000
+rect 257282 216638 257590 217032
+rect 145056 212220 145118 212278
+rect 149958 211054 150038 211166
+rect 151448 211146 151566 211264
+rect 149942 27862 150054 27964
+rect 151466 26664 151578 26766
+rect 150980 25406 151092 25508
+rect 152120 24190 152232 24292
+rect 152520 23054 152632 23156
+rect 153002 21858 153114 21960
+rect 153358 20744 153470 20846
+rect 153772 19506 153884 19608
+rect 154258 18494 154370 18596
+rect 301618 27832 301804 27998
+rect 302100 392874 302312 393074
+rect 302108 26648 302294 26814
+rect 302560 345950 302784 346160
+rect 302598 25406 302784 25572
+rect 303120 299046 303308 299230
+rect 303124 24160 303310 24326
+rect 303650 252114 303864 252310
+rect 303662 23030 303848 23196
+rect 304072 205196 304270 205390
+rect 304074 21864 304260 22030
+rect 304534 158286 304718 158462
+rect 304546 20722 304732 20888
+rect 305006 111376 305180 111534
+rect 305008 19500 305194 19666
+rect 305488 64442 305660 64614
+rect 305474 18462 305660 18628
+rect 154614 17542 154726 17644
 rect 428950 475060 429052 475154
 rect 428578 428132 428686 428230
 rect 428108 381206 428212 381290
 rect 427670 334314 427778 334398
-rect 431722 298584 432090 298956
+rect 431680 302612 432054 303026
 rect 426894 287370 426992 287482
 rect 446480 519138 446792 519452
 rect 432538 281364 432982 281830
@@ -846,7 +1004,10 @@
 rect -960 653428 480 653668
 rect 583520 650980 584960 651220
 rect 583520 639284 584960 639524
-rect -960 639012 1440 639252
+rect -960 639194 145200 639252
+rect -960 639058 145022 639194
+rect 145148 639058 145200 639194
+rect -960 639012 145200 639058
 rect 583520 627588 584960 627828
 rect -960 624732 480 624972
 rect 430242 615950 584960 615996
@@ -895,7 +1056,10 @@
 rect 583520 463300 584960 463540
 rect -960 452284 480 452524
 rect 583520 451604 584960 451844
-rect 583520 439772 584960 440012
+rect 301588 440000 584960 440012
+rect 301588 439792 301608 440000
+rect 301814 439792 584960 440000
+rect 301588 439772 584960 439792
 rect -960 437868 480 438108
 rect 428528 428230 584960 428316
 rect 428528 428132 428578 428230
@@ -906,7 +1070,10 @@
 rect -960 409172 480 409412
 rect 583520 404684 584960 404924
 rect -960 394892 480 395132
-rect 583520 392852 584960 393092
+rect 302056 393074 584960 393092
+rect 302056 392874 302100 393074
+rect 302312 392874 584960 393074
+rect 302056 392852 584960 392874
 rect 428046 381290 584960 381396
 rect 428046 381206 428108 381290
 rect 428212 381206 584960 381290
@@ -916,7 +1083,10 @@
 rect -960 366060 480 366300
 rect 583520 357764 584960 358004
 rect -960 351780 480 352020
-rect 583520 345932 584960 346172
+rect 302536 346160 584960 346172
+rect 302536 345950 302560 346160
+rect 302784 345950 584960 346160
+rect 302536 345932 584960 345950
 rect -960 337364 480 337604
 rect 427552 334398 584960 334476
 rect 427552 334314 427670 334398
@@ -926,15 +1096,17 @@
 rect 582506 322540 584960 322780
 rect 583520 310708 584960 310948
 rect -960 308668 480 308908
-rect 583520 299012 584960 299252
-rect 431712 298956 432100 298961
-rect 431712 298584 431722 298956
-rect 432090 298584 432100 298956
-rect 431712 298579 432100 298584
-rect 431594 298220 432210 298248
-rect 431594 297852 431708 298220
-rect 432068 297852 432210 298220
-rect 431594 297746 432210 297852
+rect 431546 303026 432170 303124
+rect 431546 302612 431680 303026
+rect 432054 302612 432170 303026
+rect 431546 301814 432170 302612
+rect 431546 301400 431660 301814
+rect 432034 301400 432170 301814
+rect 431546 301208 432170 301400
+rect 303066 299230 584960 299252
+rect 303066 299046 303120 299230
+rect 303308 299046 584960 299230
+rect 303066 299012 584960 299046
 rect -960 294252 480 294492
 rect 426842 287482 584960 287556
 rect 426842 287370 426894 287482
@@ -951,7 +1123,10 @@
 rect 583520 275620 584960 275860
 rect -960 265556 480 265796
 rect 583520 263788 584960 264028
-rect 583520 252092 584960 252332
+rect 303610 252310 584960 252332
+rect 303610 252114 303650 252310
+rect 303864 252114 584960 252310
+rect 303610 252092 584960 252114
 rect -960 251140 480 251380
 rect 412974 240534 584960 240636
 rect 412974 240476 413022 240534
@@ -960,9 +1135,27 @@
 rect -960 236860 480 237100
 rect 583520 228700 584960 228940
 rect -960 222444 480 222684
+rect 257168 217032 258618 217114
+rect 257168 216638 257282 217032
+rect 257590 216996 258618 217032
+rect 257590 216638 258134 216996
+rect 257168 216590 258134 216638
+rect 258504 216590 258618 216996
 rect 583520 216868 584960 217108
+rect 257168 216512 258618 216590
+rect 145050 212278 147750 212286
+rect 145050 212220 145056 212278
+rect 145118 212220 147750 212278
+rect 145050 212212 147750 212220
+rect 149934 211166 150064 211381
+rect 149934 211054 149958 211166
+rect 150038 211054 150064 211166
+rect 149934 210999 150064 211054
 rect -960 208028 480 208268
-rect 583520 205172 584960 205412
+rect 304020 205390 584960 205412
+rect 304020 205196 304072 205390
+rect 304270 205196 584960 205390
+rect 304020 205172 584960 205196
 rect -960 193748 480 193988
 rect 412522 193638 584960 193716
 rect 412522 193536 412610 193638
@@ -972,7 +1165,10 @@
 rect -960 179332 480 179572
 rect 583520 169948 584960 170188
 rect -960 164916 480 165156
-rect 583520 158252 584960 158492
+rect 304504 158462 584960 158492
+rect 304504 158286 304534 158462
+rect 304718 158286 584960 158462
+rect 304504 158252 584960 158286
 rect -960 150636 480 150876
 rect 412116 146718 584960 146796
 rect 412116 146616 412228 146718
@@ -982,7 +1178,10 @@
 rect 583520 134724 584960 134964
 rect 583520 123028 584960 123268
 rect -960 121940 480 122180
-rect 583520 111332 584960 111572
+rect 304876 111534 584960 111572
+rect 304876 111376 305006 111534
+rect 305180 111376 584960 111534
+rect 304876 111332 584960 111376
 rect -960 107524 480 107764
 rect 411716 99786 584960 99876
 rect 411716 99726 411844 99786
@@ -993,7 +1192,10 @@
 rect -960 78828 480 79068
 rect 583520 76108 584960 76348
 rect -960 64412 480 64652
-rect 583520 64412 584960 64652
+rect 305382 64614 584960 64652
+rect 305382 64442 305488 64614
+rect 305660 64442 584960 64614
+rect 305382 64412 584960 64442
 rect 409686 52872 584960 52956
 rect 409686 52792 409740 52872
 rect 409824 52792 584960 52872
@@ -1002,22 +1204,83 @@
 rect 583520 40884 584960 41124
 rect -960 35716 480 35956
 rect 583520 29188 584960 29428
+rect 149560 27998 306176 28036
+rect 149560 27964 301618 27998
+rect 149560 27862 149942 27964
+rect 150054 27862 301618 27964
+rect 149560 27832 301618 27862
+rect 301804 27832 306176 27998
+rect 149560 27798 306176 27832
+rect 149560 26814 306176 26844
+rect 149560 26766 302108 26814
+rect 149560 26664 151466 26766
+rect 151578 26664 302108 26766
+rect 149560 26648 302108 26664
+rect 302294 26648 306176 26814
+rect 149560 26606 306176 26648
+rect 149560 25572 306176 25600
+rect 149560 25508 302598 25572
+rect 149560 25406 150980 25508
+rect 151092 25406 302598 25508
+rect 302784 25406 306176 25572
+rect 149560 25362 306176 25406
+rect 149560 24326 306176 24384
+rect 149560 24292 303124 24326
+rect 149560 24190 152120 24292
+rect 152232 24190 303124 24292
+rect 149560 24160 303124 24190
+rect 303310 24160 306176 24326
+rect 149560 24146 306176 24160
+rect 149560 23196 306176 23228
+rect 149560 23156 303662 23196
+rect 149560 23054 152520 23156
+rect 152632 23054 303662 23156
+rect 149560 23030 303662 23054
+rect 303848 23030 306176 23196
+rect 149560 22990 306176 23030
+rect 149560 22030 306176 22062
+rect 149560 21960 304074 22030
+rect 149560 21858 153002 21960
+rect 153114 21864 304074 21960
+rect 304260 21864 306176 22030
+rect 153114 21858 306176 21864
+rect 149560 21824 306176 21858
 rect -960 21300 480 21540
-rect 583520 17492 584960 17732
+rect 149560 20888 306176 20930
+rect 149560 20846 304546 20888
+rect 149560 20744 153358 20846
+rect 153470 20744 304546 20846
+rect 149560 20722 304546 20744
+rect 304732 20722 306176 20888
+rect 149560 20692 306176 20722
+rect 149560 19666 306176 19686
+rect 149560 19608 305008 19666
+rect 149560 19506 153772 19608
+rect 153884 19506 305008 19608
+rect 149560 19500 305008 19506
+rect 305194 19500 306176 19666
+rect 149560 19448 306176 19500
+rect 149560 18628 306176 18680
+rect 149560 18596 305474 18628
+rect 149560 18494 154258 18596
+rect 154370 18494 305474 18596
+rect 149560 18462 305474 18494
+rect 305660 18462 306176 18628
+rect 149560 18442 306176 18462
+rect 149560 17644 584960 17732
+rect 149560 17542 154614 17644
+rect 154726 17542 584960 17644
+rect 149560 17492 584960 17542
 rect -960 7020 480 7260
 rect 409008 5948 584960 6036
 rect 409008 5884 409052 5948
 rect 409116 5884 584960 5948
 rect 409008 5796 584960 5884
-<< rmetal3 >>
-rect 431598 298961 432186 299062
-rect 431598 298579 431712 298961
-rect 432100 298579 432186 298961
-rect 431598 298248 432186 298579
 << via3 >>
 rect 446430 518312 446742 518626
-rect 431708 297852 432068 298220
+rect 431660 301400 432034 301814
 rect 432558 280672 433002 281138
+rect 258134 216590 258504 216996
 << metal4 >>
 rect -8576 711418 -7976 711440
 rect -8576 711182 -8394 711418
@@ -2988,14 +3251,13 @@
 rect 585320 326134 585920 326218
 rect 585320 325898 585502 326134
 rect 585738 325898 585920 326134
-rect 431594 298220 432210 298248
-rect 431594 297852 431708 298220
-rect 432068 297852 432210 298220
-rect 431594 297746 432210 297852
-rect 431608 297536 432196 297746
-rect 431608 297182 431708 297536
-rect 432036 297182 432196 297536
-rect 431608 297062 432196 297182
+rect 431542 301814 432166 302088
+rect 431542 301400 431660 301814
+rect 432034 301400 432166 301814
+rect 431542 300712 432166 301400
+rect 431542 300298 431656 300712
+rect 432030 300298 432166 300712
+rect 431542 300172 432166 300298
 rect -1996 290218 -1814 290454
 rect -1578 290218 -1396 290454
 rect -1996 290134 -1396 290218
@@ -3027,6 +3289,24 @@
 rect -1996 217898 -1814 218134
 rect -1578 217898 -1396 218134
 rect -1996 182454 -1396 217898
+rect 585320 254454 585920 289898
+rect 585320 254218 585502 254454
+rect 585738 254218 585920 254454
+rect 585320 254134 585920 254218
+rect 585320 253898 585502 254134
+rect 585738 253898 585920 254134
+rect 585320 218454 585920 253898
+rect 585320 218218 585502 218454
+rect 585738 218218 585920 218454
+rect 585320 218134 585920 218218
+rect 585320 217898 585502 218134
+rect 585738 217898 585920 218134
+rect 258016 216996 260604 217114
+rect 258016 216590 258134 216996
+rect 258504 216590 260604 216996
+rect 258016 216514 260604 216590
+rect 242004 216415 242604 216422
+rect 227407 216173 242604 216415
 rect -1996 182218 -1814 182454
 rect -1578 182218 -1396 182454
 rect -1996 182134 -1396 182218
@@ -3063,54 +3343,6 @@
 rect -1996 1898 -1814 2134
 rect -1578 1898 -1396 2134
 rect -1996 -346 -1396 1898
-rect 585320 254454 585920 289898
-rect 585320 254218 585502 254454
-rect 585738 254218 585920 254454
-rect 585320 254134 585920 254218
-rect 585320 253898 585502 254134
-rect 585738 253898 585920 254134
-rect 585320 218454 585920 253898
-rect 585320 218218 585502 218454
-rect 585738 218218 585920 218454
-rect 585320 218134 585920 218218
-rect 585320 217898 585502 218134
-rect 585738 217898 585920 218134
-rect 585320 182454 585920 217898
-rect 585320 182218 585502 182454
-rect 585738 182218 585920 182454
-rect 585320 182134 585920 182218
-rect 585320 181898 585502 182134
-rect 585738 181898 585920 182134
-rect 585320 146454 585920 181898
-rect 585320 146218 585502 146454
-rect 585738 146218 585920 146454
-rect 585320 146134 585920 146218
-rect 585320 145898 585502 146134
-rect 585738 145898 585920 146134
-rect 585320 110454 585920 145898
-rect 585320 110218 585502 110454
-rect 585738 110218 585920 110454
-rect 585320 110134 585920 110218
-rect 585320 109898 585502 110134
-rect 585738 109898 585920 110134
-rect 585320 74454 585920 109898
-rect 585320 74218 585502 74454
-rect 585738 74218 585920 74454
-rect 585320 74134 585920 74218
-rect 585320 73898 585502 74134
-rect 585738 73898 585920 74134
-rect 585320 38454 585920 73898
-rect 585320 38218 585502 38454
-rect 585738 38218 585920 38454
-rect 585320 38134 585920 38218
-rect 585320 37898 585502 38134
-rect 585738 37898 585920 38134
-rect 585320 2454 585920 37898
-rect 585320 2218 585502 2454
-rect 585738 2218 585920 2454
-rect 585320 2134 585920 2218
-rect 585320 1898 585502 2134
-rect 585738 1898 585920 2134
 rect -1996 -582 -1814 -346
 rect -1578 -582 -1396 -346
 rect -1996 -666 -1396 -582
@@ -3531,7 +3763,7 @@
 rect 238404 -3722 238586 -3486
 rect 238822 -3722 239004 -3486
 rect 238404 -3744 239004 -3722
-rect 242004 -5046 242604 480
+rect 242004 -5046 242604 216173
 rect 242004 -5282 242186 -5046
 rect 242422 -5282 242604 -5046
 rect 242004 -5366 242604 -5282
@@ -3559,7 +3791,43 @@
 rect 256404 -2782 256586 -2546
 rect 256822 -2782 257004 -2546
 rect 256404 -3744 257004 -2782
-rect 260004 -4106 260604 480
+rect 260004 -4106 260604 216514
+rect 585320 182454 585920 217898
+rect 585320 182218 585502 182454
+rect 585738 182218 585920 182454
+rect 585320 182134 585920 182218
+rect 585320 181898 585502 182134
+rect 585738 181898 585920 182134
+rect 585320 146454 585920 181898
+rect 585320 146218 585502 146454
+rect 585738 146218 585920 146454
+rect 585320 146134 585920 146218
+rect 585320 145898 585502 146134
+rect 585738 145898 585920 146134
+rect 585320 110454 585920 145898
+rect 585320 110218 585502 110454
+rect 585738 110218 585920 110454
+rect 585320 110134 585920 110218
+rect 585320 109898 585502 110134
+rect 585738 109898 585920 110134
+rect 585320 74454 585920 109898
+rect 585320 74218 585502 74454
+rect 585738 74218 585920 74454
+rect 585320 74134 585920 74218
+rect 585320 73898 585502 74134
+rect 585738 73898 585920 74134
+rect 585320 38454 585920 73898
+rect 585320 38218 585502 38454
+rect 585738 38218 585920 38454
+rect 585320 38134 585920 38218
+rect 585320 37898 585502 38134
+rect 585738 37898 585920 38134
+rect 585320 2454 585920 37898
+rect 585320 2218 585502 2454
+rect 585738 2218 585920 2454
+rect 585320 2134 585920 2218
+rect 585320 1898 585502 2134
+rect 585738 1898 585920 2134
 rect 260004 -4342 260186 -4106
 rect 260422 -4342 260604 -4106
 rect 260004 -4426 260604 -4342
@@ -5551,7 +5819,7 @@
 rect 585502 361898 585738 362134
 rect 585502 326218 585738 326454
 rect 585502 325898 585738 326134
-rect 431708 297182 432036 297536
+rect 431656 300298 432030 300712
 rect -1814 290218 -1578 290454
 rect -1814 289898 -1578 290134
 rect 585502 290218 585738 290454
@@ -5561,6 +5829,10 @@
 rect -1814 253898 -1578 254134
 rect -1814 218218 -1578 218454
 rect -1814 217898 -1578 218134
+rect 585502 254218 585738 254454
+rect 585502 253898 585738 254134
+rect 585502 218218 585738 218454
+rect 585502 217898 585738 218134
 rect -1814 182218 -1578 182454
 rect -1814 181898 -1578 182134
 rect -1814 146218 -1578 146454
@@ -5573,22 +5845,6 @@
 rect -1814 37898 -1578 38134
 rect -1814 2218 -1578 2454
 rect -1814 1898 -1578 2134
-rect 585502 254218 585738 254454
-rect 585502 253898 585738 254134
-rect 585502 218218 585738 218454
-rect 585502 217898 585738 218134
-rect 585502 182218 585738 182454
-rect 585502 181898 585738 182134
-rect 585502 146218 585738 146454
-rect 585502 145898 585738 146134
-rect 585502 110218 585738 110454
-rect 585502 109898 585738 110134
-rect 585502 74218 585738 74454
-rect 585502 73898 585738 74134
-rect 585502 38218 585738 38454
-rect 585502 37898 585738 38134
-rect 585502 2218 585738 2454
-rect 585502 1898 585738 2134
 rect -1814 -582 -1578 -346
 rect -1814 -902 -1578 -666
 rect 986 -582 1222 -346
@@ -5719,6 +5975,18 @@
 rect 252986 -902 253222 -666
 rect 256586 -2462 256822 -2226
 rect 256586 -2782 256822 -2546
+rect 585502 182218 585738 182454
+rect 585502 181898 585738 182134
+rect 585502 146218 585738 146454
+rect 585502 145898 585738 146134
+rect 585502 110218 585738 110454
+rect 585502 109898 585738 110134
+rect 585502 74218 585738 74454
+rect 585502 73898 585738 74134
+rect 585502 38218 585738 38454
+rect 585502 37898 585738 38134
+rect 585502 2218 585738 2454
+rect 585502 1898 585738 2134
 rect 260186 -4342 260422 -4106
 rect 260186 -4662 260422 -4426
 rect 245786 -7162 246022 -6926
@@ -8375,17 +8643,21 @@
 rect -8576 300934 480 301018
 rect -8576 300698 -7454 300934
 rect -7218 300698 480 300934
-rect -8576 300676 480 300698
 rect 583520 301254 592500 301276
 rect 583520 301018 591142 301254
 rect 591378 301018 592500 301254
 rect 583520 300934 592500 301018
+rect -8576 300676 480 300698
+rect 431548 300712 432148 300864
+rect -7636 300674 -7036 300676
+rect 431548 300298 431656 300712
+rect 432030 300298 432148 300712
 rect 583520 300698 591142 300934
 rect 591378 300698 592500 300934
 rect 583520 300676 592500 300698
-rect -7636 300674 -7036 300676
 rect 590960 300674 591560 300676
 rect -5756 297676 -5156 297678
+rect 431548 297676 432148 300298
 rect 589080 297676 589680 297678
 rect -6696 297654 480 297676
 rect -6696 297418 -5574 297654
@@ -8394,16 +8666,13 @@
 rect -6696 297098 -5574 297334
 rect -5338 297098 480 297334
 rect -6696 297076 480 297098
-rect 431604 297654 590620 297676
-rect 431604 297536 589262 297654
-rect 431604 297182 431708 297536
-rect 432036 297418 589262 297536
+rect 431520 297654 590620 297676
+rect 431520 297418 589262 297654
 rect 589498 297418 590620 297654
-rect 432036 297334 590620 297418
-rect 432036 297182 589262 297334
-rect 431604 297098 589262 297182
+rect 431520 297334 590620 297418
+rect 431520 297098 589262 297334
 rect 589498 297098 590620 297334
-rect 431604 297076 590620 297098
+rect 431520 297076 590620 297098
 rect -5756 297074 -5156 297076
 rect 589080 297074 589680 297076
 rect -3876 294076 -3276 294078
@@ -8473,13 +8742,13 @@
 rect -6696 279098 -6514 279334
 rect -6278 279098 480 279334
 rect -6696 279076 480 279098
-rect 415888 279654 590620 279676
-rect 415888 279418 590202 279654
+rect 432402 279654 590620 279676
+rect 432402 279418 590202 279654
 rect 590438 279418 590620 279654
-rect 415888 279334 590620 279418
-rect 415888 279098 590202 279334
+rect 432402 279334 590620 279418
+rect 432402 279098 590202 279334
 rect 590438 279098 590620 279334
-rect 415888 279076 590620 279098
+rect 432402 279076 590620 279098
 rect -6696 279074 -6096 279076
 rect 590020 279074 590620 279076
 rect -4816 276076 -4216 276078
@@ -10223,7 +10492,7 @@
 rect 569604 -7506 570204 -7504
 rect 591900 -7506 592500 -7504
 use 10good  10good_0
-timestamp 1608000372
+timestamp 1608006120
 transform -1 0 226924 0 -1 258492
 box -738 -22 79994 49740
 use chip-w-opamp  chip-w-opamp_0