blob: 946aff4cda173318bef69e87d0d3e69efc856576 [file] [log] [blame]
OpenSTA 2.2.0 7662c12482 Copyright (c) 2019, Parallax Software, Inc.
License GPLv3: GNU GPL version 3 <http://gnu.org/licenses/gpl.html>
This is free software, and you are free to change and redistribute it
under certain conditions; type `show_copying' for details.
This program comes with ABSOLUTELY NO WARRANTY; for details type `show_warranty'.
Error: cannot open '/.sta'.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ff_n40C_1v95.lib, line 31 default_operating_condition ff_n40C_1v95 not found.
Warning: /home/aag/current_pdk/sky130A/libs.ref/sky130_fd_sc_hd/lib/sky130_fd_sc_hd__ss_100C_1v60.lib, line 32 default_operating_condition ss_100C_1v60 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/synthesis/DFFRAM.synthesis_preroute.v, line 60658 module sky130_fd_sc_hd__tapvpwrvgnd_1 not found. Creating black box for PHY_370.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/synthesis/DFFRAM.synthesis_preroute.v, line 65519 module sky130_fd_sc_hd__fill_1 not found. Creating black box for FILLER_0_15.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/synthesis/DFFRAM.synthesis_preroute.v, line 65548 module sky130_fd_sc_hd__fill_2 not found. Creating black box for FILLER_0_323.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12185 instance COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12186 instance COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12187 instance COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12188 instance COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12216 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12219 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12225 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12226 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12229 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12236 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12252 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12256 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12256 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12261 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12261 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12270 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12270 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12271 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12274 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12274 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12279 instance COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12280 instance COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12281 instance COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12282 instance COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12325 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12326 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12334 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12335 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12382 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12382 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12383 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12384 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12384 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12385 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12400 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12400 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12401 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12403 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12403 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12404 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12410 instance COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12411 instance COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12412 instance COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12413 instance COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12417 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12451 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12471 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12489 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12496 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12536 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12544 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12560 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12574 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12574 net COLUMN\[0\].RAMCOLS/B_0_1/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12585 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12585 net COLUMN\[0\].RAMCOLS/B_0_0/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12589 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12589 net COLUMN\[0\].RAMCOLS/B_0_2/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12594 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12594 net COLUMN\[0\].RAMCOLS/B_0_3/DEC/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12601 instance COLUMN\[0\].RAMCOLS/ABUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12607 net COLUMN\[0\].RAMCOLS/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12612 net COLUMN\[0\].RAMCOLS/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12612 net COLUMN\[0\].RAMCOLS/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12613 net COLUMN\[0\].RAMCOLS/ABUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12618 instance COLUMN\[0\].RAMCOLS/ABUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12626 net COLUMN\[0\].RAMCOLS/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12633 net COLUMN\[0\].RAMCOLS/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12633 net COLUMN\[0\].RAMCOLS/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12634 net COLUMN\[0\].RAMCOLS/ABUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12641 instance COLUMN\[0\].RAMCOLS/ABUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12652 net COLUMN\[0\].RAMCOLS/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12664 net COLUMN\[0\].RAMCOLS/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12664 net COLUMN\[0\].RAMCOLS/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12665 net COLUMN\[0\].RAMCOLS/ABUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12672 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[9\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12673 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[8\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12674 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[7\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12675 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[6\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12676 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[5\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12677 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[4\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12678 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[3\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12679 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[31\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12680 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[30\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12681 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[2\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12682 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[29\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12683 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[28\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12684 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[27\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12685 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[26\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12686 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[25\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12687 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[24\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12688 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[23\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12689 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[22\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12690 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[21\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12691 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[20\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12692 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[1\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12693 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[19\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12694 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[18\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12695 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[17\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12696 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[16\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12697 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[15\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12698 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[14\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12699 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[13\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12700 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[12\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12701 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[11\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12702 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[10\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12703 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[0\]:S0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12704 instance COLUMN\[0\].RAMCOLS/DEC/AND3:B not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12705 instance COLUMN\[0\].RAMCOLS/DEC/AND2:A_N not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12706 instance COLUMN\[0\].RAMCOLS/DEC/AND1:B not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12707 instance COLUMN\[0\].RAMCOLS/DEC/AND0:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12721 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12729 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12826 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12854 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12857 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12859 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12891 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12893 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12896 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12899 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12900 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12901 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12902 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12904 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12907 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12909 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12910 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12913 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12914 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12916 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12917 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12920 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12922 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12927 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12928 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12933 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12935 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12936 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12938 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12939 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12940 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12941 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12947 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12948 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12950 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12951 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12967 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12975 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12985 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12986 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12991 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 12998 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13011 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13017 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13021 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13059 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13074 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13078 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13081 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13083 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13088 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13090 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13093 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13105 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13111 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13117 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13118 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13120 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13122 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13128 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13141 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13162 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13162 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13163 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13167 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13167 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13168 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13170 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13170 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13173 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13173 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13174 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13178 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13178 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13182 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13182 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13183 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13183 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13184 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13186 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13186 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13188 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13188 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13189 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13192 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13192 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13197 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13197 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13202 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13202 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13203 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13205 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13205 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13211 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13211 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13213 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13213 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13215 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13215 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13216 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13218 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13218 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13219 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13223 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13223 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13224 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13227 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13227 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13228 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13237 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13237 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13239 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13239 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13240 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13248 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13248 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13252 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13252 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13254 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13254 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13256 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13256 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13258 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13258 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13259 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13261 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13261 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13264 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13264 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13266 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13267 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13267 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13268 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13270 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13270 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13273 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13273 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13276 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13276 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13281 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13281 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13282 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13284 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13284 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13285 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13290 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13290 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13291 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13293 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13293 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13302 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[9\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13303 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[8\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13304 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[7\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13305 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[6\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13306 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[5\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13307 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[4\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13308 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[3\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13309 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[31\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13310 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[30\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13311 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[2\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13312 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[29\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13313 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[28\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13314 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[27\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13315 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[26\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13316 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[25\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13317 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[24\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13318 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[23\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13319 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[22\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13320 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[21\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13321 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[20\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13322 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[1\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13323 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[19\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13324 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[18\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13325 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[17\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13326 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[16\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13327 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[15\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13328 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[14\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13329 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[13\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13330 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[12\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13331 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[11\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13332 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[10\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13333 instance COLUMN\[0\].RAMCOLS/MUX/MUX\[0\]:S1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13334 instance COLUMN\[0\].RAMCOLS/DEC/AND3:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13335 instance COLUMN\[0\].RAMCOLS/DEC/AND2:B not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13336 instance COLUMN\[0\].RAMCOLS/DEC/AND1:A_N not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13337 instance COLUMN\[0\].RAMCOLS/DEC/AND0:B not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13347 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13363 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13383 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13387 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13390 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13396 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13399 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13401 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13426 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13430 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13433 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13438 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13443 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13444 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13448 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13462 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13463 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13471 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13475 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13489 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13501 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13510 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13514 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13515 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13517 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13520 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13528 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13530 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13532 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13538 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13545 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13553 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13555 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13559 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13563 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13565 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13579 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13596 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13597 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13597 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13605 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13622 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13623 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13626 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13629 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13630 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13636 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13639 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13641 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13647 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13654 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13668 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13672 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13676 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13680 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13681 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13686 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13687 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13691 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13708 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13709 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13717 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13721 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13725 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13736 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13749 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13758 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13758 net COLUMN\[0\].RAMCOLS/MUX/MUX\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13761 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13761 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13762 net COLUMN\[0\].RAMCOLS/MUX/MUX\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13768 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13768 net COLUMN\[0\].RAMCOLS/MUX/MUX\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13769 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13769 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13770 net COLUMN\[0\].RAMCOLS/MUX/MUX\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13771 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13771 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13772 net COLUMN\[0\].RAMCOLS/MUX/MUX\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13777 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13777 net COLUMN\[0\].RAMCOLS/DEC/AND0 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13780 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13780 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13781 net COLUMN\[0\].RAMCOLS/MUX/MUX\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13788 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13788 net COLUMN\[0\].RAMCOLS/DEC/AND3 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13791 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13791 net COLUMN\[0\].RAMCOLS/DEC/AND1 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13793 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13793 net COLUMN\[0\].RAMCOLS/MUX/MUX\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13795 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13795 net COLUMN\[0\].RAMCOLS/MUX/MUX\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13800 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13800 net COLUMN\[0\].RAMCOLS/MUX/MUX\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13801 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13801 net COLUMN\[0\].RAMCOLS/MUX/MUX\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13804 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13804 net COLUMN\[0\].RAMCOLS/MUX/MUX\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13806 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13806 net COLUMN\[0\].RAMCOLS/MUX/MUX\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13807 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13807 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13808 net COLUMN\[0\].RAMCOLS/MUX/MUX\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13810 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13810 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13811 net COLUMN\[0\].RAMCOLS/MUX/MUX\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13817 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13817 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13818 net COLUMN\[0\].RAMCOLS/MUX/MUX\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13823 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13823 net COLUMN\[0\].RAMCOLS/MUX/MUX\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13827 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13827 net COLUMN\[0\].RAMCOLS/DEC/AND2 not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13828 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13828 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13831 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13831 net COLUMN\[0\].RAMCOLS/MUX/MUX\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13834 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13834 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13835 net COLUMN\[0\].RAMCOLS/MUX/MUX\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13838 net COLUMN\[0\].RAMCOLS/MUX/MUX\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13839 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13839 net COLUMN\[0\].RAMCOLS/MUX/MUX\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13841 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13841 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13843 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13843 net COLUMN\[0\].RAMCOLS/MUX/MUX\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13845 net COLUMN\[0\].RAMCOLS/MUX/MUX\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13849 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13849 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13850 net COLUMN\[0\].RAMCOLS/MUX/MUX\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13855 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13855 net COLUMN\[0\].RAMCOLS/MUX/MUX\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13860 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13860 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13861 net COLUMN\[0\].RAMCOLS/MUX/MUX\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13864 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13864 net COLUMN\[0\].RAMCOLS/MUX/MUX\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13867 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13867 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13868 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13868 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13869 net COLUMN\[0\].RAMCOLS/MUX/MUX\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13872 net COLUMN\[0\].RAMCOLS/MUX/MUX\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13874 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13874 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13875 net COLUMN\[0\].RAMCOLS/MUX/MUX\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13882 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13882 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13883 net COLUMN\[0\].RAMCOLS/MUX/MUX\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13886 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13886 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13887 net COLUMN\[0\].RAMCOLS/MUX/MUX\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13889 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13889 net COLUMN\[0\].RAMCOLS/MUX/MUX\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13898 instance COLUMN\[0\].RAMCOLS/CLKBUF:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13912 net COLUMN\[0\].RAMCOLS/CLKBUF not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13925 net COLUMN\[0\].RAMCOLS/CLKBUF not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13931 net COLUMN\[0\].RAMCOLS/CLKBUF not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13931 net COLUMN\[0\].RAMCOLS/CLKBUF not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13946 instance COLUMN\[0\].RAMCOLS/DIBUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13951 net COLUMN\[0\].RAMCOLS/DIBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13954 net COLUMN\[0\].RAMCOLS/DIBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13956 net COLUMN\[0\].RAMCOLS/DIBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13956 net COLUMN\[0\].RAMCOLS/DIBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13961 instance COLUMN\[0\].RAMCOLS/DIBUF\[10\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13964 net COLUMN\[0\].RAMCOLS/DIBUF\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13968 net COLUMN\[0\].RAMCOLS/DIBUF\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13970 net COLUMN\[0\].RAMCOLS/DIBUF\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13970 net COLUMN\[0\].RAMCOLS/DIBUF\[10\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13976 instance COLUMN\[0\].RAMCOLS/DIBUF\[11\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13981 net COLUMN\[0\].RAMCOLS/DIBUF\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13983 net COLUMN\[0\].RAMCOLS/DIBUF\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13985 net COLUMN\[0\].RAMCOLS/DIBUF\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13985 net COLUMN\[0\].RAMCOLS/DIBUF\[11\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13991 instance COLUMN\[0\].RAMCOLS/DIBUF\[12\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13994 net COLUMN\[0\].RAMCOLS/DIBUF\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 13998 net COLUMN\[0\].RAMCOLS/DIBUF\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14000 net COLUMN\[0\].RAMCOLS/DIBUF\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14000 net COLUMN\[0\].RAMCOLS/DIBUF\[12\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14006 instance COLUMN\[0\].RAMCOLS/DIBUF\[13\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14009 net COLUMN\[0\].RAMCOLS/DIBUF\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14013 net COLUMN\[0\].RAMCOLS/DIBUF\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14015 net COLUMN\[0\].RAMCOLS/DIBUF\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14015 net COLUMN\[0\].RAMCOLS/DIBUF\[13\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14021 instance COLUMN\[0\].RAMCOLS/DIBUF\[14\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14026 net COLUMN\[0\].RAMCOLS/DIBUF\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14028 net COLUMN\[0\].RAMCOLS/DIBUF\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14030 net COLUMN\[0\].RAMCOLS/DIBUF\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14030 net COLUMN\[0\].RAMCOLS/DIBUF\[14\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14036 instance COLUMN\[0\].RAMCOLS/DIBUF\[15\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14039 net COLUMN\[0\].RAMCOLS/DIBUF\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14043 net COLUMN\[0\].RAMCOLS/DIBUF\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14045 net COLUMN\[0\].RAMCOLS/DIBUF\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14045 net COLUMN\[0\].RAMCOLS/DIBUF\[15\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14051 instance COLUMN\[0\].RAMCOLS/DIBUF\[16\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14055 net COLUMN\[0\].RAMCOLS/DIBUF\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14058 net COLUMN\[0\].RAMCOLS/DIBUF\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14058 net COLUMN\[0\].RAMCOLS/DIBUF\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14059 net COLUMN\[0\].RAMCOLS/DIBUF\[16\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14064 instance COLUMN\[0\].RAMCOLS/DIBUF\[17\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14067 net COLUMN\[0\].RAMCOLS/DIBUF\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14071 net COLUMN\[0\].RAMCOLS/DIBUF\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14073 net COLUMN\[0\].RAMCOLS/DIBUF\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14073 net COLUMN\[0\].RAMCOLS/DIBUF\[17\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14079 instance COLUMN\[0\].RAMCOLS/DIBUF\[18\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14084 net COLUMN\[0\].RAMCOLS/DIBUF\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14086 net COLUMN\[0\].RAMCOLS/DIBUF\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14089 net COLUMN\[0\].RAMCOLS/DIBUF\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14089 net COLUMN\[0\].RAMCOLS/DIBUF\[18\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14095 instance COLUMN\[0\].RAMCOLS/DIBUF\[19\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14100 net COLUMN\[0\].RAMCOLS/DIBUF\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14102 net COLUMN\[0\].RAMCOLS/DIBUF\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14104 net COLUMN\[0\].RAMCOLS/DIBUF\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14104 net COLUMN\[0\].RAMCOLS/DIBUF\[19\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14110 instance COLUMN\[0\].RAMCOLS/DIBUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14115 net COLUMN\[0\].RAMCOLS/DIBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14117 net COLUMN\[0\].RAMCOLS/DIBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14119 net COLUMN\[0\].RAMCOLS/DIBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14119 net COLUMN\[0\].RAMCOLS/DIBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14125 instance COLUMN\[0\].RAMCOLS/DIBUF\[20\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14128 net COLUMN\[0\].RAMCOLS/DIBUF\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14132 net COLUMN\[0\].RAMCOLS/DIBUF\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14134 net COLUMN\[0\].RAMCOLS/DIBUF\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14134 net COLUMN\[0\].RAMCOLS/DIBUF\[20\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14140 instance COLUMN\[0\].RAMCOLS/DIBUF\[21\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14143 net COLUMN\[0\].RAMCOLS/DIBUF\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14148 net COLUMN\[0\].RAMCOLS/DIBUF\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14153 net COLUMN\[0\].RAMCOLS/DIBUF\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14153 net COLUMN\[0\].RAMCOLS/DIBUF\[21\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14159 instance COLUMN\[0\].RAMCOLS/DIBUF\[22\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14162 net COLUMN\[0\].RAMCOLS/DIBUF\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14166 net COLUMN\[0\].RAMCOLS/DIBUF\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14168 net COLUMN\[0\].RAMCOLS/DIBUF\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14168 net COLUMN\[0\].RAMCOLS/DIBUF\[22\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14174 instance COLUMN\[0\].RAMCOLS/DIBUF\[23\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14177 net COLUMN\[0\].RAMCOLS/DIBUF\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14181 net COLUMN\[0\].RAMCOLS/DIBUF\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14183 net COLUMN\[0\].RAMCOLS/DIBUF\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14183 net COLUMN\[0\].RAMCOLS/DIBUF\[23\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14189 instance COLUMN\[0\].RAMCOLS/DIBUF\[24\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14192 net COLUMN\[0\].RAMCOLS/DIBUF\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14197 net COLUMN\[0\].RAMCOLS/DIBUF\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14200 net COLUMN\[0\].RAMCOLS/DIBUF\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14200 net COLUMN\[0\].RAMCOLS/DIBUF\[24\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14206 instance COLUMN\[0\].RAMCOLS/DIBUF\[25\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14209 net COLUMN\[0\].RAMCOLS/DIBUF\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14214 net COLUMN\[0\].RAMCOLS/DIBUF\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14217 net COLUMN\[0\].RAMCOLS/DIBUF\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14217 net COLUMN\[0\].RAMCOLS/DIBUF\[25\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14223 instance COLUMN\[0\].RAMCOLS/DIBUF\[26\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14226 net COLUMN\[0\].RAMCOLS/DIBUF\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14231 net COLUMN\[0\].RAMCOLS/DIBUF\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14235 net COLUMN\[0\].RAMCOLS/DIBUF\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14235 net COLUMN\[0\].RAMCOLS/DIBUF\[26\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14241 instance COLUMN\[0\].RAMCOLS/DIBUF\[27\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14246 net COLUMN\[0\].RAMCOLS/DIBUF\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14248 net COLUMN\[0\].RAMCOLS/DIBUF\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14250 net COLUMN\[0\].RAMCOLS/DIBUF\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14250 net COLUMN\[0\].RAMCOLS/DIBUF\[27\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14256 instance COLUMN\[0\].RAMCOLS/DIBUF\[28\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14259 net COLUMN\[0\].RAMCOLS/DIBUF\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14264 net COLUMN\[0\].RAMCOLS/DIBUF\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14267 net COLUMN\[0\].RAMCOLS/DIBUF\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14267 net COLUMN\[0\].RAMCOLS/DIBUF\[28\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14273 instance COLUMN\[0\].RAMCOLS/DIBUF\[29\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14277 net COLUMN\[0\].RAMCOLS/DIBUF\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14281 net COLUMN\[0\].RAMCOLS/DIBUF\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14282 net COLUMN\[0\].RAMCOLS/DIBUF\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14282 net COLUMN\[0\].RAMCOLS/DIBUF\[29\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14288 instance COLUMN\[0\].RAMCOLS/DIBUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14293 net COLUMN\[0\].RAMCOLS/DIBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14296 net COLUMN\[0\].RAMCOLS/DIBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14297 net COLUMN\[0\].RAMCOLS/DIBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14297 net COLUMN\[0\].RAMCOLS/DIBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14303 instance COLUMN\[0\].RAMCOLS/DIBUF\[30\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14306 net COLUMN\[0\].RAMCOLS/DIBUF\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14311 net COLUMN\[0\].RAMCOLS/DIBUF\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14314 net COLUMN\[0\].RAMCOLS/DIBUF\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14314 net COLUMN\[0\].RAMCOLS/DIBUF\[30\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14320 instance COLUMN\[0\].RAMCOLS/DIBUF\[31\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14323 net COLUMN\[0\].RAMCOLS/DIBUF\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14327 net COLUMN\[0\].RAMCOLS/DIBUF\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14329 net COLUMN\[0\].RAMCOLS/DIBUF\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14329 net COLUMN\[0\].RAMCOLS/DIBUF\[31\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14335 instance COLUMN\[0\].RAMCOLS/DIBUF\[3\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14340 net COLUMN\[0\].RAMCOLS/DIBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14342 net COLUMN\[0\].RAMCOLS/DIBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14344 net COLUMN\[0\].RAMCOLS/DIBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14344 net COLUMN\[0\].RAMCOLS/DIBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14350 instance COLUMN\[0\].RAMCOLS/DIBUF\[4\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14355 net COLUMN\[0\].RAMCOLS/DIBUF\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14357 net COLUMN\[0\].RAMCOLS/DIBUF\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14359 net COLUMN\[0\].RAMCOLS/DIBUF\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14359 net COLUMN\[0\].RAMCOLS/DIBUF\[4\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14365 instance COLUMN\[0\].RAMCOLS/DIBUF\[5\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14370 net COLUMN\[0\].RAMCOLS/DIBUF\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14372 net COLUMN\[0\].RAMCOLS/DIBUF\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14374 net COLUMN\[0\].RAMCOLS/DIBUF\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14374 net COLUMN\[0\].RAMCOLS/DIBUF\[5\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14380 instance COLUMN\[0\].RAMCOLS/DIBUF\[6\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14384 net COLUMN\[0\].RAMCOLS/DIBUF\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14387 net COLUMN\[0\].RAMCOLS/DIBUF\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14387 net COLUMN\[0\].RAMCOLS/DIBUF\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14388 net COLUMN\[0\].RAMCOLS/DIBUF\[6\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14393 instance COLUMN\[0\].RAMCOLS/DIBUF\[7\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14396 net COLUMN\[0\].RAMCOLS/DIBUF\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14401 net COLUMN\[0\].RAMCOLS/DIBUF\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14405 net COLUMN\[0\].RAMCOLS/DIBUF\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14405 net COLUMN\[0\].RAMCOLS/DIBUF\[7\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14411 instance COLUMN\[0\].RAMCOLS/DIBUF\[8\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14414 net COLUMN\[0\].RAMCOLS/DIBUF\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14418 net COLUMN\[0\].RAMCOLS/DIBUF\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14421 net COLUMN\[0\].RAMCOLS/DIBUF\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14421 net COLUMN\[0\].RAMCOLS/DIBUF\[8\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14427 instance COLUMN\[0\].RAMCOLS/DIBUF\[9\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14430 net COLUMN\[0\].RAMCOLS/DIBUF\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14434 net COLUMN\[0\].RAMCOLS/DIBUF\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14436 net COLUMN\[0\].RAMCOLS/DIBUF\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 14436 net COLUMN\[0\].RAMCOLS/DIBUF\[9\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15178 instance COLUMN\[0\].RAMCOLS/WEBUF\[0\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15184 net COLUMN\[0\].RAMCOLS/WEBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15189 net COLUMN\[0\].RAMCOLS/WEBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15189 net COLUMN\[0\].RAMCOLS/WEBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15190 net COLUMN\[0\].RAMCOLS/WEBUF\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15195 instance COLUMN\[0\].RAMCOLS/WEBUF\[1\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15200 net COLUMN\[0\].RAMCOLS/WEBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15205 net COLUMN\[0\].RAMCOLS/WEBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15205 net COLUMN\[0\].RAMCOLS/WEBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15206 net COLUMN\[0\].RAMCOLS/WEBUF\[1\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15211 instance COLUMN\[0\].RAMCOLS/WEBUF\[2\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15217 net COLUMN\[0\].RAMCOLS/WEBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15222 net COLUMN\[0\].RAMCOLS/WEBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15222 net COLUMN\[0\].RAMCOLS/WEBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15223 net COLUMN\[0\].RAMCOLS/WEBUF\[2\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15228 instance COLUMN\[0\].RAMCOLS/WEBUF\[3\]:A not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15234 net COLUMN\[0\].RAMCOLS/WEBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15239 net COLUMN\[0\].RAMCOLS/WEBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15239 net COLUMN\[0\].RAMCOLS/WEBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15240 net COLUMN\[0\].RAMCOLS/WEBUF\[3\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15242 net DOUT\[0\]\[0\] not found.
Warning: /project/openlane/DFFRAM/runs/DFFRAM/results/routing/DFFRAM.spef, line 15244 syntax error, unexpected CAP, expecting KW_P or KW_I.
create_clock [get_ports $::env(CLOCK_PORT)] -name $::env(CLOCK_PORT) -period $::env(CLOCK_PERIOD)
set input_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
set output_delay_value [expr $::env(CLOCK_PERIOD) * $::env(IO_PCT)]
puts "\[INFO\]: Setting output delay to: $output_delay_value"
[INFO]: Setting output delay to: 2.0
puts "\[INFO\]: Setting input delay to: $input_delay_value"
[INFO]: Setting input delay to: 2.0
set clk_indx [lsearch [all_inputs] [get_port $::env(CLOCK_PORT)]]
#set rst_indx [lsearch [all_inputs] [get_port resetn]]
set all_inputs_wo_clk [lreplace [all_inputs] $clk_indx $clk_indx]
#set all_inputs_wo_clk_rst [lreplace $all_inputs_wo_clk $rst_indx $rst_indx]
set all_inputs_wo_clk_rst $all_inputs_wo_clk
# correct resetn
set_input_delay $input_delay_value -clock [get_clocks $::env(CLOCK_PORT)] $all_inputs_wo_clk_rst
#set_input_delay 0.0 -clock [get_clocks $::env(CLOCK_PORT)] {resetn}
set_output_delay $output_delay_value -clock [get_clocks $::env(CLOCK_PORT)] [all_outputs]
# TODO set this as parameter
set_driving_cell -lib_cell $::env(SYNTH_DRIVING_CELL) -pin $::env(SYNTH_DRIVING_CELL_PIN) [all_inputs]
set cap_load [expr $::env(SYNTH_CAP_LOAD) / 1000.0]
puts "\[INFO\]: Setting load to: $cap_load"
[INFO]: Setting load to: 0.01765
set_load $cap_load [all_outputs]
tns 0.00
wns 0.00