blob: f0c477e2a3cdbe0d57fd1639b777505899926fe0 [file] [log] [blame]
VERSION 5.7 ;
NOWIREEXTENSIONATPIN ON ;
DIVIDERCHAR "/" ;
BUSBITCHARS "[]" ;
MACRO user_project_wrapper
CLASS BLOCK ;
FOREIGN user_project_wrapper ;
ORIGIN 2.480 0.000 ;
SIZE 2924.580 BY 3520.000 ;
PIN io_in[0]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 38.800 2920.000 39.400 ;
END
END io_in[0]
PIN io_in[10]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 2384.800 2920.000 2385.400 ;
END
END io_in[10]
PIN io_in[11]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 2619.400 2920.000 2620.000 ;
END
END io_in[11]
PIN io_in[12]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 2854.000 2920.000 2854.600 ;
END
END io_in[12]
PIN io_in[13]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 3088.600 2920.000 3089.200 ;
END
END io_in[13]
PIN io_in[14]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 3323.200 2920.000 3323.800 ;
END
END io_in[14]
PIN io_in[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2865.430 3517.600 2865.710 3520.000 ;
END
END io_in[15]
PIN io_in[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2541.130 3517.600 2541.410 3520.000 ;
END
END io_in[16]
PIN io_in[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2216.830 3517.600 2217.110 3520.000 ;
END
END io_in[17]
PIN io_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1892.070 3517.600 1892.350 3520.000 ;
END
END io_in[18]
PIN io_in[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1567.770 3517.600 1568.050 3520.000 ;
END
END io_in[19]
PIN io_in[1]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 273.400 2920.000 274.000 ;
END
END io_in[1]
PIN io_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1243.470 3517.600 1243.750 3520.000 ;
END
END io_in[20]
PIN io_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 918.710 3517.600 918.990 3520.000 ;
END
END io_in[21]
PIN io_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 594.410 3517.600 594.690 3520.000 ;
END
END io_in[22]
PIN io_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 270.110 3517.600 270.390 3520.000 ;
END
END io_in[23]
PIN io_in[24]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 3476.880 2.400 3477.480 ;
END
END io_in[24]
PIN io_in[25]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 3225.960 2.400 3226.560 ;
END
END io_in[25]
PIN io_in[26]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 2974.360 2.400 2974.960 ;
END
END io_in[26]
PIN io_in[27]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 2722.760 2.400 2723.360 ;
END
END io_in[27]
PIN io_in[28]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 2471.160 2.400 2471.760 ;
END
END io_in[28]
PIN io_in[29]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 2220.240 2.400 2220.840 ;
END
END io_in[29]
PIN io_in[2]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 508.000 2920.000 508.600 ;
END
END io_in[2]
PIN io_in[30]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 1968.640 2.400 1969.240 ;
END
END io_in[30]
PIN io_in[31]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 1717.040 2.400 1717.640 ;
END
END io_in[31]
PIN io_in[32]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 1466.120 2.400 1466.720 ;
END
END io_in[32]
PIN io_in[33]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 1214.520 2.400 1215.120 ;
END
END io_in[33]
PIN io_in[34]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 962.920 2.400 963.520 ;
END
END io_in[34]
PIN io_in[35]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 711.320 2.400 711.920 ;
END
END io_in[35]
PIN io_in[36]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 460.400 2.400 461.000 ;
END
END io_in[36]
PIN io_in[37]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 0.000 208.800 2.400 209.400 ;
END
END io_in[37]
PIN io_in[3]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 742.600 2920.000 743.200 ;
END
END io_in[3]
PIN io_in[4]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 977.200 2920.000 977.800 ;
END
END io_in[4]
PIN io_in[5]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1211.800 2920.000 1212.400 ;
END
END io_in[5]
PIN io_in[6]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1446.400 2920.000 1447.000 ;
END
END io_in[6]
PIN io_in[7]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1681.000 2920.000 1681.600 ;
END
END io_in[7]
PIN io_in[8]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 1915.600 2920.000 1916.200 ;
END
END io_in[8]
PIN io_in[9]
DIRECTION INPUT ;
PORT
LAYER met3 ;
RECT 2917.600 2150.200 2920.000 2150.800 ;
END
END io_in[9]
PIN io_oeb[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 195.200 2920.000 195.800 ;
END
END io_oeb[0]
PIN io_oeb[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2541.200 2920.000 2541.800 ;
END
END io_oeb[10]
PIN io_oeb[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2775.800 2920.000 2776.400 ;
END
END io_oeb[11]
PIN io_oeb[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3010.400 2920.000 3011.000 ;
END
END io_oeb[12]
PIN io_oeb[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3245.000 2920.000 3245.600 ;
END
END io_oeb[13]
PIN io_oeb[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3479.600 2920.000 3480.200 ;
END
END io_oeb[14]
PIN io_oeb[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2649.230 3517.600 2649.510 3520.000 ;
END
END io_oeb[15]
PIN io_oeb[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2324.930 3517.600 2325.210 3520.000 ;
END
END io_oeb[16]
PIN io_oeb[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2000.630 3517.600 2000.910 3520.000 ;
END
END io_oeb[17]
PIN io_oeb[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1675.870 3517.600 1676.150 3520.000 ;
END
END io_oeb[18]
PIN io_oeb[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1351.570 3517.600 1351.850 3520.000 ;
END
END io_oeb[19]
PIN io_oeb[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 429.800 2920.000 430.400 ;
END
END io_oeb[1]
PIN io_oeb[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1027.270 3517.600 1027.550 3520.000 ;
END
END io_oeb[20]
PIN io_oeb[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 702.510 3517.600 702.790 3520.000 ;
END
END io_oeb[21]
PIN io_oeb[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 378.210 3517.600 378.490 3520.000 ;
END
END io_oeb[22]
PIN io_oeb[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 53.910 3517.600 54.190 3520.000 ;
END
END io_oeb[23]
PIN io_oeb[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 3309.600 2.400 3310.200 ;
END
END io_oeb[24]
PIN io_oeb[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 3058.000 2.400 3058.600 ;
END
END io_oeb[25]
PIN io_oeb[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2806.400 2.400 2807.000 ;
END
END io_oeb[26]
PIN io_oeb[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2555.480 2.400 2556.080 ;
END
END io_oeb[27]
PIN io_oeb[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2303.880 2.400 2304.480 ;
END
END io_oeb[28]
PIN io_oeb[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2052.280 2.400 2052.880 ;
END
END io_oeb[29]
PIN io_oeb[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 664.400 2920.000 665.000 ;
END
END io_oeb[2]
PIN io_oeb[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1801.360 2.400 1801.960 ;
END
END io_oeb[30]
PIN io_oeb[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1549.760 2.400 1550.360 ;
END
END io_oeb[31]
PIN io_oeb[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1298.160 2.400 1298.760 ;
END
END io_oeb[32]
PIN io_oeb[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1046.560 2.400 1047.160 ;
END
END io_oeb[33]
PIN io_oeb[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 795.640 2.400 796.240 ;
END
END io_oeb[34]
PIN io_oeb[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 544.040 2.400 544.640 ;
END
END io_oeb[35]
PIN io_oeb[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 292.440 2.400 293.040 ;
END
END io_oeb[36]
PIN io_oeb[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 41.520 2.400 42.120 ;
END
END io_oeb[37]
PIN io_oeb[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 899.000 2920.000 899.600 ;
END
END io_oeb[3]
PIN io_oeb[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1133.600 2920.000 1134.200 ;
END
END io_oeb[4]
PIN io_oeb[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1368.200 2920.000 1368.800 ;
END
END io_oeb[5]
PIN io_oeb[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1602.800 2920.000 1603.400 ;
END
END io_oeb[6]
PIN io_oeb[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1837.400 2920.000 1838.000 ;
END
END io_oeb[7]
PIN io_oeb[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2072.000 2920.000 2072.600 ;
END
END io_oeb[8]
PIN io_oeb[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2306.600 2920.000 2307.200 ;
END
END io_oeb[9]
PIN io_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 117.000 2920.000 117.600 ;
END
END io_out[0]
PIN io_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2463.000 2920.000 2463.600 ;
END
END io_out[10]
PIN io_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2697.600 2920.000 2698.200 ;
END
END io_out[11]
PIN io_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2932.200 2920.000 2932.800 ;
END
END io_out[12]
PIN io_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3166.800 2920.000 3167.400 ;
END
END io_out[13]
PIN io_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 3401.400 2920.000 3402.000 ;
END
END io_out[14]
PIN io_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2757.330 3517.600 2757.610 3520.000 ;
END
END io_out[15]
PIN io_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2433.030 3517.600 2433.310 3520.000 ;
END
END io_out[16]
PIN io_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2108.730 3517.600 2109.010 3520.000 ;
END
END io_out[17]
PIN io_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1783.970 3517.600 1784.250 3520.000 ;
END
END io_out[18]
PIN io_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1459.670 3517.600 1459.950 3520.000 ;
END
END io_out[19]
PIN io_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 351.600 2920.000 352.200 ;
END
END io_out[1]
PIN io_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1135.370 3517.600 1135.650 3520.000 ;
END
END io_out[20]
PIN io_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 810.610 3517.600 810.890 3520.000 ;
END
END io_out[21]
PIN io_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 486.310 3517.600 486.590 3520.000 ;
END
END io_out[22]
PIN io_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 162.010 3517.600 162.290 3520.000 ;
END
END io_out[23]
PIN io_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 3393.240 2.400 3393.840 ;
END
END io_out[24]
PIN io_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 3141.640 2.400 3142.240 ;
END
END io_out[25]
PIN io_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2890.720 2.400 2891.320 ;
END
END io_out[26]
PIN io_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2639.120 2.400 2639.720 ;
END
END io_out[27]
PIN io_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2387.520 2.400 2388.120 ;
END
END io_out[28]
PIN io_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 2135.920 2.400 2136.520 ;
END
END io_out[29]
PIN io_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 586.200 2920.000 586.800 ;
END
END io_out[2]
PIN io_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1885.000 2.400 1885.600 ;
END
END io_out[30]
PIN io_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1633.400 2.400 1634.000 ;
END
END io_out[31]
PIN io_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1381.800 2.400 1382.400 ;
END
END io_out[32]
PIN io_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 1130.880 2.400 1131.480 ;
END
END io_out[33]
PIN io_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 879.280 2.400 879.880 ;
END
END io_out[34]
PIN io_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 627.680 2.400 628.280 ;
END
END io_out[35]
PIN io_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 376.080 2.400 376.680 ;
END
END io_out[36]
PIN io_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 0.000 125.160 2.400 125.760 ;
END
END io_out[37]
PIN io_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 820.800 2920.000 821.400 ;
END
END io_out[3]
PIN io_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1055.400 2920.000 1056.000 ;
END
END io_out[4]
PIN io_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1290.000 2920.000 1290.600 ;
END
END io_out[5]
PIN io_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1524.600 2920.000 1525.200 ;
END
END io_out[6]
PIN io_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1759.200 2920.000 1759.800 ;
END
END io_out[7]
PIN io_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 1993.800 2920.000 1994.400 ;
END
END io_out[8]
PIN io_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met3 ;
RECT 2917.600 2228.400 2920.000 2229.000 ;
END
END io_out[9]
PIN la_data_in[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 633.050 0.000 633.330 2.400 ;
END
END la_data_in[0]
PIN la_data_in[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2417.390 0.000 2417.670 2.400 ;
END
END la_data_in[100]
PIN la_data_in[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2434.870 0.000 2435.150 2.400 ;
END
END la_data_in[101]
PIN la_data_in[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2452.810 0.000 2453.090 2.400 ;
END
END la_data_in[102]
PIN la_data_in[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2470.750 0.000 2471.030 2.400 ;
END
END la_data_in[103]
PIN la_data_in[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2488.690 0.000 2488.970 2.400 ;
END
END la_data_in[104]
PIN la_data_in[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2506.170 0.000 2506.450 2.400 ;
END
END la_data_in[105]
PIN la_data_in[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2524.110 0.000 2524.390 2.400 ;
END
END la_data_in[106]
PIN la_data_in[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2542.050 0.000 2542.330 2.400 ;
END
END la_data_in[107]
PIN la_data_in[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2559.990 0.000 2560.270 2.400 ;
END
END la_data_in[108]
PIN la_data_in[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2577.930 0.000 2578.210 2.400 ;
END
END la_data_in[109]
PIN la_data_in[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 811.530 0.000 811.810 2.400 ;
END
END la_data_in[10]
PIN la_data_in[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2595.410 0.000 2595.690 2.400 ;
END
END la_data_in[110]
PIN la_data_in[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2613.350 0.000 2613.630 2.400 ;
END
END la_data_in[111]
PIN la_data_in[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2631.290 0.000 2631.570 2.400 ;
END
END la_data_in[112]
PIN la_data_in[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2649.230 0.000 2649.510 2.400 ;
END
END la_data_in[113]
PIN la_data_in[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2667.170 0.000 2667.450 2.400 ;
END
END la_data_in[114]
PIN la_data_in[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2684.650 0.000 2684.930 2.400 ;
END
END la_data_in[115]
PIN la_data_in[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2702.590 0.000 2702.870 2.400 ;
END
END la_data_in[116]
PIN la_data_in[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2720.530 0.000 2720.810 2.400 ;
END
END la_data_in[117]
PIN la_data_in[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2738.470 0.000 2738.750 2.400 ;
END
END la_data_in[118]
PIN la_data_in[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2755.950 0.000 2756.230 2.400 ;
END
END la_data_in[119]
PIN la_data_in[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 829.470 0.000 829.750 2.400 ;
END
END la_data_in[11]
PIN la_data_in[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2773.890 0.000 2774.170 2.400 ;
END
END la_data_in[120]
PIN la_data_in[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2791.830 0.000 2792.110 2.400 ;
END
END la_data_in[121]
PIN la_data_in[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2809.770 0.000 2810.050 2.400 ;
END
END la_data_in[122]
PIN la_data_in[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2827.710 0.000 2827.990 2.400 ;
END
END la_data_in[123]
PIN la_data_in[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2845.190 0.000 2845.470 2.400 ;
END
END la_data_in[124]
PIN la_data_in[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2863.130 0.000 2863.410 2.400 ;
END
END la_data_in[125]
PIN la_data_in[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2881.070 0.000 2881.350 2.400 ;
END
END la_data_in[126]
PIN la_data_in[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2899.010 0.000 2899.290 2.400 ;
END
END la_data_in[127]
PIN la_data_in[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 846.950 0.000 847.230 2.400 ;
END
END la_data_in[12]
PIN la_data_in[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 864.890 0.000 865.170 2.400 ;
END
END la_data_in[13]
PIN la_data_in[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 882.830 0.000 883.110 2.400 ;
END
END la_data_in[14]
PIN la_data_in[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 900.770 0.000 901.050 2.400 ;
END
END la_data_in[15]
PIN la_data_in[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 918.710 0.000 918.990 2.400 ;
END
END la_data_in[16]
PIN la_data_in[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 936.190 0.000 936.470 2.400 ;
END
END la_data_in[17]
PIN la_data_in[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 954.130 0.000 954.410 2.400 ;
END
END la_data_in[18]
PIN la_data_in[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 972.070 0.000 972.350 2.400 ;
END
END la_data_in[19]
PIN la_data_in[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 650.990 0.000 651.270 2.400 ;
END
END la_data_in[1]
PIN la_data_in[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 990.010 0.000 990.290 2.400 ;
END
END la_data_in[20]
PIN la_data_in[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1007.490 0.000 1007.770 2.400 ;
END
END la_data_in[21]
PIN la_data_in[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1025.430 0.000 1025.710 2.400 ;
END
END la_data_in[22]
PIN la_data_in[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1043.370 0.000 1043.650 2.400 ;
END
END la_data_in[23]
PIN la_data_in[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1061.310 0.000 1061.590 2.400 ;
END
END la_data_in[24]
PIN la_data_in[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1079.250 0.000 1079.530 2.400 ;
END
END la_data_in[25]
PIN la_data_in[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1096.730 0.000 1097.010 2.400 ;
END
END la_data_in[26]
PIN la_data_in[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1114.670 0.000 1114.950 2.400 ;
END
END la_data_in[27]
PIN la_data_in[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1132.610 0.000 1132.890 2.400 ;
END
END la_data_in[28]
PIN la_data_in[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1150.550 0.000 1150.830 2.400 ;
END
END la_data_in[29]
PIN la_data_in[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 668.930 0.000 669.210 2.400 ;
END
END la_data_in[2]
PIN la_data_in[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1168.490 0.000 1168.770 2.400 ;
END
END la_data_in[30]
PIN la_data_in[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1185.970 0.000 1186.250 2.400 ;
END
END la_data_in[31]
PIN la_data_in[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1203.910 0.000 1204.190 2.400 ;
END
END la_data_in[32]
PIN la_data_in[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1221.850 0.000 1222.130 2.400 ;
END
END la_data_in[33]
PIN la_data_in[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1239.790 0.000 1240.070 2.400 ;
END
END la_data_in[34]
PIN la_data_in[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1257.270 0.000 1257.550 2.400 ;
END
END la_data_in[35]
PIN la_data_in[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1275.210 0.000 1275.490 2.400 ;
END
END la_data_in[36]
PIN la_data_in[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1293.150 0.000 1293.430 2.400 ;
END
END la_data_in[37]
PIN la_data_in[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1311.090 0.000 1311.370 2.400 ;
END
END la_data_in[38]
PIN la_data_in[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1329.030 0.000 1329.310 2.400 ;
END
END la_data_in[39]
PIN la_data_in[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 686.410 0.000 686.690 2.400 ;
END
END la_data_in[3]
PIN la_data_in[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1346.510 0.000 1346.790 2.400 ;
END
END la_data_in[40]
PIN la_data_in[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1364.450 0.000 1364.730 2.400 ;
END
END la_data_in[41]
PIN la_data_in[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1382.390 0.000 1382.670 2.400 ;
END
END la_data_in[42]
PIN la_data_in[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1400.330 0.000 1400.610 2.400 ;
END
END la_data_in[43]
PIN la_data_in[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1418.270 0.000 1418.550 2.400 ;
END
END la_data_in[44]
PIN la_data_in[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1435.750 0.000 1436.030 2.400 ;
END
END la_data_in[45]
PIN la_data_in[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1453.690 0.000 1453.970 2.400 ;
END
END la_data_in[46]
PIN la_data_in[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1471.630 0.000 1471.910 2.400 ;
END
END la_data_in[47]
PIN la_data_in[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1489.570 0.000 1489.850 2.400 ;
END
END la_data_in[48]
PIN la_data_in[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1507.050 0.000 1507.330 2.400 ;
END
END la_data_in[49]
PIN la_data_in[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 704.350 0.000 704.630 2.400 ;
END
END la_data_in[4]
PIN la_data_in[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1524.990 0.000 1525.270 2.400 ;
END
END la_data_in[50]
PIN la_data_in[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1542.930 0.000 1543.210 2.400 ;
END
END la_data_in[51]
PIN la_data_in[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1560.870 0.000 1561.150 2.400 ;
END
END la_data_in[52]
PIN la_data_in[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1578.810 0.000 1579.090 2.400 ;
END
END la_data_in[53]
PIN la_data_in[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1596.290 0.000 1596.570 2.400 ;
END
END la_data_in[54]
PIN la_data_in[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1614.230 0.000 1614.510 2.400 ;
END
END la_data_in[55]
PIN la_data_in[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1632.170 0.000 1632.450 2.400 ;
END
END la_data_in[56]
PIN la_data_in[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1650.110 0.000 1650.390 2.400 ;
END
END la_data_in[57]
PIN la_data_in[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1668.050 0.000 1668.330 2.400 ;
END
END la_data_in[58]
PIN la_data_in[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1685.530 0.000 1685.810 2.400 ;
END
END la_data_in[59]
PIN la_data_in[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 722.290 0.000 722.570 2.400 ;
END
END la_data_in[5]
PIN la_data_in[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1703.470 0.000 1703.750 2.400 ;
END
END la_data_in[60]
PIN la_data_in[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1721.410 0.000 1721.690 2.400 ;
END
END la_data_in[61]
PIN la_data_in[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1739.350 0.000 1739.630 2.400 ;
END
END la_data_in[62]
PIN la_data_in[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1756.830 0.000 1757.110 2.400 ;
END
END la_data_in[63]
PIN la_data_in[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1774.770 0.000 1775.050 2.400 ;
END
END la_data_in[64]
PIN la_data_in[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1792.710 0.000 1792.990 2.400 ;
END
END la_data_in[65]
PIN la_data_in[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1810.650 0.000 1810.930 2.400 ;
END
END la_data_in[66]
PIN la_data_in[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1828.590 0.000 1828.870 2.400 ;
END
END la_data_in[67]
PIN la_data_in[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1846.070 0.000 1846.350 2.400 ;
END
END la_data_in[68]
PIN la_data_in[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1864.010 0.000 1864.290 2.400 ;
END
END la_data_in[69]
PIN la_data_in[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 740.230 0.000 740.510 2.400 ;
END
END la_data_in[6]
PIN la_data_in[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1881.950 0.000 1882.230 2.400 ;
END
END la_data_in[70]
PIN la_data_in[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1899.890 0.000 1900.170 2.400 ;
END
END la_data_in[71]
PIN la_data_in[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1917.830 0.000 1918.110 2.400 ;
END
END la_data_in[72]
PIN la_data_in[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1935.310 0.000 1935.590 2.400 ;
END
END la_data_in[73]
PIN la_data_in[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1953.250 0.000 1953.530 2.400 ;
END
END la_data_in[74]
PIN la_data_in[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1971.190 0.000 1971.470 2.400 ;
END
END la_data_in[75]
PIN la_data_in[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1989.130 0.000 1989.410 2.400 ;
END
END la_data_in[76]
PIN la_data_in[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2006.610 0.000 2006.890 2.400 ;
END
END la_data_in[77]
PIN la_data_in[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2024.550 0.000 2024.830 2.400 ;
END
END la_data_in[78]
PIN la_data_in[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2042.490 0.000 2042.770 2.400 ;
END
END la_data_in[79]
PIN la_data_in[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 757.710 0.000 757.990 2.400 ;
END
END la_data_in[7]
PIN la_data_in[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2060.430 0.000 2060.710 2.400 ;
END
END la_data_in[80]
PIN la_data_in[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2078.370 0.000 2078.650 2.400 ;
END
END la_data_in[81]
PIN la_data_in[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2095.850 0.000 2096.130 2.400 ;
END
END la_data_in[82]
PIN la_data_in[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2113.790 0.000 2114.070 2.400 ;
END
END la_data_in[83]
PIN la_data_in[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2131.730 0.000 2132.010 2.400 ;
END
END la_data_in[84]
PIN la_data_in[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2149.670 0.000 2149.950 2.400 ;
END
END la_data_in[85]
PIN la_data_in[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2167.610 0.000 2167.890 2.400 ;
END
END la_data_in[86]
PIN la_data_in[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2185.090 0.000 2185.370 2.400 ;
END
END la_data_in[87]
PIN la_data_in[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2203.030 0.000 2203.310 2.400 ;
END
END la_data_in[88]
PIN la_data_in[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2220.970 0.000 2221.250 2.400 ;
END
END la_data_in[89]
PIN la_data_in[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 775.650 0.000 775.930 2.400 ;
END
END la_data_in[8]
PIN la_data_in[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2238.910 0.000 2239.190 2.400 ;
END
END la_data_in[90]
PIN la_data_in[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2256.390 0.000 2256.670 2.400 ;
END
END la_data_in[91]
PIN la_data_in[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2274.330 0.000 2274.610 2.400 ;
END
END la_data_in[92]
PIN la_data_in[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2292.270 0.000 2292.550 2.400 ;
END
END la_data_in[93]
PIN la_data_in[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2310.210 0.000 2310.490 2.400 ;
END
END la_data_in[94]
PIN la_data_in[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2328.150 0.000 2328.430 2.400 ;
END
END la_data_in[95]
PIN la_data_in[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2345.630 0.000 2345.910 2.400 ;
END
END la_data_in[96]
PIN la_data_in[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2363.570 0.000 2363.850 2.400 ;
END
END la_data_in[97]
PIN la_data_in[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2381.510 0.000 2381.790 2.400 ;
END
END la_data_in[98]
PIN la_data_in[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2399.450 0.000 2399.730 2.400 ;
END
END la_data_in[99]
PIN la_data_in[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 793.590 0.000 793.870 2.400 ;
END
END la_data_in[9]
PIN la_data_out[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 639.030 0.000 639.310 2.400 ;
END
END la_data_out[0]
PIN la_data_out[100]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2422.910 0.000 2423.190 2.400 ;
END
END la_data_out[100]
PIN la_data_out[101]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2440.850 0.000 2441.130 2.400 ;
END
END la_data_out[101]
PIN la_data_out[102]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2458.790 0.000 2459.070 2.400 ;
END
END la_data_out[102]
PIN la_data_out[103]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2476.730 0.000 2477.010 2.400 ;
END
END la_data_out[103]
PIN la_data_out[104]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2494.670 0.000 2494.950 2.400 ;
END
END la_data_out[104]
PIN la_data_out[105]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2512.150 0.000 2512.430 2.400 ;
END
END la_data_out[105]
PIN la_data_out[106]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2530.090 0.000 2530.370 2.400 ;
END
END la_data_out[106]
PIN la_data_out[107]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2548.030 0.000 2548.310 2.400 ;
END
END la_data_out[107]
PIN la_data_out[108]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2565.970 0.000 2566.250 2.400 ;
END
END la_data_out[108]
PIN la_data_out[109]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2583.910 0.000 2584.190 2.400 ;
END
END la_data_out[109]
PIN la_data_out[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 817.510 0.000 817.790 2.400 ;
END
END la_data_out[10]
PIN la_data_out[110]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2601.390 0.000 2601.670 2.400 ;
END
END la_data_out[110]
PIN la_data_out[111]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2619.330 0.000 2619.610 2.400 ;
END
END la_data_out[111]
PIN la_data_out[112]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2637.270 0.000 2637.550 2.400 ;
END
END la_data_out[112]
PIN la_data_out[113]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2655.210 0.000 2655.490 2.400 ;
END
END la_data_out[113]
PIN la_data_out[114]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2672.690 0.000 2672.970 2.400 ;
END
END la_data_out[114]
PIN la_data_out[115]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2690.630 0.000 2690.910 2.400 ;
END
END la_data_out[115]
PIN la_data_out[116]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2708.570 0.000 2708.850 2.400 ;
END
END la_data_out[116]
PIN la_data_out[117]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2726.510 0.000 2726.790 2.400 ;
END
END la_data_out[117]
PIN la_data_out[118]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2744.450 0.000 2744.730 2.400 ;
END
END la_data_out[118]
PIN la_data_out[119]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2761.930 0.000 2762.210 2.400 ;
END
END la_data_out[119]
PIN la_data_out[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 835.450 0.000 835.730 2.400 ;
END
END la_data_out[11]
PIN la_data_out[120]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2779.870 0.000 2780.150 2.400 ;
END
END la_data_out[120]
PIN la_data_out[121]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2797.810 0.000 2798.090 2.400 ;
END
END la_data_out[121]
PIN la_data_out[122]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2815.750 0.000 2816.030 2.400 ;
END
END la_data_out[122]
PIN la_data_out[123]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2833.690 0.000 2833.970 2.400 ;
END
END la_data_out[123]
PIN la_data_out[124]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2851.170 0.000 2851.450 2.400 ;
END
END la_data_out[124]
PIN la_data_out[125]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2869.110 0.000 2869.390 2.400 ;
END
END la_data_out[125]
PIN la_data_out[126]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2887.050 0.000 2887.330 2.400 ;
END
END la_data_out[126]
PIN la_data_out[127]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2904.990 0.000 2905.270 2.400 ;
END
END la_data_out[127]
PIN la_data_out[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 852.930 0.000 853.210 2.400 ;
END
END la_data_out[12]
PIN la_data_out[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 870.870 0.000 871.150 2.400 ;
END
END la_data_out[13]
PIN la_data_out[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 888.810 0.000 889.090 2.400 ;
END
END la_data_out[14]
PIN la_data_out[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 906.750 0.000 907.030 2.400 ;
END
END la_data_out[15]
PIN la_data_out[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 924.230 0.000 924.510 2.400 ;
END
END la_data_out[16]
PIN la_data_out[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 942.170 0.000 942.450 2.400 ;
END
END la_data_out[17]
PIN la_data_out[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 960.110 0.000 960.390 2.400 ;
END
END la_data_out[18]
PIN la_data_out[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 978.050 0.000 978.330 2.400 ;
END
END la_data_out[19]
PIN la_data_out[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 656.970 0.000 657.250 2.400 ;
END
END la_data_out[1]
PIN la_data_out[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 995.990 0.000 996.270 2.400 ;
END
END la_data_out[20]
PIN la_data_out[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1013.470 0.000 1013.750 2.400 ;
END
END la_data_out[21]
PIN la_data_out[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1031.410 0.000 1031.690 2.400 ;
END
END la_data_out[22]
PIN la_data_out[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1049.350 0.000 1049.630 2.400 ;
END
END la_data_out[23]
PIN la_data_out[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1067.290 0.000 1067.570 2.400 ;
END
END la_data_out[24]
PIN la_data_out[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1085.230 0.000 1085.510 2.400 ;
END
END la_data_out[25]
PIN la_data_out[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1102.710 0.000 1102.990 2.400 ;
END
END la_data_out[26]
PIN la_data_out[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1120.650 0.000 1120.930 2.400 ;
END
END la_data_out[27]
PIN la_data_out[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1138.590 0.000 1138.870 2.400 ;
END
END la_data_out[28]
PIN la_data_out[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1156.530 0.000 1156.810 2.400 ;
END
END la_data_out[29]
PIN la_data_out[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 674.450 0.000 674.730 2.400 ;
END
END la_data_out[2]
PIN la_data_out[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1174.010 0.000 1174.290 2.400 ;
END
END la_data_out[30]
PIN la_data_out[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1191.950 0.000 1192.230 2.400 ;
END
END la_data_out[31]
PIN la_data_out[32]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1209.890 0.000 1210.170 2.400 ;
END
END la_data_out[32]
PIN la_data_out[33]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1227.830 0.000 1228.110 2.400 ;
END
END la_data_out[33]
PIN la_data_out[34]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1245.770 0.000 1246.050 2.400 ;
END
END la_data_out[34]
PIN la_data_out[35]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1263.250 0.000 1263.530 2.400 ;
END
END la_data_out[35]
PIN la_data_out[36]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1281.190 0.000 1281.470 2.400 ;
END
END la_data_out[36]
PIN la_data_out[37]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1299.130 0.000 1299.410 2.400 ;
END
END la_data_out[37]
PIN la_data_out[38]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1317.070 0.000 1317.350 2.400 ;
END
END la_data_out[38]
PIN la_data_out[39]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1335.010 0.000 1335.290 2.400 ;
END
END la_data_out[39]
PIN la_data_out[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 692.390 0.000 692.670 2.400 ;
END
END la_data_out[3]
PIN la_data_out[40]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1352.490 0.000 1352.770 2.400 ;
END
END la_data_out[40]
PIN la_data_out[41]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1370.430 0.000 1370.710 2.400 ;
END
END la_data_out[41]
PIN la_data_out[42]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1388.370 0.000 1388.650 2.400 ;
END
END la_data_out[42]
PIN la_data_out[43]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1406.310 0.000 1406.590 2.400 ;
END
END la_data_out[43]
PIN la_data_out[44]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1423.790 0.000 1424.070 2.400 ;
END
END la_data_out[44]
PIN la_data_out[45]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1441.730 0.000 1442.010 2.400 ;
END
END la_data_out[45]
PIN la_data_out[46]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1459.670 0.000 1459.950 2.400 ;
END
END la_data_out[46]
PIN la_data_out[47]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1477.610 0.000 1477.890 2.400 ;
END
END la_data_out[47]
PIN la_data_out[48]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1495.550 0.000 1495.830 2.400 ;
END
END la_data_out[48]
PIN la_data_out[49]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1513.030 0.000 1513.310 2.400 ;
END
END la_data_out[49]
PIN la_data_out[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 710.330 0.000 710.610 2.400 ;
END
END la_data_out[4]
PIN la_data_out[50]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1530.970 0.000 1531.250 2.400 ;
END
END la_data_out[50]
PIN la_data_out[51]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1548.910 0.000 1549.190 2.400 ;
END
END la_data_out[51]
PIN la_data_out[52]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1566.850 0.000 1567.130 2.400 ;
END
END la_data_out[52]
PIN la_data_out[53]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1584.790 0.000 1585.070 2.400 ;
END
END la_data_out[53]
PIN la_data_out[54]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1602.270 0.000 1602.550 2.400 ;
END
END la_data_out[54]
PIN la_data_out[55]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1620.210 0.000 1620.490 2.400 ;
END
END la_data_out[55]
PIN la_data_out[56]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1638.150 0.000 1638.430 2.400 ;
END
END la_data_out[56]
PIN la_data_out[57]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1656.090 0.000 1656.370 2.400 ;
END
END la_data_out[57]
PIN la_data_out[58]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1673.570 0.000 1673.850 2.400 ;
END
END la_data_out[58]
PIN la_data_out[59]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1691.510 0.000 1691.790 2.400 ;
END
END la_data_out[59]
PIN la_data_out[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 728.270 0.000 728.550 2.400 ;
END
END la_data_out[5]
PIN la_data_out[60]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1709.450 0.000 1709.730 2.400 ;
END
END la_data_out[60]
PIN la_data_out[61]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1727.390 0.000 1727.670 2.400 ;
END
END la_data_out[61]
PIN la_data_out[62]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1745.330 0.000 1745.610 2.400 ;
END
END la_data_out[62]
PIN la_data_out[63]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1762.810 0.000 1763.090 2.400 ;
END
END la_data_out[63]
PIN la_data_out[64]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1780.750 0.000 1781.030 2.400 ;
END
END la_data_out[64]
PIN la_data_out[65]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1798.690 0.000 1798.970 2.400 ;
END
END la_data_out[65]
PIN la_data_out[66]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1816.630 0.000 1816.910 2.400 ;
END
END la_data_out[66]
PIN la_data_out[67]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1834.570 0.000 1834.850 2.400 ;
END
END la_data_out[67]
PIN la_data_out[68]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1852.050 0.000 1852.330 2.400 ;
END
END la_data_out[68]
PIN la_data_out[69]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1869.990 0.000 1870.270 2.400 ;
END
END la_data_out[69]
PIN la_data_out[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 746.210 0.000 746.490 2.400 ;
END
END la_data_out[6]
PIN la_data_out[70]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1887.930 0.000 1888.210 2.400 ;
END
END la_data_out[70]
PIN la_data_out[71]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1905.870 0.000 1906.150 2.400 ;
END
END la_data_out[71]
PIN la_data_out[72]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1923.350 0.000 1923.630 2.400 ;
END
END la_data_out[72]
PIN la_data_out[73]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1941.290 0.000 1941.570 2.400 ;
END
END la_data_out[73]
PIN la_data_out[74]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1959.230 0.000 1959.510 2.400 ;
END
END la_data_out[74]
PIN la_data_out[75]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1977.170 0.000 1977.450 2.400 ;
END
END la_data_out[75]
PIN la_data_out[76]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 1995.110 0.000 1995.390 2.400 ;
END
END la_data_out[76]
PIN la_data_out[77]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2012.590 0.000 2012.870 2.400 ;
END
END la_data_out[77]
PIN la_data_out[78]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2030.530 0.000 2030.810 2.400 ;
END
END la_data_out[78]
PIN la_data_out[79]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2048.470 0.000 2048.750 2.400 ;
END
END la_data_out[79]
PIN la_data_out[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 763.690 0.000 763.970 2.400 ;
END
END la_data_out[7]
PIN la_data_out[80]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2066.410 0.000 2066.690 2.400 ;
END
END la_data_out[80]
PIN la_data_out[81]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2084.350 0.000 2084.630 2.400 ;
END
END la_data_out[81]
PIN la_data_out[82]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2101.830 0.000 2102.110 2.400 ;
END
END la_data_out[82]
PIN la_data_out[83]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2119.770 0.000 2120.050 2.400 ;
END
END la_data_out[83]
PIN la_data_out[84]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2137.710 0.000 2137.990 2.400 ;
END
END la_data_out[84]
PIN la_data_out[85]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2155.650 0.000 2155.930 2.400 ;
END
END la_data_out[85]
PIN la_data_out[86]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2173.130 0.000 2173.410 2.400 ;
END
END la_data_out[86]
PIN la_data_out[87]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2191.070 0.000 2191.350 2.400 ;
END
END la_data_out[87]
PIN la_data_out[88]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2209.010 0.000 2209.290 2.400 ;
END
END la_data_out[88]
PIN la_data_out[89]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2226.950 0.000 2227.230 2.400 ;
END
END la_data_out[89]
PIN la_data_out[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 781.630 0.000 781.910 2.400 ;
END
END la_data_out[8]
PIN la_data_out[90]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2244.890 0.000 2245.170 2.400 ;
END
END la_data_out[90]
PIN la_data_out[91]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2262.370 0.000 2262.650 2.400 ;
END
END la_data_out[91]
PIN la_data_out[92]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2280.310 0.000 2280.590 2.400 ;
END
END la_data_out[92]
PIN la_data_out[93]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2298.250 0.000 2298.530 2.400 ;
END
END la_data_out[93]
PIN la_data_out[94]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2316.190 0.000 2316.470 2.400 ;
END
END la_data_out[94]
PIN la_data_out[95]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2334.130 0.000 2334.410 2.400 ;
END
END la_data_out[95]
PIN la_data_out[96]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2351.610 0.000 2351.890 2.400 ;
END
END la_data_out[96]
PIN la_data_out[97]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2369.550 0.000 2369.830 2.400 ;
END
END la_data_out[97]
PIN la_data_out[98]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2387.490 0.000 2387.770 2.400 ;
END
END la_data_out[98]
PIN la_data_out[99]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 2405.430 0.000 2405.710 2.400 ;
END
END la_data_out[99]
PIN la_data_out[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 799.570 0.000 799.850 2.400 ;
END
END la_data_out[9]
PIN la_oen[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 645.010 0.000 645.290 2.400 ;
END
END la_oen[0]
PIN la_oen[100]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2428.890 0.000 2429.170 2.400 ;
END
END la_oen[100]
PIN la_oen[101]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2446.830 0.000 2447.110 2.400 ;
END
END la_oen[101]
PIN la_oen[102]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2464.770 0.000 2465.050 2.400 ;
END
END la_oen[102]
PIN la_oen[103]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2482.710 0.000 2482.990 2.400 ;
END
END la_oen[103]
PIN la_oen[104]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2500.650 0.000 2500.930 2.400 ;
END
END la_oen[104]
PIN la_oen[105]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2518.130 0.000 2518.410 2.400 ;
END
END la_oen[105]
PIN la_oen[106]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2536.070 0.000 2536.350 2.400 ;
END
END la_oen[106]
PIN la_oen[107]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2554.010 0.000 2554.290 2.400 ;
END
END la_oen[107]
PIN la_oen[108]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2571.950 0.000 2572.230 2.400 ;
END
END la_oen[108]
PIN la_oen[109]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2589.430 0.000 2589.710 2.400 ;
END
END la_oen[109]
PIN la_oen[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 823.490 0.000 823.770 2.400 ;
END
END la_oen[10]
PIN la_oen[110]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2607.370 0.000 2607.650 2.400 ;
END
END la_oen[110]
PIN la_oen[111]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2625.310 0.000 2625.590 2.400 ;
END
END la_oen[111]
PIN la_oen[112]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2643.250 0.000 2643.530 2.400 ;
END
END la_oen[112]
PIN la_oen[113]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2661.190 0.000 2661.470 2.400 ;
END
END la_oen[113]
PIN la_oen[114]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2678.670 0.000 2678.950 2.400 ;
END
END la_oen[114]
PIN la_oen[115]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2696.610 0.000 2696.890 2.400 ;
END
END la_oen[115]
PIN la_oen[116]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2714.550 0.000 2714.830 2.400 ;
END
END la_oen[116]
PIN la_oen[117]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2732.490 0.000 2732.770 2.400 ;
END
END la_oen[117]
PIN la_oen[118]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2750.430 0.000 2750.710 2.400 ;
END
END la_oen[118]
PIN la_oen[119]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2767.910 0.000 2768.190 2.400 ;
END
END la_oen[119]
PIN la_oen[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 840.970 0.000 841.250 2.400 ;
END
END la_oen[11]
PIN la_oen[120]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2785.850 0.000 2786.130 2.400 ;
END
END la_oen[120]
PIN la_oen[121]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2803.790 0.000 2804.070 2.400 ;
END
END la_oen[121]
PIN la_oen[122]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2821.730 0.000 2822.010 2.400 ;
END
END la_oen[122]
PIN la_oen[123]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2839.210 0.000 2839.490 2.400 ;
END
END la_oen[123]
PIN la_oen[124]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2857.150 0.000 2857.430 2.400 ;
END
END la_oen[124]
PIN la_oen[125]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2875.090 0.000 2875.370 2.400 ;
END
END la_oen[125]
PIN la_oen[126]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2893.030 0.000 2893.310 2.400 ;
END
END la_oen[126]
PIN la_oen[127]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2910.970 0.000 2911.250 2.400 ;
END
END la_oen[127]
PIN la_oen[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 858.910 0.000 859.190 2.400 ;
END
END la_oen[12]
PIN la_oen[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 876.850 0.000 877.130 2.400 ;
END
END la_oen[13]
PIN la_oen[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 894.790 0.000 895.070 2.400 ;
END
END la_oen[14]
PIN la_oen[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 912.730 0.000 913.010 2.400 ;
END
END la_oen[15]
PIN la_oen[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 930.210 0.000 930.490 2.400 ;
END
END la_oen[16]
PIN la_oen[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 948.150 0.000 948.430 2.400 ;
END
END la_oen[17]
PIN la_oen[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 966.090 0.000 966.370 2.400 ;
END
END la_oen[18]
PIN la_oen[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 984.030 0.000 984.310 2.400 ;
END
END la_oen[19]
PIN la_oen[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 662.950 0.000 663.230 2.400 ;
END
END la_oen[1]
PIN la_oen[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1001.970 0.000 1002.250 2.400 ;
END
END la_oen[20]
PIN la_oen[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1019.450 0.000 1019.730 2.400 ;
END
END la_oen[21]
PIN la_oen[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1037.390 0.000 1037.670 2.400 ;
END
END la_oen[22]
PIN la_oen[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1055.330 0.000 1055.610 2.400 ;
END
END la_oen[23]
PIN la_oen[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1073.270 0.000 1073.550 2.400 ;
END
END la_oen[24]
PIN la_oen[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1090.750 0.000 1091.030 2.400 ;
END
END la_oen[25]
PIN la_oen[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1108.690 0.000 1108.970 2.400 ;
END
END la_oen[26]
PIN la_oen[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1126.630 0.000 1126.910 2.400 ;
END
END la_oen[27]
PIN la_oen[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1144.570 0.000 1144.850 2.400 ;
END
END la_oen[28]
PIN la_oen[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1162.510 0.000 1162.790 2.400 ;
END
END la_oen[29]
PIN la_oen[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 680.430 0.000 680.710 2.400 ;
END
END la_oen[2]
PIN la_oen[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1179.990 0.000 1180.270 2.400 ;
END
END la_oen[30]
PIN la_oen[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1197.930 0.000 1198.210 2.400 ;
END
END la_oen[31]
PIN la_oen[32]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1215.870 0.000 1216.150 2.400 ;
END
END la_oen[32]
PIN la_oen[33]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1233.810 0.000 1234.090 2.400 ;
END
END la_oen[33]
PIN la_oen[34]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1251.750 0.000 1252.030 2.400 ;
END
END la_oen[34]
PIN la_oen[35]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1269.230 0.000 1269.510 2.400 ;
END
END la_oen[35]
PIN la_oen[36]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1287.170 0.000 1287.450 2.400 ;
END
END la_oen[36]
PIN la_oen[37]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1305.110 0.000 1305.390 2.400 ;
END
END la_oen[37]
PIN la_oen[38]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1323.050 0.000 1323.330 2.400 ;
END
END la_oen[38]
PIN la_oen[39]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1340.530 0.000 1340.810 2.400 ;
END
END la_oen[39]
PIN la_oen[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 698.370 0.000 698.650 2.400 ;
END
END la_oen[3]
PIN la_oen[40]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1358.470 0.000 1358.750 2.400 ;
END
END la_oen[40]
PIN la_oen[41]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1376.410 0.000 1376.690 2.400 ;
END
END la_oen[41]
PIN la_oen[42]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1394.350 0.000 1394.630 2.400 ;
END
END la_oen[42]
PIN la_oen[43]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1412.290 0.000 1412.570 2.400 ;
END
END la_oen[43]
PIN la_oen[44]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1429.770 0.000 1430.050 2.400 ;
END
END la_oen[44]
PIN la_oen[45]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1447.710 0.000 1447.990 2.400 ;
END
END la_oen[45]
PIN la_oen[46]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1465.650 0.000 1465.930 2.400 ;
END
END la_oen[46]
PIN la_oen[47]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1483.590 0.000 1483.870 2.400 ;
END
END la_oen[47]
PIN la_oen[48]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1501.530 0.000 1501.810 2.400 ;
END
END la_oen[48]
PIN la_oen[49]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1519.010 0.000 1519.290 2.400 ;
END
END la_oen[49]
PIN la_oen[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 716.310 0.000 716.590 2.400 ;
END
END la_oen[4]
PIN la_oen[50]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1536.950 0.000 1537.230 2.400 ;
END
END la_oen[50]
PIN la_oen[51]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1554.890 0.000 1555.170 2.400 ;
END
END la_oen[51]
PIN la_oen[52]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1572.830 0.000 1573.110 2.400 ;
END
END la_oen[52]
PIN la_oen[53]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1590.310 0.000 1590.590 2.400 ;
END
END la_oen[53]
PIN la_oen[54]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1608.250 0.000 1608.530 2.400 ;
END
END la_oen[54]
PIN la_oen[55]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1626.190 0.000 1626.470 2.400 ;
END
END la_oen[55]
PIN la_oen[56]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1644.130 0.000 1644.410 2.400 ;
END
END la_oen[56]
PIN la_oen[57]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1662.070 0.000 1662.350 2.400 ;
END
END la_oen[57]
PIN la_oen[58]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1679.550 0.000 1679.830 2.400 ;
END
END la_oen[58]
PIN la_oen[59]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1697.490 0.000 1697.770 2.400 ;
END
END la_oen[59]
PIN la_oen[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 734.250 0.000 734.530 2.400 ;
END
END la_oen[5]
PIN la_oen[60]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1715.430 0.000 1715.710 2.400 ;
END
END la_oen[60]
PIN la_oen[61]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1733.370 0.000 1733.650 2.400 ;
END
END la_oen[61]
PIN la_oen[62]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1751.310 0.000 1751.590 2.400 ;
END
END la_oen[62]
PIN la_oen[63]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1768.790 0.000 1769.070 2.400 ;
END
END la_oen[63]
PIN la_oen[64]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1786.730 0.000 1787.010 2.400 ;
END
END la_oen[64]
PIN la_oen[65]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1804.670 0.000 1804.950 2.400 ;
END
END la_oen[65]
PIN la_oen[66]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1822.610 0.000 1822.890 2.400 ;
END
END la_oen[66]
PIN la_oen[67]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1840.090 0.000 1840.370 2.400 ;
END
END la_oen[67]
PIN la_oen[68]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1858.030 0.000 1858.310 2.400 ;
END
END la_oen[68]
PIN la_oen[69]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1875.970 0.000 1876.250 2.400 ;
END
END la_oen[69]
PIN la_oen[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 752.190 0.000 752.470 2.400 ;
END
END la_oen[6]
PIN la_oen[70]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1893.910 0.000 1894.190 2.400 ;
END
END la_oen[70]
PIN la_oen[71]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1911.850 0.000 1912.130 2.400 ;
END
END la_oen[71]
PIN la_oen[72]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1929.330 0.000 1929.610 2.400 ;
END
END la_oen[72]
PIN la_oen[73]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1947.270 0.000 1947.550 2.400 ;
END
END la_oen[73]
PIN la_oen[74]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1965.210 0.000 1965.490 2.400 ;
END
END la_oen[74]
PIN la_oen[75]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 1983.150 0.000 1983.430 2.400 ;
END
END la_oen[75]
PIN la_oen[76]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2001.090 0.000 2001.370 2.400 ;
END
END la_oen[76]
PIN la_oen[77]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2018.570 0.000 2018.850 2.400 ;
END
END la_oen[77]
PIN la_oen[78]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2036.510 0.000 2036.790 2.400 ;
END
END la_oen[78]
PIN la_oen[79]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2054.450 0.000 2054.730 2.400 ;
END
END la_oen[79]
PIN la_oen[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 769.670 0.000 769.950 2.400 ;
END
END la_oen[7]
PIN la_oen[80]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2072.390 0.000 2072.670 2.400 ;
END
END la_oen[80]
PIN la_oen[81]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2089.870 0.000 2090.150 2.400 ;
END
END la_oen[81]
PIN la_oen[82]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2107.810 0.000 2108.090 2.400 ;
END
END la_oen[82]
PIN la_oen[83]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2125.750 0.000 2126.030 2.400 ;
END
END la_oen[83]
PIN la_oen[84]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2143.690 0.000 2143.970 2.400 ;
END
END la_oen[84]
PIN la_oen[85]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2161.630 0.000 2161.910 2.400 ;
END
END la_oen[85]
PIN la_oen[86]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2179.110 0.000 2179.390 2.400 ;
END
END la_oen[86]
PIN la_oen[87]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2197.050 0.000 2197.330 2.400 ;
END
END la_oen[87]
PIN la_oen[88]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2214.990 0.000 2215.270 2.400 ;
END
END la_oen[88]
PIN la_oen[89]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2232.930 0.000 2233.210 2.400 ;
END
END la_oen[89]
PIN la_oen[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 787.610 0.000 787.890 2.400 ;
END
END la_oen[8]
PIN la_oen[90]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2250.870 0.000 2251.150 2.400 ;
END
END la_oen[90]
PIN la_oen[91]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2268.350 0.000 2268.630 2.400 ;
END
END la_oen[91]
PIN la_oen[92]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2286.290 0.000 2286.570 2.400 ;
END
END la_oen[92]
PIN la_oen[93]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2304.230 0.000 2304.510 2.400 ;
END
END la_oen[93]
PIN la_oen[94]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2322.170 0.000 2322.450 2.400 ;
END
END la_oen[94]
PIN la_oen[95]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2339.650 0.000 2339.930 2.400 ;
END
END la_oen[95]
PIN la_oen[96]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2357.590 0.000 2357.870 2.400 ;
END
END la_oen[96]
PIN la_oen[97]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2375.530 0.000 2375.810 2.400 ;
END
END la_oen[97]
PIN la_oen[98]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2393.470 0.000 2393.750 2.400 ;
END
END la_oen[98]
PIN la_oen[99]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2411.410 0.000 2411.690 2.400 ;
END
END la_oen[99]
PIN la_oen[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 805.550 0.000 805.830 2.400 ;
END
END la_oen[9]
PIN user_clock2
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2916.950 0.000 2917.230 2.400 ;
END
END user_clock2
PIN wb_clk_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 2.850 0.000 3.130 2.400 ;
END
END wb_clk_i
PIN wb_rst_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 8.370 0.000 8.650 2.400 ;
END
END wb_rst_i
PIN wbs_ack_o
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 14.350 0.000 14.630 2.400 ;
END
END wbs_ack_o
PIN wbs_adr_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 38.270 0.000 38.550 2.400 ;
END
END wbs_adr_i[0]
PIN wbs_adr_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 240.670 0.000 240.950 2.400 ;
END
END wbs_adr_i[10]
PIN wbs_adr_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 258.150 0.000 258.430 2.400 ;
END
END wbs_adr_i[11]
PIN wbs_adr_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 276.090 0.000 276.370 2.400 ;
END
END wbs_adr_i[12]
PIN wbs_adr_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 294.030 0.000 294.310 2.400 ;
END
END wbs_adr_i[13]
PIN wbs_adr_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 311.970 0.000 312.250 2.400 ;
END
END wbs_adr_i[14]
PIN wbs_adr_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 329.910 0.000 330.190 2.400 ;
END
END wbs_adr_i[15]
PIN wbs_adr_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 347.390 0.000 347.670 2.400 ;
END
END wbs_adr_i[16]
PIN wbs_adr_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 365.330 0.000 365.610 2.400 ;
END
END wbs_adr_i[17]
PIN wbs_adr_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 383.270 0.000 383.550 2.400 ;
END
END wbs_adr_i[18]
PIN wbs_adr_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 401.210 0.000 401.490 2.400 ;
END
END wbs_adr_i[19]
PIN wbs_adr_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 62.190 0.000 62.470 2.400 ;
END
END wbs_adr_i[1]
PIN wbs_adr_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 419.150 0.000 419.430 2.400 ;
END
END wbs_adr_i[20]
PIN wbs_adr_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 436.630 0.000 436.910 2.400 ;
END
END wbs_adr_i[21]
PIN wbs_adr_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 454.570 0.000 454.850 2.400 ;
END
END wbs_adr_i[22]
PIN wbs_adr_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 472.510 0.000 472.790 2.400 ;
END
END wbs_adr_i[23]
PIN wbs_adr_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 490.450 0.000 490.730 2.400 ;
END
END wbs_adr_i[24]
PIN wbs_adr_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 507.930 0.000 508.210 2.400 ;
END
END wbs_adr_i[25]
PIN wbs_adr_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 525.870 0.000 526.150 2.400 ;
END
END wbs_adr_i[26]
PIN wbs_adr_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 543.810 0.000 544.090 2.400 ;
END
END wbs_adr_i[27]
PIN wbs_adr_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 561.750 0.000 562.030 2.400 ;
END
END wbs_adr_i[28]
PIN wbs_adr_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 579.690 0.000 579.970 2.400 ;
END
END wbs_adr_i[29]
PIN wbs_adr_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 86.110 0.000 86.390 2.400 ;
END
END wbs_adr_i[2]
PIN wbs_adr_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 597.170 0.000 597.450 2.400 ;
END
END wbs_adr_i[30]
PIN wbs_adr_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 615.110 0.000 615.390 2.400 ;
END
END wbs_adr_i[31]
PIN wbs_adr_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 109.570 0.000 109.850 2.400 ;
END
END wbs_adr_i[3]
PIN wbs_adr_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 133.490 0.000 133.770 2.400 ;
END
END wbs_adr_i[4]
PIN wbs_adr_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 151.430 0.000 151.710 2.400 ;
END
END wbs_adr_i[5]
PIN wbs_adr_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 169.370 0.000 169.650 2.400 ;
END
END wbs_adr_i[6]
PIN wbs_adr_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 186.850 0.000 187.130 2.400 ;
END
END wbs_adr_i[7]
PIN wbs_adr_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 204.790 0.000 205.070 2.400 ;
END
END wbs_adr_i[8]
PIN wbs_adr_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 222.730 0.000 223.010 2.400 ;
END
END wbs_adr_i[9]
PIN wbs_cyc_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 20.330 0.000 20.610 2.400 ;
END
END wbs_cyc_i
PIN wbs_dat_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 44.250 0.000 44.530 2.400 ;
END
END wbs_dat_i[0]
PIN wbs_dat_i[10]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 246.650 0.000 246.930 2.400 ;
END
END wbs_dat_i[10]
PIN wbs_dat_i[11]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 264.130 0.000 264.410 2.400 ;
END
END wbs_dat_i[11]
PIN wbs_dat_i[12]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 282.070 0.000 282.350 2.400 ;
END
END wbs_dat_i[12]
PIN wbs_dat_i[13]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 300.010 0.000 300.290 2.400 ;
END
END wbs_dat_i[13]
PIN wbs_dat_i[14]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 317.950 0.000 318.230 2.400 ;
END
END wbs_dat_i[14]
PIN wbs_dat_i[15]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 335.890 0.000 336.170 2.400 ;
END
END wbs_dat_i[15]
PIN wbs_dat_i[16]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 353.370 0.000 353.650 2.400 ;
END
END wbs_dat_i[16]
PIN wbs_dat_i[17]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 371.310 0.000 371.590 2.400 ;
END
END wbs_dat_i[17]
PIN wbs_dat_i[18]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 389.250 0.000 389.530 2.400 ;
END
END wbs_dat_i[18]
PIN wbs_dat_i[19]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 407.190 0.000 407.470 2.400 ;
END
END wbs_dat_i[19]
PIN wbs_dat_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 68.170 0.000 68.450 2.400 ;
END
END wbs_dat_i[1]
PIN wbs_dat_i[20]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 424.670 0.000 424.950 2.400 ;
END
END wbs_dat_i[20]
PIN wbs_dat_i[21]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 442.610 0.000 442.890 2.400 ;
END
END wbs_dat_i[21]
PIN wbs_dat_i[22]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 460.550 0.000 460.830 2.400 ;
END
END wbs_dat_i[22]
PIN wbs_dat_i[23]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 478.490 0.000 478.770 2.400 ;
END
END wbs_dat_i[23]
PIN wbs_dat_i[24]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 496.430 0.000 496.710 2.400 ;
END
END wbs_dat_i[24]
PIN wbs_dat_i[25]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 513.910 0.000 514.190 2.400 ;
END
END wbs_dat_i[25]
PIN wbs_dat_i[26]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 531.850 0.000 532.130 2.400 ;
END
END wbs_dat_i[26]
PIN wbs_dat_i[27]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 549.790 0.000 550.070 2.400 ;
END
END wbs_dat_i[27]
PIN wbs_dat_i[28]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 567.730 0.000 568.010 2.400 ;
END
END wbs_dat_i[28]
PIN wbs_dat_i[29]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 585.670 0.000 585.950 2.400 ;
END
END wbs_dat_i[29]
PIN wbs_dat_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 91.630 0.000 91.910 2.400 ;
END
END wbs_dat_i[2]
PIN wbs_dat_i[30]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 603.150 0.000 603.430 2.400 ;
END
END wbs_dat_i[30]
PIN wbs_dat_i[31]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 621.090 0.000 621.370 2.400 ;
END
END wbs_dat_i[31]
PIN wbs_dat_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 115.550 0.000 115.830 2.400 ;
END
END wbs_dat_i[3]
PIN wbs_dat_i[4]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 139.470 0.000 139.750 2.400 ;
END
END wbs_dat_i[4]
PIN wbs_dat_i[5]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 157.410 0.000 157.690 2.400 ;
END
END wbs_dat_i[5]
PIN wbs_dat_i[6]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 174.890 0.000 175.170 2.400 ;
END
END wbs_dat_i[6]
PIN wbs_dat_i[7]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 192.830 0.000 193.110 2.400 ;
END
END wbs_dat_i[7]
PIN wbs_dat_i[8]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 210.770 0.000 211.050 2.400 ;
END
END wbs_dat_i[8]
PIN wbs_dat_i[9]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 228.710 0.000 228.990 2.400 ;
END
END wbs_dat_i[9]
PIN wbs_dat_o[0]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 50.230 0.000 50.510 2.400 ;
END
END wbs_dat_o[0]
PIN wbs_dat_o[10]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 252.630 0.000 252.910 2.400 ;
END
END wbs_dat_o[10]
PIN wbs_dat_o[11]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 270.110 0.000 270.390 2.400 ;
END
END wbs_dat_o[11]
PIN wbs_dat_o[12]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 288.050 0.000 288.330 2.400 ;
END
END wbs_dat_o[12]
PIN wbs_dat_o[13]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 305.990 0.000 306.270 2.400 ;
END
END wbs_dat_o[13]
PIN wbs_dat_o[14]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 323.930 0.000 324.210 2.400 ;
END
END wbs_dat_o[14]
PIN wbs_dat_o[15]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 341.410 0.000 341.690 2.400 ;
END
END wbs_dat_o[15]
PIN wbs_dat_o[16]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 359.350 0.000 359.630 2.400 ;
END
END wbs_dat_o[16]
PIN wbs_dat_o[17]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 377.290 0.000 377.570 2.400 ;
END
END wbs_dat_o[17]
PIN wbs_dat_o[18]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 395.230 0.000 395.510 2.400 ;
END
END wbs_dat_o[18]
PIN wbs_dat_o[19]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 413.170 0.000 413.450 2.400 ;
END
END wbs_dat_o[19]
PIN wbs_dat_o[1]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 74.150 0.000 74.430 2.400 ;
END
END wbs_dat_o[1]
PIN wbs_dat_o[20]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 430.650 0.000 430.930 2.400 ;
END
END wbs_dat_o[20]
PIN wbs_dat_o[21]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 448.590 0.000 448.870 2.400 ;
END
END wbs_dat_o[21]
PIN wbs_dat_o[22]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 466.530 0.000 466.810 2.400 ;
END
END wbs_dat_o[22]
PIN wbs_dat_o[23]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 484.470 0.000 484.750 2.400 ;
END
END wbs_dat_o[23]
PIN wbs_dat_o[24]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 502.410 0.000 502.690 2.400 ;
END
END wbs_dat_o[24]
PIN wbs_dat_o[25]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 519.890 0.000 520.170 2.400 ;
END
END wbs_dat_o[25]
PIN wbs_dat_o[26]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 537.830 0.000 538.110 2.400 ;
END
END wbs_dat_o[26]
PIN wbs_dat_o[27]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 555.770 0.000 556.050 2.400 ;
END
END wbs_dat_o[27]
PIN wbs_dat_o[28]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 573.710 0.000 573.990 2.400 ;
END
END wbs_dat_o[28]
PIN wbs_dat_o[29]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 591.190 0.000 591.470 2.400 ;
END
END wbs_dat_o[29]
PIN wbs_dat_o[2]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 97.610 0.000 97.890 2.400 ;
END
END wbs_dat_o[2]
PIN wbs_dat_o[30]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 609.130 0.000 609.410 2.400 ;
END
END wbs_dat_o[30]
PIN wbs_dat_o[31]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 627.070 0.000 627.350 2.400 ;
END
END wbs_dat_o[31]
PIN wbs_dat_o[3]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 121.530 0.000 121.810 2.400 ;
END
END wbs_dat_o[3]
PIN wbs_dat_o[4]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 145.450 0.000 145.730 2.400 ;
END
END wbs_dat_o[4]
PIN wbs_dat_o[5]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 163.390 0.000 163.670 2.400 ;
END
END wbs_dat_o[5]
PIN wbs_dat_o[6]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 180.870 0.000 181.150 2.400 ;
END
END wbs_dat_o[6]
PIN wbs_dat_o[7]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 198.810 0.000 199.090 2.400 ;
END
END wbs_dat_o[7]
PIN wbs_dat_o[8]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 216.750 0.000 217.030 2.400 ;
END
END wbs_dat_o[8]
PIN wbs_dat_o[9]
DIRECTION OUTPUT TRISTATE ;
PORT
LAYER met2 ;
RECT 234.690 0.000 234.970 2.400 ;
END
END wbs_dat_o[9]
PIN wbs_sel_i[0]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 56.210 0.000 56.490 2.400 ;
END
END wbs_sel_i[0]
PIN wbs_sel_i[1]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 80.130 0.000 80.410 2.400 ;
END
END wbs_sel_i[1]
PIN wbs_sel_i[2]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 103.590 0.000 103.870 2.400 ;
END
END wbs_sel_i[2]
PIN wbs_sel_i[3]
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 127.510 0.000 127.790 2.400 ;
END
END wbs_sel_i[3]
PIN wbs_stb_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 26.310 0.000 26.590 2.400 ;
END
END wbs_stb_i
PIN wbs_we_i
DIRECTION INPUT ;
PORT
LAYER met2 ;
RECT 32.290 0.000 32.570 2.400 ;
END
END wbs_we_i
PIN vccd1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT 1.520 6.880 3.520 3512.800 ;
RECT 2916.100 6.880 2918.100 3512.800 ;
LAYER M4M5_PR_C ;
RECT 1.930 3511.210 3.110 3512.390 ;
RECT 1.930 3396.900 3.110 3398.080 ;
RECT 1.930 3243.720 3.110 3244.900 ;
RECT 1.930 3090.540 3.110 3091.720 ;
RECT 1.930 2937.360 3.110 2938.540 ;
RECT 1.930 2784.180 3.110 2785.360 ;
RECT 1.930 2631.000 3.110 2632.180 ;
RECT 1.930 2477.820 3.110 2479.000 ;
RECT 1.930 2324.640 3.110 2325.820 ;
RECT 1.930 2171.460 3.110 2172.640 ;
RECT 1.930 2018.280 3.110 2019.460 ;
RECT 1.930 1865.100 3.110 1866.280 ;
RECT 1.930 1711.920 3.110 1713.100 ;
RECT 1.930 1558.740 3.110 1559.920 ;
RECT 1.930 1405.560 3.110 1406.740 ;
RECT 1.930 1252.380 3.110 1253.560 ;
RECT 1.930 1099.200 3.110 1100.380 ;
RECT 1.930 946.020 3.110 947.200 ;
RECT 1.930 792.840 3.110 794.020 ;
RECT 1.930 639.660 3.110 640.840 ;
RECT 1.930 486.480 3.110 487.660 ;
RECT 1.930 333.300 3.110 334.480 ;
RECT 1.930 180.120 3.110 181.300 ;
RECT 1.930 26.940 3.110 28.120 ;
RECT 1.930 7.290 3.110 8.470 ;
RECT 2916.510 3511.210 2917.690 3512.390 ;
RECT 2916.510 3396.900 2917.690 3398.080 ;
RECT 2916.510 3243.720 2917.690 3244.900 ;
RECT 2916.510 3090.540 2917.690 3091.720 ;
RECT 2916.510 2937.360 2917.690 2938.540 ;
RECT 2916.510 2784.180 2917.690 2785.360 ;
RECT 2916.510 2631.000 2917.690 2632.180 ;
RECT 2916.510 2477.820 2917.690 2479.000 ;
RECT 2916.510 2324.640 2917.690 2325.820 ;
RECT 2916.510 2171.460 2917.690 2172.640 ;
RECT 2916.510 2018.280 2917.690 2019.460 ;
RECT 2916.510 1865.100 2917.690 1866.280 ;
RECT 2916.510 1711.920 2917.690 1713.100 ;
RECT 2916.510 1558.740 2917.690 1559.920 ;
RECT 2916.510 1405.560 2917.690 1406.740 ;
RECT 2916.510 1252.380 2917.690 1253.560 ;
RECT 2916.510 1099.200 2917.690 1100.380 ;
RECT 2916.510 946.020 2917.690 947.200 ;
RECT 2916.510 792.840 2917.690 794.020 ;
RECT 2916.510 639.660 2917.690 640.840 ;
RECT 2916.510 486.480 2917.690 487.660 ;
RECT 2916.510 333.300 2917.690 334.480 ;
RECT 2916.510 180.120 2917.690 181.300 ;
RECT 2916.510 26.940 2917.690 28.120 ;
RECT 2916.510 7.290 2917.690 8.470 ;
LAYER met5 ;
RECT 1.520 3510.800 2918.100 3512.800 ;
RECT 1.520 3396.690 3.520 3398.290 ;
RECT 2916.100 3396.690 2918.100 3398.290 ;
RECT 1.520 3243.510 3.520 3245.110 ;
RECT 2916.100 3243.510 2918.100 3245.110 ;
RECT 1.520 3090.330 3.520 3091.930 ;
RECT 2916.100 3090.330 2918.100 3091.930 ;
RECT 1.520 2937.150 3.520 2938.750 ;
RECT 2916.100 2937.150 2918.100 2938.750 ;
RECT 1.520 2783.970 3.520 2785.570 ;
RECT 2916.100 2783.970 2918.100 2785.570 ;
RECT 1.520 2630.790 3.520 2632.390 ;
RECT 2916.100 2630.790 2918.100 2632.390 ;
RECT 1.520 2477.610 3.520 2479.210 ;
RECT 2916.100 2477.610 2918.100 2479.210 ;
RECT 1.520 2324.430 3.520 2326.030 ;
RECT 2916.100 2324.430 2918.100 2326.030 ;
RECT 1.520 2171.250 3.520 2172.850 ;
RECT 2916.100 2171.250 2918.100 2172.850 ;
RECT 1.520 2018.070 3.520 2019.670 ;
RECT 2916.100 2018.070 2918.100 2019.670 ;
RECT 1.520 1864.890 3.520 1866.490 ;
RECT 2916.100 1864.890 2918.100 1866.490 ;
RECT 1.520 1711.710 3.520 1713.310 ;
RECT 2916.100 1711.710 2918.100 1713.310 ;
RECT 1.520 1558.530 3.520 1560.130 ;
RECT 2916.100 1558.530 2918.100 1560.130 ;
RECT 1.520 1405.350 3.520 1406.950 ;
RECT 2916.100 1405.350 2918.100 1406.950 ;
RECT 1.520 1252.170 3.520 1253.770 ;
RECT 2916.100 1252.170 2918.100 1253.770 ;
RECT 1.520 1098.990 3.520 1100.590 ;
RECT 2916.100 1098.990 2918.100 1100.590 ;
RECT 1.520 945.810 3.520 947.410 ;
RECT 2916.100 945.810 2918.100 947.410 ;
RECT 1.520 792.630 3.520 794.230 ;
RECT 2916.100 792.630 2918.100 794.230 ;
RECT 1.520 639.450 3.520 641.050 ;
RECT 2916.100 639.450 2918.100 641.050 ;
RECT 1.520 486.270 3.520 487.870 ;
RECT 2916.100 486.270 2918.100 487.870 ;
RECT 1.520 333.090 3.520 334.690 ;
RECT 2916.100 333.090 2918.100 334.690 ;
RECT 1.520 179.910 3.520 181.510 ;
RECT 2916.100 179.910 2918.100 181.510 ;
RECT 1.520 26.730 3.520 28.330 ;
RECT 2916.100 26.730 2918.100 28.330 ;
RECT 1.520 6.880 2918.100 8.880 ;
END
END vccd1
PIN vssd1
DIRECTION INPUT ;
PORT
LAYER met4 ;
RECT -2.480 2.880 -0.480 3516.800 ;
RECT 2920.100 2.880 2922.100 3516.800 ;
LAYER M4M5_PR_C ;
RECT -2.070 3515.210 -0.890 3516.390 ;
RECT -2.070 3473.490 -0.890 3474.670 ;
RECT -2.070 3320.310 -0.890 3321.490 ;
RECT -2.070 3167.130 -0.890 3168.310 ;
RECT -2.070 3013.950 -0.890 3015.130 ;
RECT -2.070 2860.770 -0.890 2861.950 ;
RECT -2.070 2707.590 -0.890 2708.770 ;
RECT -2.070 2554.410 -0.890 2555.590 ;
RECT -2.070 2401.230 -0.890 2402.410 ;
RECT -2.070 2248.050 -0.890 2249.230 ;
RECT -2.070 2094.870 -0.890 2096.050 ;
RECT -2.070 1941.690 -0.890 1942.870 ;
RECT -2.070 1788.510 -0.890 1789.690 ;
RECT -2.070 1635.330 -0.890 1636.510 ;
RECT -2.070 1482.150 -0.890 1483.330 ;
RECT -2.070 1328.970 -0.890 1330.150 ;
RECT -2.070 1175.790 -0.890 1176.970 ;
RECT -2.070 1022.610 -0.890 1023.790 ;
RECT -2.070 869.430 -0.890 870.610 ;
RECT -2.070 716.250 -0.890 717.430 ;
RECT -2.070 563.070 -0.890 564.250 ;
RECT -2.070 409.890 -0.890 411.070 ;
RECT -2.070 256.710 -0.890 257.890 ;
RECT -2.070 103.530 -0.890 104.710 ;
RECT -2.070 3.290 -0.890 4.470 ;
RECT 2920.510 3515.210 2921.690 3516.390 ;
RECT 2920.510 3473.490 2921.690 3474.670 ;
RECT 2920.510 3320.310 2921.690 3321.490 ;
RECT 2920.510 3167.130 2921.690 3168.310 ;
RECT 2920.510 3013.950 2921.690 3015.130 ;
RECT 2920.510 2860.770 2921.690 2861.950 ;
RECT 2920.510 2707.590 2921.690 2708.770 ;
RECT 2920.510 2554.410 2921.690 2555.590 ;
RECT 2920.510 2401.230 2921.690 2402.410 ;
RECT 2920.510 2248.050 2921.690 2249.230 ;
RECT 2920.510 2094.870 2921.690 2096.050 ;
RECT 2920.510 1941.690 2921.690 1942.870 ;
RECT 2920.510 1788.510 2921.690 1789.690 ;
RECT 2920.510 1635.330 2921.690 1636.510 ;
RECT 2920.510 1482.150 2921.690 1483.330 ;
RECT 2920.510 1328.970 2921.690 1330.150 ;
RECT 2920.510 1175.790 2921.690 1176.970 ;
RECT 2920.510 1022.610 2921.690 1023.790 ;
RECT 2920.510 869.430 2921.690 870.610 ;
RECT 2920.510 716.250 2921.690 717.430 ;
RECT 2920.510 563.070 2921.690 564.250 ;
RECT 2920.510 409.890 2921.690 411.070 ;
RECT 2920.510 256.710 2921.690 257.890 ;
RECT 2920.510 103.530 2921.690 104.710 ;
RECT 2920.510 3.290 2921.690 4.470 ;
LAYER met5 ;
RECT -2.480 3514.800 2922.100 3516.800 ;
RECT -2.480 3473.280 -0.480 3474.880 ;
RECT 2920.100 3473.280 2922.100 3474.880 ;
RECT -2.480 3320.100 -0.480 3321.700 ;
RECT 2920.100 3320.100 2922.100 3321.700 ;
RECT -2.480 3166.920 -0.480 3168.520 ;
RECT 2920.100 3166.920 2922.100 3168.520 ;
RECT -2.480 3013.740 -0.480 3015.340 ;
RECT 2920.100 3013.740 2922.100 3015.340 ;
RECT -2.480 2860.560 -0.480 2862.160 ;
RECT 2920.100 2860.560 2922.100 2862.160 ;
RECT -2.480 2707.380 -0.480 2708.980 ;
RECT 2920.100 2707.380 2922.100 2708.980 ;
RECT -2.480 2554.200 -0.480 2555.800 ;
RECT 2920.100 2554.200 2922.100 2555.800 ;
RECT -2.480 2401.020 -0.480 2402.620 ;
RECT 2920.100 2401.020 2922.100 2402.620 ;
RECT -2.480 2247.840 -0.480 2249.440 ;
RECT 2920.100 2247.840 2922.100 2249.440 ;
RECT -2.480 2094.660 -0.480 2096.260 ;
RECT 2920.100 2094.660 2922.100 2096.260 ;
RECT -2.480 1941.480 -0.480 1943.080 ;
RECT 2920.100 1941.480 2922.100 1943.080 ;
RECT -2.480 1788.300 -0.480 1789.900 ;
RECT 2920.100 1788.300 2922.100 1789.900 ;
RECT -2.480 1635.120 -0.480 1636.720 ;
RECT 2920.100 1635.120 2922.100 1636.720 ;
RECT -2.480 1481.940 -0.480 1483.540 ;
RECT 2920.100 1481.940 2922.100 1483.540 ;
RECT -2.480 1328.760 -0.480 1330.360 ;
RECT 2920.100 1328.760 2922.100 1330.360 ;
RECT -2.480 1175.580 -0.480 1177.180 ;
RECT 2920.100 1175.580 2922.100 1177.180 ;
RECT -2.480 1022.400 -0.480 1024.000 ;
RECT 2920.100 1022.400 2922.100 1024.000 ;
RECT -2.480 869.220 -0.480 870.820 ;
RECT 2920.100 869.220 2922.100 870.820 ;
RECT -2.480 716.040 -0.480 717.640 ;
RECT 2920.100 716.040 2922.100 717.640 ;
RECT -2.480 562.860 -0.480 564.460 ;
RECT 2920.100 562.860 2922.100 564.460 ;
RECT -2.480 409.680 -0.480 411.280 ;
RECT 2920.100 409.680 2922.100 411.280 ;
RECT -2.480 256.500 -0.480 258.100 ;
RECT 2920.100 256.500 2922.100 258.100 ;
RECT -2.480 103.320 -0.480 104.920 ;
RECT 2920.100 103.320 2922.100 104.920 ;
RECT -2.480 2.880 2922.100 4.880 ;
END
END vssd1
OBS
LAYER met4 ;
RECT 1247.310 2247.840 1248.910 2249.440 ;
RECT 1170.510 2171.250 1172.110 2172.850 ;
RECT 1247.310 2094.660 1248.910 2096.260 ;
RECT 1170.510 2018.070 1172.110 2019.670 ;
RECT 1247.310 1941.480 1248.910 1943.080 ;
RECT 1170.510 1864.890 1172.110 1866.490 ;
RECT 1247.310 1788.300 1248.910 1789.900 ;
RECT 1170.510 1711.710 1172.110 1713.310 ;
LAYER M4M5_PR_C ;
RECT 1247.520 2248.050 1248.700 2249.230 ;
RECT 1170.720 2171.460 1171.900 2172.640 ;
RECT 1247.520 2094.870 1248.700 2096.050 ;
RECT 1170.720 2018.280 1171.900 2019.460 ;
RECT 1247.520 1941.690 1248.700 1942.870 ;
RECT 1170.720 1865.100 1171.900 1866.280 ;
RECT 1247.520 1788.510 1248.700 1789.690 ;
RECT 1170.720 1711.920 1171.900 1713.100 ;
LAYER met5 ;
RECT 1247.310 2247.840 1248.910 2249.440 ;
RECT 1170.510 2171.250 1172.110 2172.850 ;
RECT 1247.310 2094.660 1248.910 2096.260 ;
RECT 1170.510 2018.070 1172.110 2019.670 ;
RECT 1247.310 1941.480 1248.910 1943.080 ;
RECT 1170.510 1864.890 1172.110 1866.490 ;
RECT 1247.310 1788.300 1248.910 1789.900 ;
RECT 1170.510 1711.710 1172.110 1713.310 ;
END
END user_project_wrapper
END LIBRARY