Corrected the simple_por layout to avoid overlapping the capacitor
plate of the top capacitor with the vias on the bottom capacitor.
This corresponds to an update in the magic techfile in open_pdks
to enforce the contact overlap prohibition.  Also updated the LVS
run script to account for the change in directory path to the POR
netlist.  Corrected the POR netlist to correct the dummy resistor
size (they were specified in series instead of in parallel).  Re-
extracted the POR and ran LVS.
diff --git a/mag/comp.out b/mag/comp.out
new file mode 100644
index 0000000..0ed9cb3
--- /dev/null
+++ b/mag/comp.out
@@ -0,0 +1,128 @@
+Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_2_W5U4AW in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_TGFUGS in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__res_xhigh_po_0p69_S5N9F3 in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_3YBPVB in circuit simple_por (0)(4 instances)
+Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPXE in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_PKVMTM in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__cap_mim_m3_1_WRT4AW in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YEUEBV in circuit simple_por (0)(1 instance)
+Flattening unmatched subcell sky130_fd_pr__pfet_g5v0d10v5_YUHPBG in circuit simple_por (0)(1 instance)
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Equate elements:  no current cell.
+Class sky130_fd_sc_hvl__buf_8:  Merged 18 devices.
+Class sky130_fd_sc_hvl__buf_8:  Merged 18 devices.
+
+Subcircuit summary:
+Circuit 1: sky130_fd_sc_hvl__buf_8         |Circuit 2: sky130_fd_sc_hvl__buf_8         
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__pfet_g5v0d10v5 (2)           |sky130_fd_pr__pfet_g5v0d10v5 (2)           
+sky130_fd_pr__nfet_g5v0d10v5 (2)           |sky130_fd_pr__nfet_g5v0d10v5 (2)           
+Number of devices: 4                       |Number of devices: 4                       
+Number of nets: 7                          |Number of nets: 7                          
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hvl__buf_8         |Circuit 2: sky130_fd_sc_hvl__buf_8         
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VPWR                                       |VPWR                                       
+VPB                                        |VPB                                        
+X                                          |X                                          
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hvl__buf_8 and sky130_fd_sc_hvl__buf_8 are equivalent.
+
+Subcircuit summary:
+Circuit 1: sky130_fd_sc_hvl__schmittbuf_1  |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1  
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__res_generic_pd__hv (1)       |sky130_fd_pr__res_generic_pd__hv (1)       
+sky130_fd_pr__pfet_g5v0d10v5 (4)           |sky130_fd_pr__pfet_g5v0d10v5 (4)           
+sky130_fd_pr__nfet_g5v0d10v5 (4)           |sky130_fd_pr__nfet_g5v0d10v5 (4)           
+sky130_fd_pr__res_generic_nd__hv (1)       |sky130_fd_pr__res_generic_nd__hv (1)       
+Number of devices: 10                      |Number of devices: 10                      
+Number of nets: 11                         |Number of nets: 11                         
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hvl__schmittbuf_1  |Circuit 2: sky130_fd_sc_hvl__schmittbuf_1  
+-------------------------------------------|-------------------------------------------
+A                                          |A                                          
+VPB                                        |VPB                                        
+VNB                                        |VNB                                        
+VGND                                       |VGND                                       
+VPWR                                       |VPWR                                       
+X                                          |X                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hvl__schmittbuf_1 and sky130_fd_sc_hvl__schmittbuf_1 are equivalent.
+Class sky130_fd_sc_hvl__inv_8:  Merged 14 devices.
+Class sky130_fd_sc_hvl__inv_8:  Merged 14 devices.
+
+Subcircuit summary:
+Circuit 1: sky130_fd_sc_hvl__inv_8         |Circuit 2: sky130_fd_sc_hvl__inv_8         
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__pfet_g5v0d10v5 (1)           |sky130_fd_pr__pfet_g5v0d10v5 (1)           
+sky130_fd_pr__nfet_g5v0d10v5 (1)           |sky130_fd_pr__nfet_g5v0d10v5 (1)           
+Number of devices: 2                       |Number of devices: 2                       
+Number of nets: 6                          |Number of nets: 6                          
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: sky130_fd_sc_hvl__inv_8         |Circuit 2: sky130_fd_sc_hvl__inv_8         
+-------------------------------------------|-------------------------------------------
+VPWR                                       |VPWR                                       
+VPB                                        |VPB                                        
+VGND                                       |VGND                                       
+VNB                                        |VNB                                        
+A                                          |A                                          
+Y                                          |Y                                          
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes sky130_fd_sc_hvl__inv_8 and sky130_fd_sc_hvl__inv_8 are equivalent.
+Class simple_por:  Merged 20 devices.
+
+Subcircuit summary:
+Circuit 1: simple_por                      |Circuit 2: simple_por                      
+-------------------------------------------|-------------------------------------------
+sky130_fd_pr__cap_mim_m3_2 (1)             |sky130_fd_pr__cap_mim_m3_2 (1)             
+sky130_fd_sc_hvl__buf_8 (2)                |sky130_fd_sc_hvl__buf_8 (2)                
+sky130_fd_pr__pfet_g5v0d10v5 (8)           |sky130_fd_pr__pfet_g5v0d10v5 (8)           
+sky130_fd_pr__nfet_g5v0d10v5 (3)           |sky130_fd_pr__nfet_g5v0d10v5 (3)           
+sky130_fd_pr__res_xhigh_po_0p69 (3)        |sky130_fd_pr__res_xhigh_po_0p69 (3)        
+sky130_fd_sc_hvl__schmittbuf_1 (1)         |sky130_fd_sc_hvl__schmittbuf_1 (1)         
+sky130_fd_pr__cap_mim_m3_1 (1)             |sky130_fd_pr__cap_mim_m3_1 (1)             
+sky130_fd_sc_hvl__inv_8 (1)                |sky130_fd_sc_hvl__inv_8 (1)                
+Number of devices: 20                      |Number of devices: 20                      
+Number of nets: 16                         |Number of nets: 16                         
+---------------------------------------------------------------------------------------
+Circuits match uniquely.
+Netlists match uniquely.
+
+Subcircuit pins:
+Circuit 1: simple_por                      |Circuit 2: simple_por                      
+-------------------------------------------|-------------------------------------------
+vdd3v3                                     |vdd3v3                                     
+porb_h                                     |porb_h                                     
+porb_l                                     |porb_l                                     
+por_l                                      |por_l                                      
+vdd1v8                                     |vdd1v8                                     
+vss                                        |vss                                        
+---------------------------------------------------------------------------------------
+Cell pin lists are equivalent.
+Device classes simple_por and simple_por are equivalent.
+Circuits match uniquely.
diff --git a/mag/run_lvs_por.sh b/mag/run_lvs_por.sh
index fa69254..24e7dc8 100755
--- a/mag/run_lvs_por.sh
+++ b/mag/run_lvs_por.sh
@@ -6,4 +6,4 @@
 # includes used by the testbench simulation are picked up.  Otherwise, the LVS
 # itself compares just the simple_por subcircuit from the testbench.
 #--------------------------------------------------------------------------------
-netgen -batch lvs "simple_por.spice simple_por" "../ngspice/simple_por_tb.spice simple_por" ~/projects/efabless/tech/SW/sky130A/libs.tech/netgen/sky130A_setup.tcl comp.out
+netgen -batch lvs "simple_por.spice simple_por" "../ngspice/simple_por/simple_por_tb.spice simple_por" ~/projects/efabless/tech/SW/sky130A/libs.tech/netgen/sky130A_setup.tcl comp.out
diff --git a/mag/simple_por.mag b/mag/simple_por.mag
index 98c2039..1976978 100644
--- a/mag/simple_por.mag
+++ b/mag/simple_por.mag
@@ -1,604 +1,599 @@
 magic
 tech sky130A
 magscale 1 2
-timestamp 1606075443
-<< error_s >>
-rect -282 8620 -266 8678
-rect 336 8666 340 8678
-rect 348 8632 352 8666
-rect -94 8054 -82 8100
-rect 185 8074 189 8108
+timestamp 1606502073
 << nwell >>
-rect -7877 8875 -1295 9326
+rect 70 7344 6652 7795
 << pwell >>
-rect -7484 8100 -7428 8110
-rect -5312 7347 -5094 7557
+rect 463 6569 519 6579
+rect 2635 5816 2853 6026
 << locali >>
-rect -7906 9806 -7764 9819
-rect -7906 9721 -7890 9806
-rect -7778 9721 -7764 9806
-rect -7906 8982 -7764 9721
-rect -1056 9804 -813 9817
-rect -1056 9643 -1011 9804
-rect -830 9643 -813 9804
-rect -1056 8986 -813 9643
-rect -4904 8982 -813 8986
-rect -7906 8966 -813 8982
-rect -7906 8836 -1020 8966
-rect -7912 7919 -7826 8710
-rect -4904 8553 -1020 8836
-rect -837 8853 -813 8966
-rect -837 8732 2882 8853
-rect -837 8553 -813 8732
-rect -4904 8536 -813 8553
-rect -5040 8224 -813 8369
-rect -5040 7919 -4727 8224
-rect -7912 7851 -4727 7919
-rect -7912 7849 -7442 7851
-rect -7912 7723 -7899 7849
-rect -7661 7723 -7442 7849
-rect -7912 7722 -7442 7723
-rect -4996 7784 -4727 7851
-rect -1831 7919 -813 8224
-rect -1831 7784 2913 7919
-rect -4996 7722 2913 7784
-rect -7912 7674 2913 7722
-rect -7912 7344 -7258 7674
-rect -6941 7344 -6554 7560
-rect -6169 7344 -5782 7560
-rect -5397 7546 -5010 7560
-rect -5397 7360 -5299 7546
-rect -5107 7360 -5010 7546
-rect -5397 7344 -5010 7360
-rect -4625 7344 -4238 7560
-rect -3853 7344 -3466 7560
-rect -3081 7344 -2694 7560
-rect -2309 7344 -1922 7560
-rect -1537 7344 -1150 7560
-rect -765 7344 -378 7560
-rect 7 7344 394 7560
-rect 779 7344 1166 7560
-rect 1551 7344 1938 7560
-rect 2709 7344 2890 7560
-rect -7896 1696 -7713 1912
-rect -7328 1696 -6941 1912
-rect -6556 1696 -6169 1912
-rect -5784 1696 -5397 1912
-rect -5012 1696 -4625 1912
-rect -4240 1696 -3853 1912
-rect -3468 1696 -3081 1912
-rect -2696 1696 -2309 1912
-rect -1924 1696 -1537 1912
-rect -1152 1696 -765 1912
-rect -380 1696 7 1912
-rect 392 1696 779 1912
-rect 1164 1696 1551 1912
-rect 1936 1696 2323 1912
-rect 2708 1696 2888 1912
+rect 41 8275 183 8288
+rect 41 8190 57 8275
+rect 169 8190 183 8275
+rect 41 7451 183 8190
+rect 6891 8273 7134 8286
+rect 6891 8112 6936 8273
+rect 7117 8112 7134 8273
+rect 6891 7455 7134 8112
+rect 3043 7451 7134 7455
+rect 41 7435 7134 7451
+rect 41 7305 6927 7435
+rect 35 6388 121 7179
+rect 3043 7022 6927 7305
+rect 7110 7322 7134 7435
+rect 7110 7201 10829 7322
+rect 7110 7022 7134 7201
+rect 3043 7005 7134 7022
+rect 2907 6693 7134 6838
+rect 2907 6388 3220 6693
+rect 35 6320 3220 6388
+rect 35 6318 505 6320
+rect 35 6192 48 6318
+rect 286 6192 505 6318
+rect 35 6191 505 6192
+rect 2951 6253 3220 6320
+rect 6116 6388 7134 6693
+rect 6116 6253 10860 6388
+rect 2951 6191 10860 6253
+rect 35 6143 10860 6191
+rect 35 5813 689 6143
+rect 1006 5813 1393 6029
+rect 1778 5813 2165 6029
+rect 2550 6015 2937 6029
+rect 2550 5829 2648 6015
+rect 2840 5829 2937 6015
+rect 2550 5813 2937 5829
+rect 3322 5813 3709 6029
+rect 4094 5813 4481 6029
+rect 4866 5813 5253 6029
+rect 5638 5813 6025 6029
+rect 6410 5813 6797 6029
+rect 7182 5813 7569 6029
+rect 7954 5813 8341 6029
+rect 8726 5813 9113 6029
+rect 9498 5813 9885 6029
+rect 10656 5813 10837 6029
+rect 51 165 234 381
+rect 619 165 1006 381
+rect 1391 165 1778 381
+rect 2163 165 2550 381
+rect 2935 165 3322 381
+rect 3707 165 4094 381
+rect 4479 165 4866 381
+rect 5251 165 5638 381
+rect 6023 165 6410 381
+rect 6795 165 7182 381
+rect 7567 165 7954 381
+rect 8339 165 8726 381
+rect 9111 165 9498 381
+rect 9883 165 10270 381
+rect 10655 165 10835 381
 << viali >>
-rect -7890 9721 -7778 9806
-rect -1011 9643 -830 9804
-rect 1277 9385 1323 9591
-rect -343 9285 -140 9332
-rect 1512 9285 1849 9332
-rect 2838 9214 2872 9410
-rect -1020 8553 -837 8966
-rect -77 8306 181 8365
-rect -7899 7723 -7661 7849
-rect -7442 7722 -4996 7851
-rect -4727 7784 -1831 8224
-rect 492 8215 559 8409
-rect 703 8251 906 8298
-rect 2323 8266 2369 8427
-rect -5299 7360 -5107 7546
-rect 2255 7129 2393 7561
+rect 57 8190 169 8275
+rect 6936 8112 7117 8273
+rect 9224 7854 9270 8060
+rect 7604 7754 7807 7801
+rect 9459 7754 9796 7801
+rect 10785 7683 10819 7879
+rect 6927 7022 7110 7435
+rect 7870 6775 8128 6834
+rect 48 6192 286 6318
+rect 505 6191 2951 6320
+rect 3220 6253 6116 6693
+rect 8439 6684 8506 6878
+rect 8650 6720 8853 6767
+rect 10270 6735 10316 6896
+rect 2648 5829 2840 6015
+rect 10202 5598 10340 6030
 << metal1 >>
-rect -7907 9806 -814 9817
-rect -7907 9721 -7890 9806
-rect -7778 9804 -814 9806
-rect -7778 9800 -1011 9804
-rect -7323 9791 -1011 9800
-rect -7323 9732 -6921 9791
-rect -7778 9724 -6921 9732
-rect -830 9740 -814 9804
-rect -663 9783 2894 9809
-rect -7778 9721 -1011 9724
-rect -7907 9710 -1011 9721
-rect -7729 9641 -7571 9658
-rect -7729 9569 -7511 9641
-rect -7238 9613 -7228 9675
-rect -7146 9660 -7129 9675
-rect -7146 9616 -5449 9660
-rect -5118 9616 -4957 9660
-rect -7146 9613 -7129 9616
-rect -7729 9555 -7665 9569
-rect -7729 9172 -7634 9555
-rect -7572 9172 -7511 9569
-rect -7426 9319 -7380 9571
-rect -7320 9423 -5126 9559
-rect -7445 9184 -5410 9319
-rect -7729 9099 -7511 9172
-rect -7426 9128 -7380 9184
-rect -7238 9128 -7228 9137
-rect -7729 9085 -7572 9099
-rect -7729 9032 -7665 9085
-rect -7426 9084 -7228 9128
-rect -7238 9075 -7228 9084
-rect -7146 9128 -7129 9137
-rect -5081 9128 -5003 9616
-rect -4954 9189 -4647 9335
-rect -7146 9087 -4957 9128
-rect -7146 9084 -5025 9087
-rect -7146 9075 -7129 9084
-rect -4587 9032 -4514 9653
-rect -4248 9613 -3988 9659
-rect -4461 9167 -4391 9566
-rect -7729 8984 -4514 9032
-rect -7729 8779 -7665 8984
-rect -4450 8875 -4391 9167
-rect -7762 8652 -7665 8779
-rect -5565 8805 -4391 8875
-rect -4248 9173 -4166 9613
-rect -3664 9612 -2200 9656
-rect -1864 9612 -1710 9656
-rect -1037 9643 -1011 9710
-rect -830 9643 -813 9740
-rect -663 9684 -629 9783
-rect 2855 9684 2894 9783
-rect -663 9656 2894 9684
-rect -3959 9336 -3922 9572
-rect -3838 9403 -1876 9564
-rect -3981 9176 -2145 9336
-rect -4248 9131 -4174 9173
-rect -4248 9085 -3989 9131
-rect -3959 9128 -3922 9176
-rect -1821 9128 -1759 9612
-rect -1698 9415 -1410 9560
-rect -1698 9221 -1685 9415
-rect -4248 9032 -4174 9085
-rect -3959 9084 -1708 9128
-rect -1335 9032 -1273 9643
-rect -4248 8984 -1273 9032
-rect -7762 8500 -7684 8652
-rect -5565 8605 -5495 8805
-rect -4248 8696 -4174 8984
-rect -5682 8578 -5672 8605
-rect -7762 8104 -7665 8500
-rect -7922 7849 -7646 7857
-rect -7922 7723 -7899 7849
-rect -7661 7723 -7646 7849
-rect -7922 7716 -7646 7723
-rect -7922 6879 -7814 7716
-rect -7602 7475 -7548 8564
-rect -7166 8534 -5672 8578
-rect -5596 8578 -5495 8605
-rect -5180 8605 -4174 8696
-rect -5596 8534 -5209 8578
-rect -7484 8276 -7313 8503
-rect -5565 8483 -5495 8534
-rect -7226 8346 -5495 8483
-rect -7484 8110 -5387 8276
-rect -7484 8100 -7313 8110
-rect -7442 7857 -7313 8100
-rect -5318 8064 -5254 8534
-rect -5180 8480 -5105 8605
-rect -1195 8596 -1124 9575
-rect -1037 8966 -813 9643
-rect 1271 9591 1329 9603
-rect 1271 9385 1277 9591
-rect 1323 9582 1329 9591
-rect 2181 9582 2191 9584
-rect 1323 9532 2191 9582
-rect 1323 9385 1329 9532
-rect 2181 9530 2191 9532
-rect 2343 9530 2353 9584
-rect 1271 9373 1329 9385
-rect 2832 9410 2878 9422
-rect -355 9332 392 9338
-rect -355 9285 -343 9332
-rect -140 9285 392 9332
-rect -355 9279 392 9285
-rect 572 9332 1861 9338
-rect 572 9285 1512 9332
-rect 1849 9285 1861 9332
-rect 572 9279 1861 9285
-rect 2832 9259 2838 9410
-rect 2872 9259 2878 9410
-rect 2796 9205 2806 9259
-rect 2958 9205 2968 9259
-rect 2832 9202 2878 9205
-rect -5191 8104 -5105 8480
-rect -1540 8567 -1122 8596
-rect -4780 8350 -1773 8373
-rect -4780 8224 -3616 8350
-rect -2669 8224 -1773 8350
-rect -7166 8020 -5672 8064
-rect -5682 7991 -5672 8020
-rect -5597 7991 -5587 8064
-rect -5362 8020 -5208 8064
-rect -4780 7857 -4727 8224
-rect -7454 7851 -4727 7857
-rect -7454 7722 -7442 7851
-rect -4996 7784 -4727 7851
-rect -1831 8062 -1773 8224
-rect -1540 8154 -1515 8567
-rect -1144 8371 -1122 8567
-rect -1037 8553 -1020 8966
-rect -837 8855 -813 8966
-rect -668 9074 2889 9101
-rect -668 8980 -641 9074
-rect 396 8980 671 9074
-rect 2702 8980 2889 9074
-rect -668 8948 2889 8980
-rect -837 8830 2885 8855
-rect -837 8655 -776 8830
-rect 373 8655 655 8830
-rect 2803 8655 2885 8830
-rect -837 8625 2885 8655
-rect -837 8553 -813 8625
-rect 2569 8624 2885 8625
-rect -1037 8525 -813 8553
-rect 2317 8427 2375 8439
-rect 486 8409 565 8421
-rect -1144 8365 193 8371
-rect -1144 8306 -77 8365
-rect 181 8306 193 8365
-rect -1144 8300 193 8306
-rect -1144 8154 -1122 8300
-rect 482 8215 492 8409
-rect 559 8304 569 8409
-rect 559 8298 918 8304
-rect 559 8251 703 8298
-rect 906 8251 918 8298
-rect 2317 8266 2323 8427
-rect 2369 8365 2375 8427
-rect 2369 8296 2560 8365
-rect 2725 8296 2735 8365
-rect 2369 8266 2375 8296
-rect 2317 8254 2375 8266
-rect 559 8245 918 8251
-rect 559 8215 569 8245
-rect 486 8203 565 8215
-rect -1540 8131 -1122 8154
-rect -1831 7850 2880 8062
-rect -1831 7784 -1773 7850
-rect -4996 7768 -1773 7784
-rect 2274 7791 2769 7801
-rect -4996 7722 -1772 7768
-rect -7454 7716 -1772 7722
-rect 2274 7718 2286 7791
-rect 2753 7718 2769 7791
-rect 2274 7707 2769 7718
-rect 2274 7576 2368 7707
-rect 2240 7561 2406 7576
-rect -5312 7546 -5094 7557
-rect -5312 7475 -5299 7546
-rect -7602 7421 -5299 7475
-rect -5312 7360 -5299 7421
-rect -5107 7360 -5094 7546
-rect -5312 7347 -5094 7360
-rect 2240 7129 2255 7561
-rect 2393 7129 2406 7561
-rect 2240 7113 2406 7129
-rect 2858 6879 2920 7610
-rect -7922 6279 2920 6879
-rect -7922 5879 -7814 6279
-rect 2858 5879 2920 6279
-rect -7922 5279 2920 5879
-rect -7922 4879 -7814 5279
-rect 2858 4879 2920 5279
-rect -7922 4279 2920 4879
-rect -7922 3879 -7814 4279
-rect 2858 3879 2920 4279
-rect -7922 3279 2920 3879
-rect -7922 2879 -7814 3279
-rect 2858 2879 2920 3279
-rect -7922 2279 2920 2879
-rect -7922 1630 -7814 2279
-rect 2858 1630 2920 2279
-rect -7922 1542 2920 1630
+rect 40 8275 7133 8286
+rect 40 8190 57 8275
+rect 169 8273 7133 8275
+rect 169 8269 6936 8273
+rect 624 8260 6936 8269
+rect 624 8201 1026 8260
+rect 169 8193 1026 8201
+rect 7117 8209 7133 8273
+rect 7284 8252 10841 8278
+rect 169 8190 6936 8193
+rect 40 8179 6936 8190
+rect 218 8110 376 8127
+rect 218 8038 436 8110
+rect 709 8082 719 8144
+rect 801 8129 818 8144
+rect 801 8085 2498 8129
+rect 2829 8085 2990 8129
+rect 801 8082 818 8085
+rect 218 8024 282 8038
+rect 218 7641 313 8024
+rect 375 7641 436 8038
+rect 521 7788 567 8040
+rect 627 7892 2821 8028
+rect 502 7653 2537 7788
+rect 218 7568 436 7641
+rect 521 7597 567 7653
+rect 709 7597 719 7606
+rect 218 7554 375 7568
+rect 218 7501 282 7554
+rect 521 7553 719 7597
+rect 709 7544 719 7553
+rect 801 7597 818 7606
+rect 2866 7597 2944 8085
+rect 2993 7658 3300 7804
+rect 801 7556 2990 7597
+rect 801 7553 2922 7556
+rect 801 7544 818 7553
+rect 3360 7501 3433 8122
+rect 3699 8082 3959 8128
+rect 3486 7636 3556 8035
+rect 218 7453 3433 7501
+rect 218 7248 282 7453
+rect 3497 7344 3556 7636
+rect 185 7121 282 7248
+rect 2382 7274 3556 7344
+rect 3699 7642 3781 8082
+rect 4283 8081 5747 8125
+rect 6083 8081 6237 8125
+rect 6910 8112 6936 8179
+rect 7117 8112 7134 8209
+rect 7284 8153 7318 8252
+rect 10802 8153 10841 8252
+rect 7284 8125 10841 8153
+rect 3988 7805 4025 8041
+rect 4109 7872 6071 8033
+rect 3966 7645 5802 7805
+rect 3699 7600 3773 7642
+rect 3699 7554 3958 7600
+rect 3988 7597 4025 7645
+rect 6126 7597 6188 8081
+rect 6249 7884 6537 8029
+rect 6249 7690 6262 7884
+rect 3699 7501 3773 7554
+rect 3988 7553 6239 7597
+rect 6612 7501 6674 8112
+rect 3699 7453 6674 7501
+rect 185 6969 263 7121
+rect 2382 7074 2452 7274
+rect 3699 7165 3773 7453
+rect 2265 7047 2275 7074
+rect 185 6573 282 6969
+rect 25 6318 301 6326
+rect 25 6192 48 6318
+rect 286 6192 301 6318
+rect 25 6185 301 6192
+rect 25 5348 133 6185
+rect 345 5944 399 7033
+rect 781 7003 2275 7047
+rect 2351 7047 2452 7074
+rect 2767 7074 3773 7165
+rect 2351 7003 2738 7047
+rect 463 6745 634 6972
+rect 2382 6952 2452 7003
+rect 721 6815 2452 6952
+rect 463 6579 2560 6745
+rect 463 6569 634 6579
+rect 505 6326 634 6569
+rect 2629 6533 2693 7003
+rect 2767 6949 2842 7074
+rect 6752 7065 6823 8044
+rect 6910 7435 7134 8112
+rect 9218 8060 9276 8072
+rect 9218 7854 9224 8060
+rect 9270 8051 9276 8060
+rect 10128 8051 10138 8053
+rect 9270 8001 10138 8051
+rect 9270 7854 9276 8001
+rect 10128 7999 10138 8001
+rect 10290 7999 10300 8053
+rect 9218 7842 9276 7854
+rect 10779 7879 10825 7891
+rect 7592 7801 8339 7807
+rect 7592 7754 7604 7801
+rect 7807 7754 8339 7801
+rect 7592 7748 8339 7754
+rect 8519 7801 9808 7807
+rect 8519 7754 9459 7801
+rect 9796 7754 9808 7801
+rect 8519 7748 9808 7754
+rect 10779 7728 10785 7879
+rect 10819 7728 10825 7879
+rect 10743 7674 10753 7728
+rect 10905 7674 10915 7728
+rect 10779 7671 10825 7674
+rect 2756 6573 2842 6949
+rect 6407 7036 6825 7065
+rect 3167 6819 6174 6842
+rect 3167 6693 4331 6819
+rect 5278 6693 6174 6819
+rect 781 6489 2275 6533
+rect 2265 6460 2275 6489
+rect 2350 6460 2360 6533
+rect 2585 6489 2739 6533
+rect 3167 6326 3220 6693
+rect 493 6320 3220 6326
+rect 493 6191 505 6320
+rect 2951 6253 3220 6320
+rect 6116 6531 6174 6693
+rect 6407 6623 6432 7036
+rect 6803 6840 6825 7036
+rect 6910 7022 6927 7435
+rect 7110 7324 7134 7435
+rect 7279 7543 10836 7570
+rect 7279 7449 7306 7543
+rect 8343 7449 8618 7543
+rect 10649 7449 10836 7543
+rect 7279 7417 10836 7449
+rect 7110 7299 10832 7324
+rect 7110 7124 7171 7299
+rect 8320 7124 8602 7299
+rect 10750 7124 10832 7299
+rect 7110 7094 10832 7124
+rect 7110 7022 7134 7094
+rect 10516 7093 10832 7094
+rect 6910 6994 7134 7022
+rect 10264 6896 10322 6908
+rect 8433 6878 8512 6890
+rect 6803 6834 8140 6840
+rect 6803 6775 7870 6834
+rect 8128 6775 8140 6834
+rect 6803 6769 8140 6775
+rect 6803 6623 6825 6769
+rect 8429 6684 8439 6878
+rect 8506 6773 8516 6878
+rect 8506 6767 8865 6773
+rect 8506 6720 8650 6767
+rect 8853 6720 8865 6767
+rect 10264 6735 10270 6896
+rect 10316 6834 10322 6896
+rect 10316 6765 10507 6834
+rect 10672 6765 10682 6834
+rect 10316 6735 10322 6765
+rect 10264 6723 10322 6735
+rect 8506 6714 8865 6720
+rect 8506 6684 8516 6714
+rect 8433 6672 8512 6684
+rect 6407 6600 6825 6623
+rect 6116 6319 10827 6531
+rect 6116 6253 6174 6319
+rect 2951 6237 6174 6253
+rect 10221 6260 10716 6270
+rect 2951 6191 6175 6237
+rect 493 6185 6175 6191
+rect 10221 6187 10233 6260
+rect 10700 6187 10716 6260
+rect 10221 6176 10716 6187
+rect 10221 6045 10315 6176
+rect 10187 6030 10353 6045
+rect 2635 6015 2853 6026
+rect 2635 5944 2648 6015
+rect 345 5890 2648 5944
+rect 2635 5829 2648 5890
+rect 2840 5829 2853 6015
+rect 2635 5816 2853 5829
+rect 10187 5598 10202 6030
+rect 10340 5598 10353 6030
+rect 10187 5582 10353 5598
+rect 10805 5348 10867 6079
+rect 25 4748 10867 5348
+rect 25 4348 133 4748
+rect 10805 4348 10867 4748
+rect 25 3748 10867 4348
+rect 25 3348 133 3748
+rect 10805 3348 10867 3748
+rect 25 2748 10867 3348
+rect 25 2348 133 2748
+rect 10805 2348 10867 2748
+rect 25 1748 10867 2348
+rect 25 1348 133 1748
+rect 10805 1348 10867 1748
+rect 25 748 10867 1348
+rect 25 99 133 748
+rect 10805 99 10867 748
+rect 25 11 10867 99
 << via1 >>
-rect -7887 9732 -7778 9800
-rect -7778 9732 -7323 9800
-rect -6921 9724 -1011 9791
-rect -1011 9724 -854 9791
-rect -7228 9613 -7146 9675
-rect -7228 9075 -7146 9137
-rect -629 9684 2855 9783
-rect -5672 8534 -5596 8605
-rect 2191 9530 2343 9584
-rect 392 9279 572 9338
-rect 2806 9214 2838 9259
-rect 2838 9214 2872 9259
-rect 2872 9214 2958 9259
-rect 2806 9205 2958 9214
-rect -3616 8224 -2669 8350
-rect -5672 7991 -5597 8064
-rect -3616 7801 -2669 8224
-rect -1515 8154 -1144 8567
-rect -641 8980 396 9074
-rect 671 8980 2702 9074
-rect -776 8655 373 8830
-rect 655 8655 2803 8830
-rect 492 8215 559 8409
-rect 2560 8296 2725 8365
-rect 2286 7718 2753 7791
+rect 60 8201 169 8269
+rect 169 8201 624 8269
+rect 1026 8193 6936 8260
+rect 6936 8193 7093 8260
+rect 719 8082 801 8144
+rect 719 7544 801 7606
+rect 7318 8153 10802 8252
+rect 2275 7003 2351 7074
+rect 10138 7999 10290 8053
+rect 8339 7748 8519 7807
+rect 10753 7683 10785 7728
+rect 10785 7683 10819 7728
+rect 10819 7683 10905 7728
+rect 10753 7674 10905 7683
+rect 4331 6693 5278 6819
+rect 2275 6460 2350 6533
+rect 4331 6270 5278 6693
+rect 6432 6623 6803 7036
+rect 7306 7449 8343 7543
+rect 8618 7449 10649 7543
+rect 7171 7124 8320 7299
+rect 8602 7124 10750 7299
+rect 8439 6684 8506 6878
+rect 10507 6765 10672 6834
+rect 10233 6187 10700 6260
 << metal2 >>
-rect -6962 9817 -815 9818
-rect -7909 9800 -815 9817
-rect -7909 9732 -7887 9800
-rect -7323 9792 -815 9800
-rect -7909 9635 -7870 9732
-rect -7313 9718 -7061 9792
-rect -856 9791 -815 9792
-rect -854 9724 -815 9791
-rect -7313 9635 -7293 9718
-rect -7909 9592 -7293 9635
-rect -7228 9675 -7146 9685
-rect -7228 9603 -7146 9613
-rect -7081 9635 -7061 9718
-rect -856 9635 -815 9724
-rect -663 9783 2894 9809
-rect -663 9684 -629 9783
-rect 2855 9684 2894 9783
-rect -663 9656 2894 9684
-rect -7218 9147 -7162 9603
-rect -7081 9591 -815 9635
-rect 2191 9587 2343 9597
-rect 2191 9517 2343 9527
-rect 392 9338 572 9348
-rect 392 9269 572 9279
-rect -7228 9137 -7146 9147
-rect -7228 9065 -7146 9075
-rect -668 9074 427 9101
-rect -668 8980 -641 9074
-rect 396 8980 427 9074
-rect -668 8948 427 8980
-rect -806 8830 408 8855
-rect -806 8655 -776 8830
-rect 373 8655 408 8830
-rect -806 8625 408 8655
-rect -5672 8605 -5596 8615
-rect -5672 8524 -5596 8534
-rect -1540 8567 -1122 8596
-rect -5659 8074 -5607 8524
-rect -3639 8380 -2649 8399
-rect -5672 8064 -5597 8074
-rect -5672 7981 -5597 7991
-rect -3639 7801 -3616 8380
-rect -2669 7801 -2649 8380
-rect -1540 8154 -1515 8567
-rect -1144 8154 -1122 8567
-rect 496 8419 553 9269
-rect 2806 9262 2958 9272
-rect 2806 9192 2958 9202
-rect 641 9074 2720 9101
-rect 640 8980 671 9074
-rect 2702 8980 2720 9074
-rect 641 8948 2720 8980
-rect 620 8830 2851 8855
-rect 620 8655 655 8830
-rect 2803 8655 2851 8830
-rect 620 8625 2851 8655
-rect 492 8409 559 8419
-rect 492 8205 559 8215
-rect -1540 8131 -1122 8154
-rect -3639 7780 -2649 7801
-rect 2274 7801 2484 8625
-rect 2551 8296 2560 8365
-rect 2725 8296 2810 8365
-rect 2962 8296 2971 8365
-rect 2274 7791 2769 7801
-rect 2274 7718 2286 7791
-rect 2753 7718 2769 7791
-rect 2274 7707 2769 7718
+rect 985 8286 7132 8287
+rect 38 8269 7132 8286
+rect 38 8201 60 8269
+rect 624 8261 7132 8269
+rect 38 8104 77 8201
+rect 634 8187 886 8261
+rect 7091 8260 7132 8261
+rect 7093 8193 7132 8260
+rect 634 8104 654 8187
+rect 38 8061 654 8104
+rect 719 8144 801 8154
+rect 719 8072 801 8082
+rect 866 8104 886 8187
+rect 7091 8104 7132 8193
+rect 7284 8252 10841 8278
+rect 7284 8153 7318 8252
+rect 10802 8153 10841 8252
+rect 7284 8125 10841 8153
+rect 729 7616 785 8072
+rect 866 8060 7132 8104
+rect 10138 8056 10290 8066
+rect 10138 7986 10290 7996
+rect 8339 7807 8519 7817
+rect 8339 7738 8519 7748
+rect 719 7606 801 7616
+rect 719 7534 801 7544
+rect 7279 7543 8374 7570
+rect 7279 7449 7306 7543
+rect 8343 7449 8374 7543
+rect 7279 7417 8374 7449
+rect 7141 7299 8355 7324
+rect 7141 7124 7171 7299
+rect 8320 7124 8355 7299
+rect 7141 7094 8355 7124
+rect 2275 7074 2351 7084
+rect 2275 6993 2351 7003
+rect 6407 7036 6825 7065
+rect 2288 6543 2340 6993
+rect 4308 6849 5298 6868
+rect 2275 6533 2350 6543
+rect 2275 6450 2350 6460
+rect 4308 6270 4331 6849
+rect 5278 6270 5298 6849
+rect 6407 6623 6432 7036
+rect 6803 6623 6825 7036
+rect 8443 6888 8500 7738
+rect 10753 7731 10905 7741
+rect 10753 7661 10905 7671
+rect 8588 7543 10667 7570
+rect 8587 7449 8618 7543
+rect 10649 7449 10667 7543
+rect 8588 7417 10667 7449
+rect 8567 7299 10798 7324
+rect 8567 7124 8602 7299
+rect 10750 7124 10798 7299
+rect 8567 7094 10798 7124
+rect 8439 6878 8506 6888
+rect 8439 6674 8506 6684
+rect 6407 6600 6825 6623
+rect 4308 6249 5298 6270
+rect 10221 6270 10431 7094
+rect 10498 6765 10507 6834
+rect 10672 6765 10757 6834
+rect 10909 6765 10918 6834
+rect 10221 6260 10716 6270
+rect 10221 6187 10233 6260
+rect 10700 6187 10716 6260
+rect 10221 6176 10716 6187
 << via2 >>
-rect -7870 9732 -7323 9792
-rect -7323 9732 -7313 9792
-rect -7870 9635 -7313 9732
-rect -7061 9791 -856 9792
-rect -7061 9724 -6921 9791
-rect -6921 9724 -856 9791
-rect -7061 9635 -856 9724
-rect -629 9684 2855 9783
-rect 2191 9584 2343 9587
-rect 2191 9530 2343 9584
-rect 2191 9527 2343 9530
-rect -641 8980 396 9074
-rect -3616 8350 -2669 8380
-rect -3616 8053 -2669 8350
-rect -1515 8154 -1144 8567
-rect 2806 9259 2958 9262
-rect 2806 9205 2958 9259
-rect 2806 9202 2958 9205
-rect 671 8980 2702 9074
-rect 2810 8296 2962 8365
+rect 77 8201 624 8261
+rect 624 8201 634 8261
+rect 77 8104 634 8201
+rect 886 8260 7091 8261
+rect 886 8193 1026 8260
+rect 1026 8193 7091 8260
+rect 886 8104 7091 8193
+rect 7318 8153 10802 8252
+rect 10138 8053 10290 8056
+rect 10138 7999 10290 8053
+rect 10138 7996 10290 7999
+rect 7306 7449 8343 7543
+rect 4331 6819 5278 6849
+rect 4331 6522 5278 6819
+rect 6432 6623 6803 7036
+rect 10753 7728 10905 7731
+rect 10753 7674 10905 7728
+rect 10753 7671 10905 7674
+rect 8618 7449 10649 7543
+rect 10757 6765 10909 6834
 << metal3 >>
-rect -7909 9792 -821 9814
-rect -7909 9775 -7870 9792
-rect -7313 9775 -7061 9792
-rect -7909 9531 -7874 9775
-rect -856 9635 -821 9792
-rect -663 9783 2894 9809
-rect -663 9684 -629 9783
-rect 2855 9684 2894 9783
-rect -663 9656 2894 9684
-rect -874 9531 -821 9635
-rect -7909 9496 -821 9531
-rect 2181 9587 2348 9595
-rect 2181 9527 2191 9587
-rect 2343 9527 2484 9587
-rect 2181 9522 2348 9527
-rect 2424 9447 2484 9527
-rect 2424 9387 3396 9447
-rect 2796 9262 2963 9270
-rect 2796 9202 2806 9262
-rect 2958 9202 2963 9262
-rect 2796 9197 2963 9202
-rect -668 9074 2720 9101
-rect -668 8980 -641 9074
-rect 2702 8980 2720 9074
-rect 2845 9082 2905 9197
-rect 2845 9022 3397 9082
-rect -668 8948 2720 8980
-rect -3836 8808 -2648 8848
-rect -3836 8380 -3597 8808
-rect -2679 8380 -2648 8808
-rect -3836 8053 -3616 8380
-rect -2669 8053 -2648 8380
-rect -1539 8567 -1122 8596
-rect -1539 8154 -1515 8567
-rect -1144 8154 -1122 8567
-rect 2800 8365 2971 8371
-rect 2800 8296 2810 8365
-rect 2962 8296 3395 8365
-rect 2800 8289 2971 8296
-rect -1539 8131 -1122 8154
-rect -3836 8025 -2648 8053
-rect -3836 7782 -3640 8025
+rect 38 8261 7126 8283
+rect 38 8244 77 8261
+rect 634 8244 886 8261
+rect 38 8000 73 8244
+rect 7091 8104 7126 8261
+rect 7284 8252 10841 8278
+rect 7284 8153 7318 8252
+rect 10802 8153 10841 8252
+rect 7284 8125 10841 8153
+rect 7073 8000 7126 8104
+rect 38 7965 7126 8000
+rect 10128 8056 10295 8064
+rect 10128 7996 10138 8056
+rect 10290 7996 10431 8056
+rect 10128 7991 10295 7996
+rect 10371 7916 10431 7996
+rect 10371 7856 11343 7916
+rect 10743 7731 10910 7739
+rect 10743 7671 10753 7731
+rect 10905 7671 10910 7731
+rect 10743 7666 10910 7671
+rect 7279 7543 10667 7570
+rect 7279 7449 7306 7543
+rect 10649 7449 10667 7543
+rect 10792 7551 10852 7666
+rect 10792 7491 11344 7551
+rect 7279 7417 10667 7449
+rect 4111 7277 5299 7317
+rect 4111 6849 4350 7277
+rect 5268 6849 5299 7277
+rect 4111 6522 4331 6849
+rect 5278 6522 5299 6849
+rect 6408 7036 6825 7065
+rect 6408 6623 6432 7036
+rect 6803 6623 6825 7036
+rect 10747 6834 10918 6840
+rect 10747 6765 10757 6834
+rect 10909 6765 11342 6834
+rect 10747 6758 10918 6765
+rect 6408 6600 6825 6623
+rect 4111 6494 5299 6522
+rect 4111 6251 4307 6494
 << via3 >>
-rect -7874 9635 -7870 9775
-rect -7870 9635 -7313 9775
-rect -7313 9635 -7061 9775
-rect -7061 9635 -874 9775
-rect -629 9684 2855 9783
-rect -7874 9531 -874 9635
-rect -641 8980 396 9074
-rect 396 8980 671 9074
-rect 671 8980 2702 9074
-rect -3597 8380 -2679 8808
-rect -3597 8089 -2679 8380
-rect -1515 8154 -1144 8567
+rect 73 8104 77 8244
+rect 77 8104 634 8244
+rect 634 8104 886 8244
+rect 886 8104 7073 8244
+rect 7318 8153 10802 8252
+rect 73 8000 7073 8104
+rect 7306 7449 8343 7543
+rect 8343 7449 8618 7543
+rect 8618 7449 10649 7543
+rect 4350 6849 5268 7277
+rect 4350 6558 5268 6849
+rect 6432 6623 6803 7036
 << metal4 >>
-rect -7909 9775 -821 9814
-rect -7909 9531 -7874 9775
-rect -874 9531 -821 9775
-rect -7909 9496 -821 9531
-rect -706 9783 3233 9822
-rect -706 9684 -629 9783
-rect 2855 9684 3233 9783
-rect -706 9493 3233 9684
-rect 2896 9286 3231 9305
-rect -7909 9074 2720 9186
-rect -7909 8980 -641 9074
-rect 2702 8980 2720 9074
-rect -7909 8808 2720 8980
-rect -7909 8786 -3597 8808
-rect -3930 8089 -3597 8786
-rect -2679 8786 2720 8808
-rect -2679 8089 -2648 8786
-rect 2896 8605 2932 9286
-rect -1561 8567 2932 8605
-rect -1561 8154 -1515 8567
-rect -1144 8154 2932 8567
-rect -1561 8146 2932 8154
-rect 3199 8146 3231 9286
-rect -1561 8122 3231 8146
-rect -3930 8053 -2648 8089
-rect -3930 1582 -3736 8053
+rect 38 8244 7126 8283
+rect 38 8000 73 8244
+rect 7073 8000 7126 8244
+rect 38 7965 7126 8000
+rect 7241 8252 11180 8291
+rect 7241 8153 7318 8252
+rect 10802 8153 11180 8252
+rect 7241 7962 11180 8153
+rect 10843 7755 11178 7774
+rect 38 7543 10667 7655
+rect 38 7449 7306 7543
+rect 10649 7449 10667 7543
+rect 38 7277 10667 7449
+rect 38 7255 4350 7277
+rect 3817 6558 4350 7255
+rect 5268 7255 10667 7277
+rect 5268 6558 5299 7255
+rect 10843 7074 10879 7755
+rect 6386 7036 10879 7074
+rect 6386 6623 6432 7036
+rect 6803 6623 10879 7036
+rect 6386 6615 10879 6623
+rect 11146 6615 11178 7755
+rect 6386 6591 11178 6615
+rect 3817 6522 5299 6558
+rect 3817 51 4011 6522
+rect 4101 51 4793 6251
 << via4 >>
-rect -3597 8089 -2679 8778
-rect 2932 8146 3199 9286
+rect 4350 6558 5268 7247
+rect 10879 6615 11146 7755
 << metal5 >>
-rect 2904 9286 3224 9310
-rect -3634 8778 -2648 8848
-rect -3634 8089 -3597 8778
-rect -2679 8089 -2648 8778
-rect -3634 8025 -2648 8089
-rect -3440 7666 -2648 8025
-rect 2904 8146 2932 9286
-rect 3199 8146 3224 9286
-rect 2904 7773 3224 8146
-use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
-timestamp 1606063140
-transform 1 0 -6432 0 1 8300
-box -962 -458 962 458
-use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
-timestamp 1605994897
-transform -1 0 -7576 0 1 8300
-box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
-timestamp 1606063140
-transform 1 0 -6290 0 1 9372
-box -1101 -497 1101 497
+rect 10851 7755 11171 7779
+rect 4313 7247 5299 7317
+rect 4313 6558 4350 7247
+rect 5268 6558 5299 7247
+rect 4313 6494 5299 6558
+rect 4507 6135 5299 6494
+rect 10851 6615 10879 7755
+rect 11146 6615 11171 7755
+rect 10851 6242 11171 6615
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3
 timestamp 1606063140
-transform 1 0 -7539 0 1 9372
+transform 1 0 408 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
+use sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ  sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0
 timestamp 1606063140
-transform 1 0 -5287 0 1 8301
+transform 1 0 1657 0 1 7841
+box -1101 -497 1101 497
+use sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC  sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1
+timestamp 1605994897
+transform -1 0 371 0 1 6769
 box -308 -458 308 458
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
+use sky130_fd_pr__nfet_g5v0d10v5_TGFUGS  sky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0
 timestamp 1606063140
-transform 1 0 -5041 0 1 9372
+transform 1 0 1515 0 1 6769
+box -962 -458 962 458
+use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
+timestamp 1606063140
+transform 1 0 5018 0 1 7841
+box -992 -497 992 497
+use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
+timestamp 1606063140
+transform 1 0 3878 0 1 7841
 box -338 -497 338 497
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0
 timestamp 1606063140
-transform 1 0 -4555 0 1 9372
+transform 1 0 3392 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPBG  sky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0
 timestamp 1606063140
-transform 1 0 -4069 0 1 9372
+transform 1 0 2906 0 1 7841
 box -338 -497 338 497
-use sky130_fd_pr__pfet_g5v0d10v5_YEUEBV  sky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0
+use sky130_fd_pr__nfet_g5v0d10v5_PKVMTM  sky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0
 timestamp 1606063140
-transform 1 0 -2929 0 1 9372
-box -992 -497 992 497
-use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
-timestamp 1606063140
-transform 1 0 -1789 0 1 9372
-box -338 -497 338 497
+transform 1 0 2660 0 1 6770
+box -308 -458 308 458
 use sky130_fd_pr__pfet_g5v0d10v5_3YBPVB  sky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2
 timestamp 1606063140
-transform 1 0 -1303 0 1 9372
+transform 1 0 6644 0 1 7841
 box -338 -497 338 497
-use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1606075443
-transform 1 0 -480 0 1 7935
-box -66 -23 1122 897
+use sky130_fd_pr__pfet_g5v0d10v5_YUHPXE  sky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0
+timestamp 1606063140
+transform 1 0 6158 0 1 7841
+box -338 -497 338 497
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1606075443
-transform 1 0 576 0 1 7935
+timestamp 1606502073
+transform 1 0 8523 0 1 6404
 box -66 -23 1986 897
 use sky130_fd_sc_hvl__buf_8  sky130_fd_sc_hvl__buf_8_1
-timestamp 1606075443
-transform 1 0 -470 0 1 8969
+timestamp 1606502073
+transform 1 0 7477 0 1 7438
 box -66 -23 1986 897
-use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1606075443
-transform 1 0 2496 0 1 7935
-box -66 -23 450 897
+use sky130_fd_sc_hvl__schmittbuf_1  sky130_fd_sc_hvl__schmittbuf_1_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1606502073
+transform 1 0 7467 0 1 6404
+box -66 -23 1122 897
 use sky130_fd_sc_hvl__inv_8  sky130_fd_sc_hvl__inv_8_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
-timestamp 1606075443
-transform 1 0 1450 0 1 8969
+timestamp 1606502073
+transform 1 0 9397 0 1 7438
 box -66 -23 1506 897
+use sky130_fd_sc_hvl__fill_4  sky130_fd_sc_hvl__fill_4_0 ~/projects/efabless/tech/SW/sky130A/libs.ref/sky130_fd_sc_hvl/mag
+timestamp 1606502073
+transform 1 0 10443 0 1 6404
+box -66 -23 450 897
 use sky130_fd_pr__res_xhigh_po_0p69_S5N9F3  sky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0
 timestamp 1606074388
-transform 1 0 -2501 0 1 4629
+transform 1 0 5446 0 1 3098
 box -5446 -3098 5446 3098
-use sky130_fd_pr__cap_mim_m3_1_N249RX  sky130_fd_pr__cap_mim_m3_1_N249RX_0
-timestamp 1605923309
-transform -1 0 -650 0 1 4682
-box -3186 -3100 3186 3100
-use sky130_fd_pr__cap_mim_m3_2_N249RX  sky130_fd_pr__cap_mim_m3_2_N249RX_0
-timestamp 1605923309
-transform 1 0 -177 0 1 4682
-box -3379 -3101 3401 3101
+use sky130_fd_pr__cap_mim_m3_2_W5U4AW  sky130_fd_pr__cap_mim_m3_2_W5U4AW_0
+timestamp 1606502073
+transform 1 0 7970 0 1 3151
+box -3179 -3101 3201 3101
+use sky130_fd_pr__cap_mim_m3_1_WRT4AW  sky130_fd_pr__cap_mim_m3_1_WRT4AW_0
+timestamp 1606502073
+transform -1 0 7027 0 1 3151
+box -3136 -3100 3136 3100
 << labels >>
-flabel metal2 520 8895 520 8895 0 FreeSans 320 0 0 0 out
-flabel metal4 s -7909 9496 -7874 9814 0 FreeSans 320 0 0 0 vdd3v3
+flabel metal2 8467 7364 8467 7364 0 FreeSans 320 0 0 0 out
+flabel metal4 s 38 7965 73 8283 0 FreeSans 320 0 0 0 vdd3v3
 port 0 nsew
-flabel metal4 s -7909 8786 -7715 9186 0 FreeSans 320 0 0 0 vss
+flabel metal4 s 38 7255 232 7655 0 FreeSans 320 0 0 0 vss
 port 2 nsew
-flabel metal3 3022 8296 3395 8365 0 FreeSans 320 0 0 0 porb_h
+flabel metal3 10969 6765 11342 6834 0 FreeSans 320 0 0 0 porb_h
 port 3 nsew
-flabel metal4 s 3027 9493 3233 9822 0 FreeSans 320 0 0 0 vdd1v8
+flabel metal4 s 10974 7962 11180 8291 0 FreeSans 320 0 0 0 vdd1v8
 port 1 nsew
-flabel metal3 3242 9022 3397 9082 0 FreeSans 320 0 0 0 por_l
+flabel metal3 11189 7491 11344 7551 0 FreeSans 320 0 0 0 por_l
 port 4 nsew
-flabel metal3 3241 9387 3396 9447 0 FreeSans 320 0 0 0 porb_l
+flabel metal3 11188 7856 11343 7916 0 FreeSans 320 0 0 0 porb_l
 port 5 nsew
 << properties >>
-string FIXED_BBOX 0 0 4360 9164
+string FIXED_BBOX 0 0 11344 8338
 << end >>
diff --git a/mag/simple_por.spice b/mag/simple_por.spice
index fe583f8..aa6dd51 100644
--- a/mag/simple_por.spice
+++ b/mag/simple_por.spice
@@ -1,5 +1,9 @@
 * NGSPICE file created from simple_por.ext - technology: sky130A
 
+.subckt sky130_fd_pr__cap_mim_m3_2_W5U4AW VSUBS m4_n3179_n3100# c2_n3079_n3000#
+X0 c2_n3079_n3000# m4_n3179_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u
+.ends
+
 .subckt sky130_fd_sc_hvl__buf_8 A VGND VNB VPB VPWR X
 X0 VPWR A a_45_443# VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
 X1 VGND a_45_443# X VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
@@ -61,34 +65,34 @@
 + a_3212_n2932# a_n4894_2500# a_n3350_2500# a_n4508_2500# a_5142_n2932# a_896_2500#
 + a_510_n2932# a_1668_n2932# a_n1806_n2932# a_4756_2500# a_n3736_n2932# a_3598_n2932#
 + a_3212_2500# a_2054_n2932# a_896_n2932# a_n5280_2500# a_n4122_n2932# a_n1806_2500#
-X0 a_n3350_n2932# a_n3350_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X1 a_n4508_n2932# a_n4508_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X2 a_n2578_n2932# a_n2578_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X3 a_n1420_n2932# a_n1420_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X4 a_n4894_n2932# a_n4894_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X5 a_n3736_n2932# a_n3736_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X6 a_3598_n2932# a_3598_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X7 a_124_n2932# a_124_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X8 a_4756_n2932# a_4756_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X9 a_n2964_n2932# a_n2964_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X10 a_1668_n2932# a_1668_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X11 a_n1806_n2932# a_n1806_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X12 a_n648_n2932# a_n648_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X13 a_3984_n2932# a_3984_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X14 a_2826_n2932# a_2826_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X15 a_510_n2932# a_510_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X16 a_n4122_n2932# a_n4122_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X17 a_n2192_n2932# a_n2192_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X18 a_5142_n2932# a_5142_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X19 a_n1034_n2932# a_n1034_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X20 a_2054_n2932# a_2054_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X21 a_4370_n2932# a_4370_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X22 a_3212_n2932# a_3212_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X23 a_1282_n2932# a_1282_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X24 a_n262_n2932# a_n262_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X25 a_n5280_n2932# a_n5280_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X26 a_2440_n2932# a_2440_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
-X27 a_896_n2932# a_896_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 w=690000u l=2.5e+07u
+X0 a_n3350_n2932# a_n3350_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X1 a_n4508_n2932# a_n4508_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X2 a_n2578_n2932# a_n2578_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X3 a_n1420_n2932# a_n1420_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X4 a_n4894_n2932# a_n4894_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X5 a_n3736_n2932# a_n3736_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X6 a_3598_n2932# a_3598_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X7 a_124_n2932# a_124_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X8 a_4756_n2932# a_4756_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X9 a_n2964_n2932# a_n2964_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X10 a_1668_n2932# a_1668_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X11 a_n1806_n2932# a_n1806_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X12 a_n648_n2932# a_n648_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X13 a_3984_n2932# a_3984_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X14 a_2826_n2932# a_2826_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X15 a_510_n2932# a_510_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X16 a_n4122_n2932# a_n4122_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X17 a_n2192_n2932# a_n2192_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X18 a_5142_n2932# a_5142_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X19 a_n1034_n2932# a_n1034_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X20 a_2054_n2932# a_2054_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X21 a_4370_n2932# a_4370_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X22 a_3212_n2932# a_3212_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X23 a_1282_n2932# a_1282_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X24 a_n262_n2932# a_n262_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X25 a_n5280_n2932# a_n5280_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X26 a_2440_n2932# a_2440_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
+X27 a_896_n2932# a_896_2500# VSUBS sky130_fd_pr__res_xhigh_po_0p69 l=2.5e+07u
 .ends
 
 .subckt sky130_fd_pr__pfet_g5v0d10v5_3YBPVB VSUBS a_n138_n200# w_n338_n497# a_80_n200#
@@ -96,21 +100,17 @@
 X0 a_80_n200# a_n80_n297# a_n138_n200# w_n338_n497# sky130_fd_pr__pfet_g5v0d10v5 w=2e+06u l=800000u
 .ends
 
-.subckt sky130_fd_pr__cap_mim_m3_2_N249RX VSUBS c2_n3279_n3000# m4_n3379_n3100#
-X0 c2_n3279_n3000# m4_n3379_n3100# sky130_fd_pr__cap_mim_m3_2 l=3e+07u w=3e+07u
-.ends
-
 .subckt sky130_fd_sc_hvl__schmittbuf_1 A VGND VNB VPB VPWR X
-R0 a_64_207# VPWR sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u
-X0 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
-X1 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
-X2 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
-X3 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
-X4 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
-R1 a_78_463# VGND sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u
-X5 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
-X6 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
-X7 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+X0 a_64_207# VPWR VPB sky130_fd_pr__res_generic_pd__hv w=290000u l=3.11e+06u
+X1 a_231_463# A a_117_181# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+X2 a_217_207# A a_117_181# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X3 VPWR A a_231_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
+X4 a_217_207# a_117_181# a_64_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X5 X a_117_181# VGND VNB sky130_fd_pr__nfet_g5v0d10v5 w=750000u l=500000u
+X6 a_78_463# VGND VNB sky130_fd_pr__res_generic_nd__hv w=290000u l=1.355e+06u
+X7 X a_117_181# VPWR VPB sky130_fd_pr__pfet_g5v0d10v5 w=1.5e+06u l=500000u
+X8 VGND A a_217_207# VNB sky130_fd_pr__nfet_g5v0d10v5 w=420000u l=500000u
+X9 a_231_463# a_117_181# a_78_463# VPB sky130_fd_pr__pfet_g5v0d10v5 w=750000u l=500000u
 .ends
 
 .subckt sky130_fd_pr__pfet_g5v0d10v5_YUHPXE VSUBS a_n138_n200# w_n338_n497# a_80_n200#
@@ -128,8 +128,8 @@
 X0 a_80_n200# a_n80_n288# a_n138_n200# VSUBS sky130_fd_pr__nfet_g5v0d10v5 w=2e+06u l=800000u
 .ends
 
-.subckt sky130_fd_pr__cap_mim_m3_1_N249RX VSUBS m3_n3186_n3100# c1_n3086_n3000#
-X0 c1_n3086_n3000# m3_n3186_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u
+.subckt sky130_fd_pr__cap_mim_m3_1_WRT4AW VSUBS m3_n3136_n3100# c1_n3036_n3000#
+X0 c1_n3036_n3000# m3_n3136_n3100# sky130_fd_pr__cap_mim_m3_1 l=3e+07u w=3e+07u
 .ends
 
 .subckt sky130_fd_pr__pfet_g5v0d10v5_YEUEBV VSUBS w_n992_n497# a_n574_n200# a_n356_n200#
@@ -169,44 +169,42 @@
 .ends
 
 .subckt simple_por vdd3v3 vdd1v8 vss porb_h por_l porb_l
+Xsky130_fd_pr__cap_mim_m3_2_W5U4AW_0 vss sky130_fd_sc_hvl__schmittbuf_1_0/A vss sky130_fd_pr__cap_mim_m3_2_W5U4AW
 Xsky130_fd_sc_hvl__buf_8_1 out vss vss vdd1v8 vdd1v8 porb_l sky130_fd_sc_hvl__buf_8
-Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_n7445_9184# vdd3v3 vdd3v3 vdd3v3
-+ m1_n7445_9184# m1_n7445_9184# m1_n7445_9184# m1_n7445_9184# vdd3v3 m1_n7445_9184#
-+ m1_n7445_9184# m1_n7445_9184# vdd3v3 m1_n7445_9184# m1_n7445_9184# m1_n7445_9184#
-+ m1_n7445_9184# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
-Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 vss m1_n7226_8346# vss m1_n7226_8346# vss m1_n7226_8346#
-+ m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346# m1_n7226_8346#
-+ vss vss m1_n7226_8346# vss m1_n7226_8346# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
-Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 vss li_n5012_1696# vss li_n3468_1696# li_n3081_7344#
-+ li_n765_7344# li_n2696_1696# li_n6169_7344# li_1164_1696# li_n4625_7344# li_1551_7344#
-+ li_n380_1696# li_7_7344# li_1936_1696# li_779_7344# li_n765_7344# li_n7328_1696#
-+ li_n2309_7344# li_n6941_7344# li_n5784_1696# li_n2309_7344# li_n7328_1696# li_n1152_1696#
-+ li_n2696_1696# li_n4240_1696# li_1551_7344# li_n5784_1696# li_n3468_1696# li_n3081_7344#
-+ vss li_n3853_7344# li_n5397_7344# li_n5397_7344# li_n3853_7344# li_392_1696# li_n5012_1696#
-+ li_7_7344# li_1936_1696# vss li_n1537_7344# li_392_1696# vss li_n6169_7344# li_n6941_7344#
-+ vss li_n1537_7344# li_n1924_1696# li_n1152_1696# li_n4240_1696# vdd3v3 li_n6556_1696#
-+ li_1164_1696# li_779_7344# li_n380_1696# li_n1924_1696# vss li_n6556_1696# li_n4625_7344#
-+ sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_n4954_9189# vdd3v3 m1_n7226_8346# m1_n7762_8104#
+Xsky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ_0 vss vdd3v3 m1_502_7653# vdd3v3 vdd3v3 vdd3v3
++ m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653#
++ m1_502_7653# vdd3v3 m1_502_7653# m1_502_7653# m1_502_7653# m1_502_7653# sky130_fd_pr__pfet_g5v0d10v5_ZEUEFZ
+Xsky130_fd_pr__nfet_g5v0d10v5_TGFUGS_0 vss m1_721_6815# vss m1_721_6815# vss m1_721_6815#
++ m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# m1_721_6815# vss
++ vss m1_721_6815# vss m1_721_6815# sky130_fd_pr__nfet_g5v0d10v5_TGFUGS
+Xsky130_fd_pr__res_xhigh_po_0p69_S5N9F3_0 vss li_2935_165# vss li_4479_165# li_4866_5813#
++ li_7182_5813# li_5251_165# li_1778_5813# li_9111_165# li_3322_5813# li_9498_5813#
++ li_7567_165# li_7954_5813# li_9883_165# li_8726_5813# li_7182_5813# li_619_165#
++ li_5638_5813# li_1006_5813# li_2163_165# li_5638_5813# li_619_165# li_6795_165#
++ li_5251_165# li_3707_165# li_9498_5813# li_2163_165# li_4479_165# li_4866_5813#
++ vss li_4094_5813# li_2550_5813# li_2550_5813# li_4094_5813# li_8339_165# li_2935_165#
++ li_7954_5813# li_9883_165# vss li_6410_5813# li_8339_165# vss li_1778_5813# li_1006_5813#
++ vss li_6410_5813# li_6023_165# li_6795_165# li_3707_165# vdd3v3 li_1391_165# li_9111_165#
++ li_8726_5813# li_7567_165# li_6023_165# vss li_1391_165# li_3322_5813# sky130_fd_pr__res_xhigh_po_0p69_S5N9F3
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_0 vss m1_2993_7658# vdd3v3 m1_721_6815# m1_185_6573#
 + sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__cap_mim_m3_2_N249RX_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_2_N249RX
 Xsky130_fd_sc_hvl__schmittbuf_1_0 sky130_fd_sc_hvl__schmittbuf_1_0/A vss vss vdd3v3
 + vdd3v3 out sky130_fd_sc_hvl__schmittbuf_1
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_n5191_8104# vdd3v3 m1_n3664_9612# m1_n5191_8104#
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_1 vss m1_2756_6573# vdd3v3 m1_4283_8081# m1_2756_6573#
 + sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_n1698_9221# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A
-+ m1_n5191_8104# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_n7762_8104# vdd3v3 m1_n7445_9184# m1_n7762_8104#
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_2 vss m1_6249_7690# vdd3v3 sky130_fd_sc_hvl__schmittbuf_1_0/A
++ m1_2756_6573# sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
+Xsky130_fd_pr__pfet_g5v0d10v5_3YBPVB_3 vss m1_185_6573# vdd3v3 m1_502_7653# m1_185_6573#
 + sky130_fd_pr__pfet_g5v0d10v5_3YBPVB
-Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_n1698_9221# m1_n3664_9612#
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPXE_0 vss vdd3v3 vdd3v3 m1_6249_7690# m1_4283_8081#
 + sky130_fd_pr__pfet_g5v0d10v5_YUHPXE
-Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_n7226_8346# vss m1_n5191_8104# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
-Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss li_n5397_7344# vss m1_n7762_8104# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
-Xsky130_fd_pr__cap_mim_m3_1_N249RX_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_N249RX
-Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_n3664_9612# vdd3v3 m1_n3664_9612#
-+ vdd3v3 m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# vdd3v3 m1_n3664_9612# m1_n3664_9612#
-+ m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# m1_n3664_9612# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
-Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_n4954_9189# m1_n7445_9184#
+Xsky130_fd_pr__nfet_g5v0d10v5_PKVMTM_0 vss m1_721_6815# vss m1_2756_6573# vss sky130_fd_pr__nfet_g5v0d10v5_PKVMTM
+Xsky130_fd_pr__nfet_g5v0d10v5_ZK8HQC_1 vss li_2550_5813# vss m1_185_6573# vss sky130_fd_pr__nfet_g5v0d10v5_ZK8HQC
+Xsky130_fd_pr__cap_mim_m3_1_WRT4AW_0 vss vss sky130_fd_sc_hvl__schmittbuf_1_0/A sky130_fd_pr__cap_mim_m3_1_WRT4AW
+Xsky130_fd_pr__pfet_g5v0d10v5_YEUEBV_0 vss vdd3v3 m1_4283_8081# vdd3v3 m1_4283_8081#
++ vdd3v3 m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 m1_4283_8081# m1_4283_8081#
++ m1_4283_8081# m1_4283_8081# m1_4283_8081# m1_4283_8081# vdd3v3 sky130_fd_pr__pfet_g5v0d10v5_YEUEBV
+Xsky130_fd_pr__pfet_g5v0d10v5_YUHPBG_0 vss vdd3v3 vdd3v3 m1_2993_7658# m1_502_7653#
 + sky130_fd_pr__pfet_g5v0d10v5_YUHPBG
 Xsky130_fd_sc_hvl__inv_8_0 out vss vss vdd1v8 vdd1v8 por_l sky130_fd_sc_hvl__inv_8
 Xsky130_fd_sc_hvl__fill_4_0 vss vss vdd3v3 vdd3v3 sky130_fd_sc_hvl__fill_4
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag b/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag
deleted file mode 100644
index 790d2b3..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_1_N249RX.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1605923309
-<< metal3 >>
-rect -3186 3072 3186 3100
-rect -3186 -3072 3102 3072
-rect 3166 -3072 3186 3072
-rect -3186 -3100 3186 -3072
-<< via3 >>
-rect 3102 -3072 3166 3072
-<< mimcap >>
-rect -3086 2960 2914 3000
-rect -3086 -2960 -3046 2960
-rect 2874 -2960 2914 2960
-rect -3086 -3000 2914 -2960
-<< mimcapcontact >>
-rect -3046 -2960 2874 2960
-<< metal4 >>
-rect 3086 3072 3182 3088
-rect -3047 2960 2875 2961
-rect -3047 -2960 -3046 2960
-rect 2874 -2960 2875 2960
-rect -3047 -2961 2875 -2960
-rect 3086 -3072 3102 3072
-rect 3166 -3072 3182 3072
-rect 3086 -3088 3182 -3072
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_1
-string FIXED_BBOX -3186 -3100 3014 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
new file mode 100644
index 0000000..c4fedfd
--- /dev/null
+++ b/mag/sky130_fd_pr__cap_mim_m3_1_WRT4AW.mag
@@ -0,0 +1,33 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606502073
+<< metal3 >>
+rect -3136 3072 3136 3100
+rect -3136 -3072 3052 3072
+rect 3116 -3072 3136 3072
+rect -3136 -3100 3136 -3072
+<< via3 >>
+rect 3052 -3072 3116 3072
+<< mimcap >>
+rect -3036 2960 2964 3000
+rect -3036 -2960 2332 2960
+rect 2924 -2960 2964 2960
+rect -3036 -3000 2964 -2960
+<< mimcapcontact >>
+rect 2332 -2960 2924 2960
+<< metal4 >>
+rect 3036 3072 3132 3088
+rect 2331 2960 2925 2961
+rect 2331 -2960 2332 2960
+rect 2924 -2960 2925 2960
+rect 2331 -2961 2925 -2960
+rect 3036 -3072 3052 3072
+rect 3116 -3072 3132 3072
+rect 3036 -3088 3132 -3072
+<< properties >>
+string gencell sky130_fd_pr__cap_mim_m3_1
+string FIXED_BBOX -3136 -3100 3064 3100
+string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov -10
+string library sky130
+<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag b/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag
deleted file mode 100644
index 164a799..0000000
--- a/mag/sky130_fd_pr__cap_mim_m3_2_N249RX.mag
+++ /dev/null
@@ -1,33 +0,0 @@
-magic
-tech sky130A
-magscale 1 2
-timestamp 1605923309
-<< metal4 >>
-rect -3379 3059 3379 3100
-rect -3379 -3059 3123 3059
-rect 3359 -3059 3379 3059
-rect -3379 -3100 3379 -3059
-<< via4 >>
-rect 3123 -3059 3359 3059
-<< mimcap2 >>
-rect -3279 2960 2721 3000
-rect -3279 -2960 -3239 2960
-rect 2681 -2960 2721 2960
-rect -3279 -3000 2721 -2960
-<< mimcap2contact >>
-rect -3239 -2960 2681 2960
-<< metal5 >>
-rect 3081 3059 3401 3101
-rect -3263 2960 2705 2984
-rect -3263 -2960 -3239 2960
-rect 2681 -2960 2705 2960
-rect -3263 -2984 2705 -2960
-rect 3081 -3059 3123 3059
-rect 3359 -3059 3401 3059
-rect 3081 -3101 3401 -3059
-<< properties >>
-string gencell sky130_fd_pr__cap_mim_m3_2
-string FIXED_BBOX -3379 -3100 2821 3100
-string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1
-string library sky130
-<< end >>
diff --git a/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag b/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
new file mode 100644
index 0000000..59928eb
--- /dev/null
+++ b/mag/sky130_fd_pr__cap_mim_m3_2_W5U4AW.mag
@@ -0,0 +1,33 @@
+magic
+tech sky130A
+magscale 1 2
+timestamp 1606502073
+<< metal4 >>
+rect -3179 3059 3179 3100
+rect -3179 -3059 2923 3059
+rect 3159 -3059 3179 3059
+rect -3179 -3100 3179 -3059
+<< via4 >>
+rect 2923 -3059 3159 3059
+<< mimcap2 >>
+rect -3079 2960 2921 3000
+rect -3079 -2960 -3039 2960
+rect 2289 -2960 2921 2960
+rect -3079 -3000 2921 -2960
+<< mimcap2contact >>
+rect -3039 -2960 2289 2960
+<< metal5 >>
+rect 2881 3059 3201 3101
+rect -3063 2960 2313 2984
+rect -3063 -2960 -3039 2960
+rect 2289 -2960 2313 2960
+rect -3063 -2984 2313 -2960
+rect 2881 -3059 2923 3059
+rect 3159 -3059 3201 3059
+rect 2881 -3101 3201 -3059
+<< properties >>
+string gencell sky130_fd_pr__cap_mim_m3_2
+string FIXED_BBOX -3179 -3100 3021 3100
+string parameters w 30.00 l 30.00 val 920.4 carea 1.00 cperi 0.17 nx 1 ny 1 dummy 0 square 0 lmin 2.00 wmin 2.00 lmax 30.0 wmax 30.0 dc 0 bconnect 1 tconnect 1 ccov +90
+string library sky130
+<< end >>
diff --git a/ngspice/simple_por/simple_por.spice b/ngspice/simple_por/simple_por.spice
index 18065b8..415c337 100644
--- a/ngspice/simple_por/simple_por.spice
+++ b/ngspice/simple_por/simple_por.spice
@@ -34,7 +34,7 @@
 * Note: 6 resistors of length 25um connected in series
 Xres2 vin vss vss sky130_fd_pr__res_xhigh_po_0p69 l=150
 * Note: 2 dummy resistors of length 25um
-Xres3 vss vss vss sky130_fd_pr__res_xhigh_po_0p69 l=50
+Xres3 vss vss vss sky130_fd_pr__res_xhigh_po_0p69 l=25 m=2
 
 * Triple current mirror, ratios 8:1, 7:1, and 7:1, with p-cascodes
 *   D     G     S      B