blob: 62f053a99eff432d3c5b96c4abdbaa7a0a63910a [file] [log] [blame]
module rising_edge_detector (
input clk,
input in,
output out
);
reg in_q;
always @(posedge clk) begin
in_q <= in;
end
assign out = !in_q && in;
endmodule
module falling_edge_detector (
input clk,
input in,
output out
);
reg in_q;
always @(posedge clk) begin
in_q <= in;
end
assign out = in_q && !in;
endmodule