blob: ead52a484f87f4f5a5e8b363352bbc8653fc22d9 [file] [log] [blame]
read_verilog ../../picorv32.v
synth_xilinx -top picorv32