blob: b14b338c277d729ad3aa3e762ed5f28f23eab679 [file] [log] [blame]
read_verilog ../../picorv32.v
synth_ice40 -top picorv32