blob: 560b8805ee05bc0df247769d15b41f48781a1e9e [file] [log] [blame]
read_verilog ../../picorv32.v
synth_design -part xc7k70t-fbg676 -top picorv32
report_utilization