blob: f3874e44fe7391ea0b7a91460c8bc034845e31c1 [file] [log] [blame]
read_verilog ../../picorv32.v
read_xdc synth_speed.xdc
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design
place_design
phys_opt_design
route_design
report_utilization
report_timing