Sign in
foss-eda-tools
/
third_party
/
shuttle
/
sky130
/
mpw-001
/
slot-003
/
2ca0eb0565b960278b3c1e7a112f4a570aa036e1
/
.
/
verilog
/
rtl
/
softshell
/
third_party
/
picorv32
/
scripts
/
vivado
/
synth_speed.tcl
blob: f3874e44fe7391ea0b7a91460c8bc034845e31c1 [
file
] [
log
] [
blame
]
read_verilog
../../
picorv32
.
v
read_xdc synth_speed
.
xdc
synth_design -part xc7k70t-fbg676 -top picorv32_axi
opt_design
place_design
phys_opt_design
route_design
report_utilization
report_timing