blob: 3c3d5a192414aa8794ce66363f87b2a823411f16 [file] [log] [blame]
create_clock -period 20.00 [get_ports clk]