blob: fef57049af13c8b90a95c499d5dbdd348a11d5e6 [file] [log] [blame]
create_clock -period 2.5 [get_ports clk]