Teo Ene | 74d7e28 | 2020-07-23 15:38:19 -0500 | [diff] [blame] | 1 | // type: NAND3 |
2 | `timescale 1ns/10ps | ||||
3 | `celldefine | ||||
4 | module NAND3X1 (Y, A, B, C); | ||||
5 | output Y; | ||||
6 | input A, B, C; | ||||
7 | |||||
8 | // Function | ||||
9 | wire A__bar, B__bar, C__bar; | ||||
10 | |||||
11 | not (C__bar, C); | ||||
12 | not (B__bar, B); | ||||
13 | not (A__bar, A); | ||||
14 | or (Y, A__bar, B__bar, C__bar); | ||||
15 | |||||
16 | // Timing | ||||
17 | specify | ||||
18 | (A => Y) = 0; | ||||
19 | (B => Y) = 0; | ||||
20 | (C => Y) = 0; | ||||
21 | endspecify | ||||
22 | endmodule | ||||
23 | `endcelldefine | ||||
24 |