blob: f0f2dbc2332cdd72e28af60e7c9987b99fed55b9 [file] [log] [blame]
Teo Ene74d7e282020-07-23 15:38:19 -05001// type: NAND3
2`timescale 1ns/10ps
3`celldefine
4module NAND3X1 (Y, A, B, C);
5 output Y;
6 input A, B, C;
7
8 // Function
9 wire A__bar, B__bar, C__bar;
10
11 not (C__bar, C);
12 not (B__bar, B);
13 not (A__bar, A);
14 or (Y, A__bar, B__bar, C__bar);
15
16 // Timing
17 specify
18 (A => Y) = 0;
19 (B => Y) = 0;
20 (C => Y) = 0;
21 endspecify
22endmodule
23`endcelldefine
24